/*BOXES*/
html body.tc-body .bluebox {display:block;background-color:#eef;padding:5px;padding-left:20px;padding-top:10px;margin-top:5px;margin-bottom:5px;width:95%;color:#000;}
html body.tc-body .graybox {display:block;background-color:#eee;padding:5px;padding-left:20px;padding-top:10px;margin-top:5px;margin-bottom:5px;width:95%;color:#000;}
body p {<<colour foreground>>;}
code {color: #b0a;background-color:#eee;}
/*TIGHT NOTES*/
html body.tc-body h1, html body.tc-body h2, html body.tc-body h3, html body.tc-body h4 { margin-top: 0.3em; margin-bottom: 0.3em; }
/*FONT SUBTITLES*/
.serif {font-family: 'Arial', sans-serif; color: <<colour tiddler-editor-border>>font-size:10pt;}
html body.tc-body .serif a.tc-tiddlylink-external {font-family: 'Arial', sans-serif;font-size:12pt; line-height:normal;font-weight:normal;color:#666;}
/*FONT STUFF (colors)*/
html body.tc-body .lightgraybk {background:#eee;padding:1px 4px px 4px;margin-bottom:2px;font-family:'Arial', sans-serif;}
.red {color:red;}
html body.tc-body .blue a {color:blue;}
/*FONT STUFF SOURCES*/
html body.tc-body .source {color:#777;font-size:10pt;}
/*ICON COLORS*/
html body.tc-body .tc-image-edit-button {stroke: white;fill:#555;}
html body.tc-body .tc-image-chevron-up {stroke: white;fill:#ccc;}
html body.tc-body .tc-image-chevron-down {stroke: white;fill:#ccc;}
html body.tc-body .tc-image-fold-button {stroke: white;fill:#ccc;}
html body.tc-body .tc-image-close-button {stroke: white;fill:#555;}
html body.tc-body .tc-image-unfold-button {stroke: white;fill:#ccc;}
html body.tc-body .tc-image-preview-open {stroke: white;fill:#f29;font-size:150%;}
html body.tc-body .tc-image-delete-button {stroke: white;fill:#ff2222;}
html body.tc-body .tc-image-cancel-button {stroke: white;fill:#ffdd55;}
html body.tc-body .tc-image-done-button {stroke: white;fill:#094;}
/*INDENTING*/
html body.tc-body .indent1 {margin-left:1.5em;display:block;}
/*LINKS*/
html body.tc-body a.tc-tiddlylink {text-decoration: none;font-style: normal;font-weight: normal;}
html body.tc-body a.tc-tiddlylink-external {text-decoration: none;font-weight: normal;}
html body.tc-body a.tc-tiddlylink-visited {text-decoration: none;font-weight: normal;}
html body.tc-body .source a.tc-tiddlylink-external {font-weight: normal;font-size:10pt;}
/*LISTS BASIC*/
html body.tc-body ul li {color: <<colour foreground>>;}
html body.tc-body ol li {color:<<colour foreground>>;}
/*TIDDLER TITLES*/
.tc-tiddler-missing .tc-title { font-style: normal; font-weight: bold; }
/*VIEWTEMPLATE FONT SIZE*/
html body.tc-body .viewtemplatebigtext {font-size:110%;}
/*HIDE SECTIONS FOR PRINTING*/
@media print {#tc-page-background {display: none ! important;}}
@media print {.tc-tags-wrapper {display: none ! important;}}
@media print { body.tc-body {background-color: transparent;}}
@media print {.tc-image-chevron-up {display: none ! important;}}
@media print {.tc-image-chevron-down {display: none ! important;}}
@media print {button.sidebar-toggle {display: none ! important;}}
@media print {.hideprint {display: none ! important;}}
@media print {.tc-btn-invisible {display: none ! important;}}
@media print {
.story-river {
margin: 0;
padding: 0;
}
html body.tc-body .tc-tiddler-frame {
margin: 0;
border-bottom: 1px solid #fff;
padding: 5px;}
html body.tc-body a.tc-tiddlylink-external:hover {
border: 1px solid <<colour tiddler-border>>;
}
html body.tc-body .tc-tiddler-info {
padding: 14px 42px 14px 42px;
background-color: <<colour tiddler-info-background>>;}
}
<$button class="tc-btn-invisible" popup="$:/SamplePopupState">{{$:/core/images/chevron-down}}</$button>
<$reveal type="popup" state="$:/SamplePopupState" class="tc-tiddler-body tc-drop-down tc-popup-keep">
<$checkbox tiddler="$:/core/ui/EditTemplate/title" tag="$:/tags/EditTemplate"> title</$checkbox><br>
<$checkbox tiddler="$:/core/ui/EditTemplate/tags" tag="$:/tags/EditTemplate"> tags</$checkbox><br>
<$checkbox tiddler="$:/core/ui/EditTemplate/type" tag="$:/tags/EditTemplate"> type</$checkbox><br>
<$checkbox tiddler="$:/core/ui/EditTemplate/fields" tag="$:/tags/EditTemplate"> fields</$checkbox><br>
<$checkbox tiddler="$:/config/TextEditor/EnableToolbar" field="text" checked="yes" unchecked="no" default="no"> toolbar</$checkbox><br>
<$checkbox tiddler="$:/.giffmex/EditTemplate/custom" tag="$:/tags/EditTemplate"> custom</$checkbox>
''Open / close the sidebar:'' <$list filter="[[$:/state/sidebar]get[text]] +[else[yes]!match[no]]" variable="ignore"><$button set="$:/state/sidebar" setTo="no" tooltip={{$:/language/Buttons/HideSideBar/Hint}} aria-label={{$:/language/Buttons/HideSideBar/Caption}} class="tc-btn-invisible">{{$:/core/images/chevron-right}}</$button>
</$list><$list filter="[[$:/state/sidebar]get[text]] +[else[yes]match[no]]" variable="ignore">
<$button set="$:/state/sidebar" setTo="yes" tooltip={{$:/language/Buttons/ShowSideBar/Hint}} aria-label={{$:/language/Buttons/ShowSideBar/Caption}} class="tc-btn-invisible">{{$:/core/images/chevron-left}}</$button>
</$list>
''Click the link below to edit the''<br> [[Custom area|$:/.giffmex/EditTemplate/custom]]
{{$:/core/ui/EditorToolbar/editor-height-dropdown}}
</$reveal>
"""
This is where you can add your own items you want visible in the edit template. For example:
Grab symbol code: e.g., ♦ `♦` ★ `★`
Grab snippets: e.g., `tiddlywiki --rendertiddlers [!is[system]] $:/core/templates/static.tiddler.html static text/plain --rendertiddler $:/core/templates/static.template.css static/static.css text/plain`
"""
<br>
\define tagreset()
<$list filter="[tag[$:/giffmex/refs]sort[created]] -[[$(currentTiddler)$]]" variable="removeme">
<$action-listops $tiddler=<<removeme>> $tags="+[remove[$:/tags/ViewTemplate]]"/>
</$list>
<$action-deletefield dummy/>
\end
''Choose how to view references:''
<$list filter="[tag[$:/giffmex/refs]sort[caption]]">
<$list filter="[all[current]tag[$:/tags/ViewTemplate]]">
<$checkbox field=dummy checked="yes" unchecked="yes" default="yes"></$checkbox>
<$view field="caption"/><br/>
</$list>
<$list filter="[all[current]!tag[$:/tags/ViewTemplate]]">
<$checkbox tag="$:/tags/ViewTemplate" xinvertTag="yes" checkactions=<<tagreset>>/> <$view field="caption"/><br/>
</$list>
</$list>
{{Hint for Muffin tutorial}}
<br>Note that the gray boxes in the context and transclude options are links to their respective tiddlers.
<span class="viewtemplatebigtext">
<$reveal type="nomatch" stateTitle=<<folded-state>> text="hide" tag="div" retain="yes" animate="yes">
<hr>
<$vars searchme=<<currentTiddler>> searchspx={{{ [<currentTiddler>escaperegexp[]]}}} >
<$list filter="[!is[system]all[current]backlinks[]!tag[outlines]!tag[hide]sort[title]] -[is[current]]"><$link><$view field="title"/></$link><span class="indent1"><$link><span class="graybox"><$context term=<<searchme>> /></span></$link></span></$list>
</$vars>
</$reveal>
</span>
<span class="viewtemplatebigtext">
<$reveal type="nomatch" stateTitle=<<folded-state>> text="hide" tag="div" retain="yes" animate="yes">
<hr>
<$vars searchme=<<currentTiddler>> searchspx={{{ [<currentTiddler>escaperegexp[]]}}} >
<$list filter="[!is[system]all[current]backlinks[]!tag[hide]sort[title]] -[is[current]]"><$link><$view field="title" /></$link><br></$list>
</$vars>
</$reveal>
</span>
<span class="viewtemplatebigtext">
<$reveal type="nomatch" stateTitle=<<folded-state>> text="hide" tag="div" retain="yes" animate="yes">
<hr>
<$list filter="[!is[system]all[current]backlinks[]!tag[hide]!tag[outlines]sort[title]] -[is[current]]"><$link><$view field="title"/></$link><span class="indent1"><$link><span class="graybox"><$transclude field="text" mode="block" /></span></$link></span></$list>
</$reveal>
</span>
<span class="viewtemplatebigtext">
<$reveal type="nomatch" stateTitle=<<folded-state>> text="hide" tag="div" retain="yes" animate="yes">
<hr>
<$list filter="[!is[system]all[current]backlinks[]!tag[hide]!tag[outlines]sort[title]] -[is[current]]"><$link><$view field="title"/></$link>
<$reveal type="nomatch" stateTitle="$:/.giffmex/TiddlyBlinkFoldData" stateIndex=<<currentTiddler>> text="show">
<$button class=<<tv-config-toolbar-class>> setTitle="$:/.giffmex/TiddlyBlinkFoldData" setIndex=<<currentTiddler>> setTo="show">{{$:/core/images/unfold-button}}</$button><br/>
</$reveal>
<$reveal type="match" stateTitle="$:/.giffmex/TiddlyBlinkFoldData" stateIndex=<<currentTiddler>> text="show">
<$button class=<<tv-config-toolbar-class>> setTitle="$:/.giffmex/TiddlyBlinkFoldData" setIndex=<<currentTiddler>> setTo="hide">{{$:/core/images/fold-button}}</$button>
<span class="indent1">
<$link><span class="graybox">
<$transclude field="text" mode="block" /></span></$link></span>
</$reveal>
</$list>
</$reveal>
</span>
{{$:/language/OfficialPluginLibrary/Hint}}
{
"tiddlers": {
"$:/Acknowledgements": {
"title": "$:/Acknowledgements",
"text": "TiddlyWiki incorporates code from these fine OpenSource projects:\n\n* [[The Stanford Javascript Crypto Library|http://bitwiseshiftleft.github.io/sjcl/]]\n* [[The Jasmine JavaScript Test Framework|http://pivotal.github.io/jasmine/]]\n* [[Normalize.css by Nicolas Gallagher|http://necolas.github.io/normalize.css/]]\n\nAnd media from these projects:\n\n* World flag icons from [[Wikipedia|http://commons.wikimedia.org/wiki/Category:SVG_flags_by_country]]\n"
},
"$:/core/copyright.txt": {
"title": "$:/core/copyright.txt",
"type": "text/plain",
"text": "TiddlyWiki created by Jeremy Ruston, (jeremy [at] jermolene [dot] com)\n\nCopyright (c) 2004-2007, Jeremy Ruston\nCopyright (c) 2007-2021, UnaMesa Association\nAll rights reserved.\n\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are met:\n\n* Redistributions of source code must retain the above copyright notice, this\n list of conditions and the following disclaimer.\n\n* Redistributions in binary form must reproduce the above copyright notice,\n this list of conditions and the following disclaimer in the documentation\n and/or other materials provided with the distribution.\n\n* Neither the name of the copyright holder nor the names of its\n contributors may be used to endorse or promote products derived from\n this software without specific prior written permission.\n\nTHIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS 'AS IS'\nAND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\nIMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE\nDISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE\nFOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL\nDAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR\nSERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER\nCAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,\nOR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE\nOF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE."
},
"$:/core/icon": {
"title": "$:/core/icon",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\"><path d=\"M64 0l54.56 32v64L64 128 9.44 96V32L64 0zm21.127 95.408c-3.578-.103-5.15-.094-6.974-3.152l-1.42.042c-1.653-.075-.964-.04-2.067-.097-1.844-.07-1.548-1.86-1.873-2.8-.52-3.202.687-6.43.65-9.632-.014-1.14-1.593-5.17-2.157-6.61-1.768.34-3.546.406-5.34.497-4.134-.01-8.24-.527-12.317-1.183-.8 3.35-3.16 8.036-1.21 11.44 2.37 3.52 4.03 4.495 6.61 4.707 2.572.212 3.16 3.18 2.53 4.242-.55.73-1.52.864-2.346 1.04l-1.65.08c-1.296-.046-2.455-.404-3.61-.955-1.93-1.097-3.925-3.383-5.406-5.024.345.658.55 1.938.24 2.53-.878 1.27-4.665 1.26-6.4.47-1.97-.89-6.73-7.162-7.468-11.86 1.96-3.78 4.812-7.07 6.255-11.186-3.146-2.05-4.83-5.384-4.61-9.16l.08-.44c-3.097.59-1.49.37-4.82.628-10.608-.032-19.935-7.37-14.68-18.774.34-.673.664-1.287 1.243-.994.466.237.4 1.18.166 2.227-3.005 13.627 11.67 13.732 20.69 11.21.89-.25 2.67-1.936 3.905-2.495 2.016-.91 4.205-1.282 6.376-1.55 5.4-.63 11.893 2.276 15.19 2.37 3.3.096 7.99-.805 10.87-.615 2.09.098 4.143.483 6.16 1.03 1.306-6.49 1.4-11.27 4.492-12.38 1.814.293 3.213 2.818 4.25 4.167 2.112-.086 4.12.46 6.115 1.066 3.61-.522 6.642-2.593 9.833-4.203-3.234 2.69-3.673 7.075-3.303 11.127.138 2.103-.444 4.386-1.164 6.54-1.348 3.507-3.95 7.204-6.97 7.014-1.14-.036-1.805-.695-2.653-1.4-.164 1.427-.81 2.7-1.434 3.96-1.44 2.797-5.203 4.03-8.687 7.016-3.484 2.985 1.114 13.65 2.23 15.594 1.114 1.94 4.226 2.652 3.02 4.406-.37.58-.936.785-1.54 1.01l-.82.11zm-40.097-8.85l.553.14c.694-.27 2.09.15 2.83.353-1.363-1.31-3.417-3.24-4.897-4.46-.485-1.47-.278-2.96-.174-4.46l.02-.123c-.582 1.205-1.322 2.376-1.72 3.645-.465 1.71 2.07 3.557 3.052 4.615l.336.3z\" fill-rule=\"evenodd\"/></svg>"
},
"$:/core/images/add-comment": {
"title": "$:/core/images/add-comment",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-add-comment tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M56 56H36a8 8 0 100 16h20v20a8 8 0 1016 0V72h20a8 8 0 100-16H72V36a8 8 0 10-16 0v20zm-12.595 58.362c-6.683 7.659-20.297 12.903-36.006 12.903-2.196 0-4.35-.102-6.451-.3 9.652-3.836 17.356-12.24 21.01-22.874C8.516 94.28 0 79.734 0 63.5 0 33.953 28.206 10 63 10s63 23.953 63 53.5S97.794 117 63 117c-6.841 0-13.428-.926-19.595-2.638z\"/></svg>"
},
"$:/core/images/advanced-search-button": {
"title": "$:/core/images/advanced-search-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-advanced-search-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M74.565 87.985A47.776 47.776 0 0148 96C21.49 96 0 74.51 0 48S21.49 0 48 0s48 21.49 48 48c0 9.854-2.97 19.015-8.062 26.636l34.347 34.347a9.443 9.443 0 010 13.36 9.446 9.446 0 01-13.36 0l-34.36-34.358zM48 80c17.673 0 32-14.327 32-32 0-17.673-14.327-32-32-32-17.673 0-32 14.327-32 32 0 17.673 14.327 32 32 32z\"/><circle cx=\"48\" cy=\"48\" r=\"8\"/><circle cx=\"28\" cy=\"48\" r=\"8\"/><circle cx=\"68\" cy=\"48\" r=\"8\"/></g></svg>"
},
"$:/core/images/auto-height": {
"title": "$:/core/images/auto-height",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-auto-height tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M67.987 114.356l-.029-14.477a4 4 0 00-2.067-3.494l-15.966-8.813-1.933 7.502H79.9c4.222 0 5.564-5.693 1.786-7.58L49.797 71.572 48.01 79.15h31.982c4.217 0 5.564-5.682 1.795-7.575L49.805 55.517l-1.795 7.575h31.982c4.212 0 5.563-5.67 1.805-7.57l-16.034-8.105 2.195 3.57V35.614l9.214 9.213a4 4 0 105.656-5.656l-16-16a4 4 0 00-5.656 0l-16 16a4 4 0 105.656 5.656l9.13-9.13v15.288a4 4 0 002.195 3.57l16.035 8.106 1.804-7.57H48.01c-4.217 0-5.564 5.682-1.795 7.574l31.982 16.059 1.795-7.575H48.01c-4.222 0-5.564 5.693-1.787 7.579l31.89 15.923 1.787-7.578H47.992c-4.133 0-5.552 5.504-1.933 7.501l15.966 8.813-2.067-3.494.029 14.436-9.159-9.158a4 4 0 00-5.656 5.656l16 16a4 4 0 005.656 0l16-16a4 4 0 10-5.656-5.656l-9.185 9.184zM16 20h96a4 4 0 100-8H16a4 4 0 100 8z\"/></svg>"
},
"$:/core/images/blank": {
"title": "$:/core/images/blank",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-blank tc-image-button\" viewBox=\"0 0 128 128\"/>"
},
"$:/core/images/bold": {
"title": "$:/core/images/bold",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-bold tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M41.146 51.81V21.87h26.353c2.51 0 4.93.21 7.26.628 2.33.418 4.392 1.165 6.185 2.24 1.793 1.076 3.227 2.57 4.302 4.482 1.076 1.913 1.614 4.363 1.614 7.35 0 5.379-1.613 9.263-4.84 11.653-3.227 2.39-7.35 3.586-12.37 3.586H41.146zM13 0v128h62.028a65.45 65.45 0 0016.762-2.151c5.438-1.434 10.278-3.645 14.52-6.633 4.244-2.988 7.62-6.842 10.13-11.563 2.51-4.721 3.764-10.308 3.764-16.762 0-8.008-1.942-14.85-5.826-20.527-3.884-5.677-9.77-9.65-17.658-11.921 5.737-2.75 10.069-6.275 12.997-10.577 2.928-4.303 4.392-9.681 4.392-16.135 0-5.976-.986-10.995-2.958-15.059-1.972-4.063-4.75-7.32-8.336-9.77-3.585-2.45-7.888-4.213-12.907-5.289C84.888.538 79.33 0 73.235 0H13zm28.146 106.129V70.992H71.8c6.095 0 10.995 1.404 14.7 4.212 3.705 2.81 5.558 7.5 5.558 14.073 0 3.347-.568 6.096-1.703 8.247-1.136 2.151-2.66 3.854-4.572 5.11-1.912 1.254-4.123 2.15-6.633 2.688-2.51.538-5.139.807-7.888.807H41.146z\"/></svg>"
},
"$:/core/images/cancel-button": {
"title": "$:/core/images/cancel-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-cancel-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M64 76.314l-16.97 16.97a7.999 7.999 0 01-11.314 0c-3.118-3.118-3.124-8.19 0-11.313L52.686 65l-16.97-16.97a7.999 7.999 0 010-11.314c3.118-3.118 8.19-3.124 11.313 0L64 53.686l16.97-16.97a7.999 7.999 0 0111.314 0c3.118 3.118 3.124 8.19 0 11.313L75.314 65l16.97 16.97a7.999 7.999 0 010 11.314c-3.118 3.118-8.19 3.124-11.313 0L64 76.314zM64 129c35.346 0 64-28.654 64-64 0-35.346-28.654-64-64-64C28.654 1 0 29.654 0 65c0 35.346 28.654 64 64 64zm0-16c26.51 0 48-21.49 48-48S90.51 17 64 17 16 38.49 16 65s21.49 48 48 48z\"/></svg>"
},
"$:/core/images/chevron-down": {
"title": "$:/core/images/chevron-down",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-chevron-down tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M64.053 85.456a7.889 7.889 0 01-5.6-2.316L2.473 27.16a7.92 7.92 0 010-11.196c3.086-3.085 8.105-3.092 11.196 0L64.05 66.344l50.382-50.382a7.92 7.92 0 0111.195 0c3.085 3.086 3.092 8.105 0 11.196l-55.98 55.98a7.892 7.892 0 01-5.595 2.317z\"/><path d=\"M64.053 124.069a7.889 7.889 0 01-5.6-2.316l-55.98-55.98a7.92 7.92 0 010-11.196c3.086-3.085 8.105-3.092 11.196 0l50.382 50.382 50.382-50.382a7.92 7.92 0 0111.195 0c3.085 3.086 3.092 8.104 0 11.196l-55.98 55.98a7.892 7.892 0 01-5.595 2.316z\"/></g></svg>"
},
"$:/core/images/chevron-left": {
"title": "$:/core/images/chevron-left",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-chevron-left tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M47.544 64.053c0-2.027.77-4.054 2.316-5.6l55.98-55.98a7.92 7.92 0 0111.196 0c3.085 3.086 3.092 8.105 0 11.196L66.656 64.05l50.382 50.382a7.92 7.92 0 010 11.195c-3.086 3.085-8.105 3.092-11.196 0l-55.98-55.98a7.892 7.892 0 01-2.317-5.595z\"/><path d=\"M8.931 64.053c0-2.027.77-4.054 2.316-5.6l55.98-55.98a7.92 7.92 0 0111.196 0c3.085 3.086 3.092 8.105 0 11.196L28.041 64.05l50.382 50.382a7.92 7.92 0 010 11.195c-3.086 3.085-8.104 3.092-11.196 0l-55.98-55.98a7.892 7.892 0 01-2.316-5.595z\"/></g></svg>"
},
"$:/core/images/chevron-right": {
"title": "$:/core/images/chevron-right",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-chevron-right tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M83.456 63.947c0 2.027-.77 4.054-2.316 5.6l-55.98 55.98a7.92 7.92 0 01-11.196 0c-3.085-3.086-3.092-8.105 0-11.196L64.344 63.95 13.963 13.567a7.92 7.92 0 010-11.195c3.086-3.085 8.105-3.092 11.196 0l55.98 55.98a7.892 7.892 0 012.317 5.595z\"/><path d=\"M122.069 63.947c0 2.027-.77 4.054-2.316 5.6l-55.98 55.98a7.92 7.92 0 01-11.196 0c-3.085-3.086-3.092-8.105 0-11.196l50.382-50.382-50.382-50.382a7.92 7.92 0 010-11.195c3.086-3.085 8.104-3.092 11.196 0l55.98 55.98a7.892 7.892 0 012.316 5.595z\"/></g></svg>"
},
"$:/core/images/chevron-up": {
"title": "$:/core/images/chevron-up",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-chevron-up tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M63.947 44.544c2.027 0 4.054.77 5.6 2.316l55.98 55.98a7.92 7.92 0 010 11.196c-3.086 3.085-8.105 3.092-11.196 0L63.95 63.656l-50.382 50.382a7.92 7.92 0 01-11.195 0c-3.085-3.086-3.092-8.105 0-11.196l55.98-55.98a7.892 7.892 0 015.595-2.317z\"/><path d=\"M63.947 5.931c2.027 0 4.054.77 5.6 2.316l55.98 55.98a7.92 7.92 0 010 11.196c-3.086 3.085-8.105 3.092-11.196 0L63.95 25.041 13.567 75.423a7.92 7.92 0 01-11.195 0c-3.085-3.086-3.092-8.104 0-11.196l55.98-55.98a7.892 7.892 0 015.595-2.316z\"/></g></svg>"
},
"$:/core/images/clone-button": {
"title": "$:/core/images/clone-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-clone-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M32.265 96v24.002A7.996 7.996 0 0040.263 128h79.74a7.996 7.996 0 007.997-7.998v-79.74a7.996 7.996 0 00-7.998-7.997H96V48h12.859a2.99 2.99 0 012.994 2.994v57.865a2.99 2.99 0 01-2.994 2.994H50.994A2.99 2.99 0 0148 108.859V96H32.265z\"/><path d=\"M40 56h-7.993C27.588 56 24 52.418 24 48c0-4.41 3.585-8 8.007-8H40v-7.993C40 27.588 43.582 24 48 24c4.41 0 8 3.585 8 8.007V40h7.993C68.412 40 72 43.582 72 48c0 4.41-3.585 8-8.007 8H56v7.993C56 68.412 52.418 72 48 72c-4.41 0-8-3.585-8-8.007V56zM8 0C3.58 0 0 3.588 0 8v80c0 4.419 3.588 8 8 8h80c4.419 0 8-3.588 8-8V8c0-4.419-3.588-8-8-8H8zM19 16A2.997 2.997 0 0016 19.001v57.998A2.997 2.997 0 0019.001 80h57.998A2.997 2.997 0 0080 76.999V19.001A2.997 2.997 0 0076.999 16H19.001z\"/></g></svg>"
},
"$:/core/images/close-all-button": {
"title": "$:/core/images/close-all-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-close-all-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M28 111.314l-14.144 14.143a8 8 0 01-11.313-11.313L16.686 100 2.543 85.856a8 8 0 0111.313-11.313L28 88.686l14.144-14.143a8 8 0 0111.313 11.313L39.314 100l14.143 14.144a8 8 0 01-11.313 11.313L28 111.314zM28 39.314L13.856 53.457A8 8 0 012.543 42.144L16.686 28 2.543 13.856A8 8 0 0113.856 2.543L28 16.686 42.144 2.543a8 8 0 0111.313 11.313L39.314 28l14.143 14.144a8 8 0 01-11.313 11.313L28 39.314zM100 39.314L85.856 53.457a8 8 0 01-11.313-11.313L88.686 28 74.543 13.856A8 8 0 0185.856 2.543L100 16.686l14.144-14.143a8 8 0 0111.313 11.313L111.314 28l14.143 14.144a8 8 0 01-11.313 11.313L100 39.314zM100 111.314l-14.144 14.143a8 8 0 01-11.313-11.313L88.686 100 74.543 85.856a8 8 0 0111.313-11.313L100 88.686l14.144-14.143a8 8 0 0111.313 11.313L111.314 100l14.143 14.144a8 8 0 01-11.313 11.313L100 111.314z\"/></g></svg>"
},
"$:/core/images/close-button": {
"title": "$:/core/images/close-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-close-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M65.086 75.41l-50.113 50.113c-3.121 3.121-8.192 3.126-11.316.002-3.118-3.118-3.123-8.19.002-11.316l50.114-50.114L3.659 13.982C.538 10.86.533 5.79 3.657 2.666c3.118-3.118 8.19-3.123 11.316.002l50.113 50.114L115.2 2.668c3.121-3.121 8.192-3.126 11.316-.002 3.118 3.118 3.123 8.19-.002 11.316L76.4 64.095l50.114 50.114c3.121 3.121 3.126 8.192.002 11.316-3.118 3.118-8.19 3.123-11.316-.002L65.086 75.409z\"/></svg>"
},
"$:/core/images/close-others-button": {
"title": "$:/core/images/close-others-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-close-others-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M64 128c35.346 0 64-28.654 64-64 0-35.346-28.654-64-64-64C28.654 0 0 28.654 0 64c0 35.346 28.654 64 64 64zm0-16c26.51 0 48-21.49 48-48S90.51 16 64 16 16 37.49 16 64s21.49 48 48 48zm0-16c17.673 0 32-14.327 32-32 0-17.673-14.327-32-32-32-17.673 0-32 14.327-32 32 0 17.673 14.327 32 32 32zm0-16c8.837 0 16-7.163 16-16s-7.163-16-16-16-16 7.163-16 16 7.163 16 16 16z\"/></svg>"
},
"$:/core/images/copy-clipboard": {
"title": "$:/core/images/copy-clipboard",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-copy-clipboard tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"33\" height=\"8\" x=\"40\" y=\"40\" rx=\"4\"/><rect width=\"17\" height=\"8\" x=\"40\" y=\"82\" rx=\"4\"/><rect width=\"17\" height=\"8\" x=\"40\" y=\"54\" rx=\"4\"/><rect width=\"33\" height=\"8\" x=\"40\" y=\"96\" rx=\"4\"/><rect width=\"12\" height=\"8\" x=\"40\" y=\"68\" rx=\"4\"/><path d=\"M40 16H24c-4.419 0-8 3.59-8 8a8.031 8.031 0 000 .01v95.98a8.03 8.03 0 000 .01c0 4.41 3.581 8 8 8h80a7.975 7.975 0 005.652-2.34 7.958 7.958 0 002.348-5.652v-16.016c0-4.414-3.582-7.992-8-7.992-4.41 0-8 3.578-8 7.992V112H32V32h64v8.008C96 44.422 99.582 48 104 48c4.41 0 8-3.578 8-7.992V23.992a7.963 7.963 0 00-2.343-5.651A7.995 7.995 0 00104.001 16H88c0-4.41-3.585-8-8.007-8H48.007C43.588 8 40 11.582 40 16zm4-1.004A4.001 4.001 0 0148 11h32c2.21 0 4 1.797 4 3.996v4.008A4.001 4.001 0 0180 23H48c-2.21 0-4-1.797-4-3.996v-4.008z\"/><rect width=\"66\" height=\"16\" x=\"62\" y=\"64\" rx=\"8\"/><path d=\"M84.657 82.343l-16-16v11.314l16-16a8 8 0 10-11.314-11.314l-16 16a8 8 0 000 11.314l16 16a8 8 0 1011.314-11.314z\"/></g></svg>"
},
"$:/core/images/delete-button": {
"title": "$:/core/images/delete-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-delete-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\" transform=\"translate(12)\"><rect width=\"105\" height=\"16\" y=\"11\" rx=\"8\"/><rect width=\"48\" height=\"16\" x=\"28\" rx=\"8\"/><rect width=\"16\" height=\"112\" x=\"8\" y=\"16\" rx=\"8\"/><rect width=\"88\" height=\"16\" x=\"8\" y=\"112\" rx=\"8\"/><rect width=\"16\" height=\"112\" x=\"80\" y=\"16\" rx=\"8\"/><rect width=\"16\" height=\"112\" x=\"56\" y=\"16\" rx=\"8\"/><rect width=\"16\" height=\"112\" x=\"32\" y=\"16\" rx=\"8\"/></g></svg>"
},
"$:/core/images/done-button": {
"title": "$:/core/images/done-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-done-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M42.26 111.032c-2.051.001-4.103-.78-5.668-2.345L2.662 74.758a8 8 0 01-.005-11.32c3.118-3.117 8.192-3.12 11.32.007l28.278 28.278 72.124-72.124a8.002 8.002 0 0111.314-.001c3.118 3.118 3.124 8.19 0 11.315l-77.78 77.78a7.978 7.978 0 01-5.658 2.343z\"/></svg>"
},
"$:/core/images/down-arrow": {
"title": "$:/core/images/down-arrow",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-down-arrow tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M64.177 100.069a7.889 7.889 0 01-5.6-2.316l-55.98-55.98a7.92 7.92 0 010-11.196c3.086-3.085 8.105-3.092 11.196 0l50.382 50.382 50.382-50.382a7.92 7.92 0 0111.195 0c3.086 3.086 3.092 8.104 0 11.196l-55.98 55.98a7.892 7.892 0 01-5.595 2.316z\"/></svg>"
},
"$:/core/images/download-button": {
"title": "$:/core/images/download-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-download-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M64 128c35.346 0 64-28.654 64-64 0-35.346-28.654-64-64-64C28.654 0 0 28.654 0 64c0 35.346 28.654 64 64 64zm0-16c26.51 0 48-21.49 48-48S90.51 16 64 16 16 37.49 16 64s21.49 48 48 48z\" class=\"tc-image-download-button-ring\"/><path d=\"M34.35 66.43l26.892 27.205a4.57 4.57 0 006.516 0L94.65 66.43a4.7 4.7 0 000-6.593 4.581 4.581 0 00-3.258-1.365h-8.46c-2.545 0-4.608-2.087-4.608-4.661v-15.15c0-2.575-2.063-4.662-4.608-4.662H55.284c-2.545 0-4.608 2.087-4.608 4.662v15.15c0 2.574-2.063 4.661-4.608 4.661h-8.46c-2.545 0-4.608 2.087-4.608 4.662a4.69 4.69 0 001.35 3.296z\"/></g></svg>"
},
"$:/core/images/edit-button": {
"title": "$:/core/images/edit-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-edit-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M95.627 10.059l-5.656 5.657 11.313 11.313 5.657-5.656-11.314-11.314zm5.657-5.657l1.966-1.966c3.123-3.122 8.194-3.129 11.319-.005 3.117 3.118 3.122 8.192-.005 11.32l-1.966 1.965-11.314-11.314zm-16.97 16.97l-60.25 60.25a8.12 8.12 0 00-.322.342c-.1.087-.198.179-.295.275-5.735 5.735-10.702 22.016-10.702 22.016s16.405-5.09 22.016-10.702c.095-.096.186-.193.272-.292a8.12 8.12 0 00.345-.325l60.25-60.25-11.314-11.313zM35.171 124.19c6.788-.577 13.898-2.272 23.689-5.348 1.825-.573 3.57-1.136 6.336-2.04 16-5.226 21.877-6.807 28.745-7.146 8.358-.413 13.854 2.13 17.58 8.699a4 4 0 006.959-3.946c-5.334-9.406-13.745-13.296-24.933-12.744-7.875.39-14.057 2.052-30.835 7.533-2.739.894-4.46 1.45-6.25 2.012-19.46 6.112-30.77 7.072-39.597 1.747a4 4 0 10-4.132 6.85c6.333 3.82 13.754 5.12 22.438 4.383z\"/></g></svg>"
},
"$:/core/images/erase": {
"title": "$:/core/images/erase",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-erase tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M60.087 127.996l63.015-63.015c6.535-6.535 6.528-17.115-.003-23.646L99.466 17.702c-6.539-6.538-17.117-6.532-23.646-.003L4.898 88.62c-6.535 6.534-6.528 17.115.003 23.646l15.73 15.73h39.456zm-34.95-7.313l-14.324-14.325c-3.267-3.268-3.268-8.564-.008-11.824L46.269 59.07l35.462 35.462-26.15 26.15H25.137z\"/></svg>"
},
"$:/core/images/excise": {
"title": "$:/core/images/excise",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-excise tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M56 107.314l-2.343 2.343a8 8 0 11-11.314-11.314l16-16a8 8 0 0111.314 0l16 16a8 8 0 11-11.314 11.314L72 107.314v14.284c0 3.536-3.582 6.402-8 6.402s-8-2.866-8-6.402v-14.284zM0 40.007C0 35.585 3.59 32 8 32c4.418 0 8 3.588 8 8.007v31.986C16 76.415 12.41 80 8 80c-4.418 0-8-3.588-8-8.007V40.007zm32 0C32 35.585 35.59 32 40 32c4.418 0 8 3.588 8 8.007v31.986C48 76.415 44.41 80 40 80c-4.418 0-8-3.588-8-8.007V40.007zm48 0C80 35.585 83.59 32 88 32c4.418 0 8 3.588 8 8.007v31.986C96 76.415 92.41 80 88 80c-4.418 0-8-3.588-8-8.007V40.007zm-24-32C56 3.585 59.59 0 64 0c4.418 0 8 3.588 8 8.007v31.986C72 44.415 68.41 48 64 48c-4.418 0-8-3.588-8-8.007V8.007zm56 32c0-4.422 3.59-8.007 8-8.007 4.418 0 8 3.588 8 8.007v31.986c0 4.422-3.59 8.007-8 8.007-4.418 0-8-3.588-8-8.007V40.007z\"/></svg>"
},
"$:/core/images/export-button": {
"title": "$:/core/images/export-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-export-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M8.003 128H119.993a7.984 7.984 0 005.664-2.349v.007A7.975 7.975 0 00128 120V56c0-4.418-3.59-8-8-8-4.418 0-8 3.58-8 8v56H16V56c0-4.418-3.59-8-8-8-4.418 0-8 3.58-8 8v64c0 4.418 3.59 8 8 8h.003zm48.62-100.689l-8.965 8.966c-3.125 3.125-8.195 3.13-11.319.005-3.118-3.118-3.122-8.192.005-11.319L58.962 2.346A7.986 7.986 0 0164.625 0l-.006.002c2.05-.001 4.102.78 5.666 2.344l22.618 22.617c3.124 3.125 3.129 8.195.005 11.319-3.118 3.118-8.192 3.122-11.319-.005l-8.965-8.966v61.256c0 4.411-3.582 8-8 8-4.41 0-8-3.582-8-8V27.311z\"/></svg>"
},
"$:/core/images/file": {
"title": "$:/core/images/file",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-file tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M111.968 30.5H112V120a8 8 0 01-8 8H24a8 8 0 01-8-8V8a8 8 0 018-8h57v.02a7.978 7.978 0 015.998 2.337l22.627 22.627a7.975 7.975 0 012.343 5.516zM81 8H24v112h80V30.5H89c-4.418 0-8-3.578-8-8V8z\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"36\" rx=\"4\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"52\" rx=\"4\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"68\" rx=\"4\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"84\" rx=\"4\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"100\" rx=\"4\"/><rect width=\"40\" height=\"8\" x=\"32\" y=\"20\" rx=\"4\"/></svg>"
},
"$:/core/images/fixed-height": {
"title": "$:/core/images/fixed-height",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-fixed-height tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M60 35.657l-9.172 9.171a4 4 0 11-5.656-5.656l16-16a4 4 0 015.656 0l16 16a4 4 0 01-5.656 5.656L68 35.657v57.686l9.172-9.171a4 4 0 115.656 5.656l-16 16a4 4 0 01-5.656 0l-16-16a4 4 0 115.656-5.656L60 93.343V35.657zM16 116h96a4 4 0 100-8H16a4 4 0 100 8zm0-96h96a4 4 0 100-8H16a4 4 0 100 8z\"/></svg>"
},
"$:/core/images/fold-all-button": {
"title": "$:/core/images/fold-all-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-fold-all tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"128\" height=\"16\" rx=\"8\"/><rect width=\"128\" height=\"16\" y=\"64\" rx=\"8\"/><path d=\"M64.03 20.004c-2.05 0-4.102.78-5.667 2.344L35.746 44.966c-3.125 3.124-3.13 8.194-.005 11.318 3.118 3.118 8.192 3.122 11.319-.005l16.965-16.965 16.966 16.965c3.124 3.125 8.194 3.13 11.318.005 3.118-3.118 3.122-8.191-.005-11.318L69.687 22.348a7.986 7.986 0 00-5.663-2.346zM64.03 85.002c-2.05-.001-4.102.78-5.667 2.344l-22.617 22.617c-3.125 3.125-3.13 8.195-.005 11.319 3.118 3.118 8.192 3.122 11.319-.005l16.965-16.966 16.966 16.966c3.124 3.125 8.194 3.13 11.318.005 3.118-3.118 3.122-8.192-.005-11.319L69.687 87.346A7.986 7.986 0 0064.024 85z\"/></g></svg>"
},
"$:/core/images/fold-button": {
"title": "$:/core/images/fold-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-fold tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"128\" height=\"16\" rx=\"8\"/><path d=\"M64.03 25.004c-2.05 0-4.102.78-5.667 2.344L35.746 49.966c-3.125 3.124-3.13 8.194-.005 11.318 3.118 3.118 8.192 3.122 11.319-.005l16.965-16.965 16.966 16.965c3.124 3.125 8.194 3.13 11.318.005 3.118-3.118 3.122-8.191-.005-11.318L69.687 27.348a7.986 7.986 0 00-5.663-2.346zM64.005 67.379c-2.05 0-4.102.78-5.666 2.344L35.722 92.34c-3.125 3.125-3.13 8.195-.006 11.32 3.118 3.117 8.192 3.121 11.32-.006L64 86.69l16.965 16.965c3.125 3.125 8.195 3.13 11.319.005 3.118-3.118 3.122-8.192-.005-11.319L69.663 69.723A7.986 7.986 0 0064 67.377z\"/></g></svg>"
},
"$:/core/images/fold-others-button": {
"title": "$:/core/images/fold-others-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-fold-others tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"128\" height=\"16\" y=\"56.031\" rx=\"8\"/><path d=\"M86.632 79.976c-2.05 0-4.102.78-5.666 2.345L64 99.286 47.034 82.321a7.986 7.986 0 00-5.662-2.346l.005.001c-2.05 0-4.102.78-5.666 2.345l-22.618 22.617c-3.124 3.125-3.129 8.195-.005 11.319 3.118 3.118 8.192 3.122 11.319-.005l16.966-16.966 16.965 16.966a7.986 7.986 0 005.663 2.346l-.005-.002c2.05 0 4.102-.78 5.666-2.344l16.965-16.966 16.966 16.966c3.125 3.124 8.194 3.129 11.319.005 3.118-3.118 3.122-8.192-.005-11.319L92.289 82.321a7.986 7.986 0 00-5.663-2.346zM86.7 48.024c-2.05 0-4.102-.78-5.666-2.345L64.07 28.714 47.103 45.679a7.986 7.986 0 01-5.663 2.346l.005-.001c-2.05 0-4.101-.78-5.666-2.345L13.162 23.062c-3.125-3.125-3.13-8.195-.005-11.319 3.118-3.118 8.192-3.122 11.319.005L41.44 28.714l16.966-16.966a7.986 7.986 0 015.662-2.346l-.005.002c2.05 0 4.102.78 5.666 2.344l16.966 16.966 16.966-16.966c3.124-3.124 8.194-3.129 11.318-.005 3.118 3.118 3.122 8.192-.005 11.319L92.358 45.679a7.986 7.986 0 01-5.663 2.346z\"/></g></svg>"
},
"$:/core/images/folder": {
"title": "$:/core/images/folder",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-folder tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M55.694 128H8C3.58 128 0 124.414 0 119.996V48.004C0 43.584 3.584 40 7.999 40H16v-8c0-4.418 3.578-8 8-8h32a8 8 0 018 8v8h40.001c4.418 0 7.999 3.586 7.999 8.004V59.83l-8-.082v-7.749A4 4 0 0099.997 48H56V36c0-2.21-1.793-4-4.004-4H28.004A4 4 0 0024 36v12H12.003A4 4 0 008 52v64a4 4 0 004.003 4h46.76l-3.069 8z\"/><path d=\"M23.873 55.5h96.003c4.417 0 7.004 4.053 5.774 9.063l-13.344 54.374c-1.228 5.005-5.808 9.063-10.223 9.063H6.08c-4.417 0-7.003-4.053-5.774-9.063L13.65 64.563c1.228-5.005 5.808-9.063 10.223-9.063zm1.78 8.5h87.994c2.211 0 3.504 2.093 2.891 4.666l-11.12 46.668c-.614 2.577-2.902 4.666-5.115 4.666H12.31c-2.211 0-3.504-2.093-2.891-4.666l11.12-46.668C21.152 66.09 23.44 64 25.653 64z\"/></g></svg>"
},
"$:/core/images/full-screen-button": {
"title": "$:/core/images/full-screen-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-full-screen-button tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M0 8a8 8 0 018-8h32a8 8 0 110 16H16v24a8 8 0 11-16 0V8zM128 120a8 8 0 01-8 8H88a8 8 0 110-16h24V88a8 8 0 1116 0v32zM8 128a8 8 0 01-8-8V88a8 8 0 1116 0v24h24a8 8 0 110 16H8zM120 0a8 8 0 018 8v32a8 8 0 11-16 0V16H88a8 8 0 110-16h32z\"/></svg>"
},
"$:/core/images/github": {
"title": "$:/core/images/github",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-github tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M63.938 1.607c-35.336 0-63.994 28.69-63.994 64.084 0 28.312 18.336 52.329 43.768 60.802 3.202.59 4.37-1.388 4.37-3.088 0-1.518-.056-5.55-.087-10.897-17.802 3.871-21.558-8.591-21.558-8.591-2.911-7.404-7.108-9.375-7.108-9.375-5.81-3.973.44-3.895.44-3.895 6.424.453 9.803 6.606 9.803 6.606 5.709 9.791 14.981 6.963 18.627 5.322.582-4.138 2.236-6.963 4.063-8.564-14.211-1.617-29.153-7.117-29.153-31.672 0-6.995 2.495-12.718 6.589-17.195-.66-1.621-2.856-8.14.629-16.96 0 0 5.37-1.722 17.597 6.57 5.104-1.424 10.58-2.132 16.022-2.16 5.438.028 10.91.736 16.022 2.16 12.22-8.292 17.582-6.57 17.582-6.57 3.493 8.82 1.297 15.339.64 16.96 4.102 4.477 6.578 10.2 6.578 17.195 0 24.618-14.966 30.035-29.22 31.62 2.295 1.98 4.342 5.89 4.342 11.87 0 8.564-.079 15.476-.079 17.576 0 1.715 1.155 3.71 4.4 3.084 25.413-8.493 43.733-32.494 43.733-60.798 0-35.394-28.657-64.084-64.006-64.084\"/></svg>"
},
"$:/core/images/gitter": {
"title": "$:/core/images/gitter",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-gitter tc-image-button\" viewBox=\"0 0 18 25\"><path d=\"M15 5h2v10h-2zM10 5h2v20h-2zM5 5h2v20H5zM0 0h2v15H0z\"/></svg>"
},
"$:/core/images/globe": {
"title": "$:/core/images/globe",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-globe tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M72.811 37.128v2.554c0 2.196.978 6.881 0 8.832-1.466 2.928-4.65 3.54-6.394 5.867-1.182 1.577-4.618 10.601-3.69 12.92 3.969 9.922 11.534 3.187 17.962 9.293.864.821 2.887 2.273 3.296 3.296 3.29 8.223-7.576 15.009 3.757 26.3 1.245 1.24 3.813-3.817 4.079-4.614.852-2.563 6.725-5.45 9.088-7.053 2.02-1.37 4.873-2.667 6.328-4.745 2.27-3.244 1.48-7.514 3.098-10.745 2.139-4.274 3.828-9.635 5.998-13.966 3.898-7.781 4.721 2.093 5.067 2.439.358.357 1.011 0 1.517 0 .094 0 1.447.099 1.516 0 .65-.935-1.043-17.92-1.318-19.297-1.404-7.01-6.944-15.781-11.865-20.5-6.274-6.015-7.09-16.197-18.259-14.954-.204.022-5.084 10.148-7.777 13.512-3.728 4.657-2.47-4.153-6.526-4.153-.081 0-1.183-.103-1.253 0-.586.88-1.44 3.896-2.306 4.417-.265.16-1.722-.239-1.846 0-2.243 4.3 8.256 2.212 5.792 7.952-2.352 5.481-6.328-1.997-6.328 8.56M44.467 7.01c9.685 6.13.682 12.198 2.694 16.215 1.655 3.303 4.241 5.395 1.714 9.814-2.063 3.608-6.87 3.966-9.623 6.723-3.04 3.044-5.464 8.94-6.79 12.911-1.617 4.843 14.547 6.866 12.063 11.008-1.386 2.311-6.746 1.466-8.437.198-1.165-.873-3.593-.546-4.417-1.78-2.613-3.915-2.26-8.023-3.625-12.128-.938-2.822-6.313-2.12-7.844-.593-.523.522-.33 1.792-.33 2.505 0 5.285 7.12 3.316 7.12 6.46 0 14.636 3.927 6.534 11.14 11.336 10.036 6.683 7.844 7.303 14.946 14.404 3.673 3.673 7.741 3.686 9.425 9.294 1.602 5.331-9.327 5.339-11.716 7.448-1.123.991-2.813 4.146-4.219 4.615-1.792.598-3.234.496-4.944 1.78-2.427 1.82-3.9 4.932-4.02 4.81-2.148-2.147-3.52-15.479-3.89-18.257-.588-4.42-5.59-5.54-6.986-9.03-1.57-3.927 1.524-9.52-1.129-13.761-6.52-10.424-11.821-14.5-15.35-26.292-.942-3.148 3.342-6.529 4.877-8.833 1.877-2.816 2.662-5.854 4.746-8.635C22.147 24.19 40.855 9.461 43.857 8.635l.61-1.625z\"/><path d=\"M64 126c34.242 0 62-27.758 62-62 0-34.242-27.758-62-62-62C29.758 2 2 29.758 2 64c0 34.242 27.758 62 62 62zm0-6c30.928 0 56-25.072 56-56S94.928 8 64 8 8 33.072 8 64s25.072 56 56 56z\"/></g></svg>"
},
"$:/core/images/heading-1": {
"title": "$:/core/images/heading-1",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-heading-1 tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M14 30h13.25v30.104H61.7V30h13.25v75.684H61.7V71.552H27.25v34.132H14V30zm70.335 13.78c2.544 0 5.017-.212 7.42-.636 2.403-.424 4.576-1.13 6.52-2.12 1.942-.99 3.603-2.261 4.981-3.816 1.378-1.555 2.28-3.463 2.703-5.724h9.858v74.2h-13.25V53.32H84.335v-9.54z\"/></svg>"
},
"$:/core/images/heading-2": {
"title": "$:/core/images/heading-2",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-heading-2 tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M6 30h13.25v30.104H53.7V30h13.25v75.684H53.7V71.552H19.25v34.132H6V30zm119.52 75.684H74.85c.07-6.148 1.555-11.519 4.452-16.112 2.897-4.593 6.855-8.586 11.872-11.978a133.725 133.725 0 017.526-5.141 59.6 59.6 0 007.208-5.353c2.19-1.908 3.993-3.975 5.406-6.201 1.413-2.226 2.155-4.788 2.226-7.685 0-1.343-.159-2.774-.477-4.293a11.357 11.357 0 00-1.855-4.24c-.919-1.307-2.19-2.403-3.816-3.286-1.625-.883-3.745-1.325-6.36-1.325-2.403 0-4.399.477-5.989 1.431-1.59.954-2.862 2.261-3.816 3.922-.954 1.66-1.66 3.622-2.12 5.883-.46 2.261-.724 4.7-.795 7.314H76.23c0-4.099.548-7.897 1.643-11.395 1.095-3.498 2.738-6.519 4.93-9.063 2.19-2.544 4.857-4.54 8.002-5.989C93.95 30.724 97.606 30 101.775 30c4.523 0 8.303.742 11.342 2.226 3.039 1.484 5.494 3.357 7.367 5.618 1.873 2.261 3.198 4.717 3.975 7.367.777 2.65 1.166 5.176 1.166 7.579 0 2.968-.46 5.653-1.378 8.056a25.942 25.942 0 01-3.71 6.625 37.5 37.5 0 01-5.3 5.565 79.468 79.468 0 01-6.148 4.77 165.627 165.627 0 01-6.36 4.24 94.28 94.28 0 00-5.883 4.028c-1.802 1.343-3.374 2.738-4.717 4.187-1.343 1.449-2.261 2.986-2.756 4.611h36.146v10.812z\"/></svg>"
},
"$:/core/images/heading-3": {
"title": "$:/core/images/heading-3",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-heading-3 tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M6 30h13.25v30.104H53.7V30h13.25v75.684H53.7V71.552H19.25v34.132H6V30zm88.885 32.224c1.979.07 3.957-.07 5.936-.424 1.979-.353 3.745-.972 5.3-1.855a10.365 10.365 0 003.763-3.657c.954-1.555 1.431-3.463 1.431-5.724 0-3.18-1.078-5.724-3.233-7.632-2.155-1.908-4.929-2.862-8.32-2.862-2.12 0-3.958.424-5.513 1.272a11.318 11.318 0 00-3.869 3.445c-1.025 1.449-1.784 3.074-2.279 4.876a18.335 18.335 0 00-.636 5.565H75.381c.141-3.604.813-6.943 2.014-10.017 1.201-3.074 2.844-5.742 4.93-8.003 2.084-2.261 4.61-4.028 7.578-5.3C92.871 30.636 96.228 30 99.973 30a29.2 29.2 0 018.533 1.272c2.791.848 5.3 2.085 7.526 3.71s4.01 3.692 5.353 6.201c1.343 2.509 2.014 5.388 2.014 8.639 0 3.745-.848 7.014-2.544 9.805-1.696 2.791-4.346 4.823-7.95 6.095v.212c4.24.848 7.544 2.95 9.911 6.307s3.551 7.438 3.551 12.243c0 3.533-.707 6.696-2.12 9.487a21.538 21.538 0 01-5.724 7.102c-2.403 1.943-5.194 3.445-8.374 4.505-3.18 1.06-6.537 1.59-10.07 1.59-4.31 0-8.074-.618-11.289-1.855s-5.9-2.986-8.056-5.247c-2.155-2.261-3.798-4.982-4.929-8.162-1.13-3.18-1.731-6.713-1.802-10.6h12.084c-.141 4.523.972 8.286 3.34 11.289 2.366 3.003 5.917 4.505 10.652 4.505 4.028 0 7.402-1.148 10.123-3.445 2.72-2.297 4.081-5.565 4.081-9.805 0-2.897-.565-5.194-1.696-6.89a10.97 10.97 0 00-4.452-3.869c-1.837-.883-3.904-1.431-6.2-1.643a58.067 58.067 0 00-7.05-.212v-9.01z\"/></svg>"
},
"$:/core/images/heading-4": {
"title": "$:/core/images/heading-4",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-heading-4 tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M8 30h13.25v30.104H55.7V30h13.25v75.684H55.7V71.552H21.25v34.132H8V30zm76.59 48.548h22.471V45.9h-.212L84.59 78.548zm43.46 9.54h-9.54v17.596H107.06V88.088h-31.8V76.11l31.8-44.626h11.448v47.064h9.54v9.54z\"/></svg>"
},
"$:/core/images/heading-5": {
"title": "$:/core/images/heading-5",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-heading-5 tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M6 30h13.25v30.104H53.7V30h13.25v75.684H53.7V71.552H19.25v34.132H6V30zm77.755 1.484h38.372v10.812H92.765L88.95 61.164l.212.212c1.625-1.837 3.692-3.233 6.201-4.187 2.509-.954 5-1.431 7.473-1.431 3.675 0 6.96.618 9.858 1.855 2.897 1.237 5.335 2.968 7.314 5.194s3.48 4.858 4.505 7.897c1.025 3.039 1.537 6.325 1.537 9.858 0 2.968-.477 6.024-1.43 9.169a25.161 25.161 0 01-4.559 8.586c-2.085 2.58-4.752 4.7-8.003 6.36-3.25 1.66-7.137 2.491-11.66 2.491-3.604 0-6.943-.477-10.017-1.431-3.074-.954-5.777-2.385-8.109-4.293-2.332-1.908-4.187-4.258-5.565-7.049-1.378-2.791-2.138-6.06-2.279-9.805h12.084c.353 4.028 1.731 7.12 4.134 9.275 2.403 2.155 5.583 3.233 9.54 3.233 2.544 0 4.7-.424 6.466-1.272 1.767-.848 3.198-2.014 4.293-3.498 1.095-1.484 1.873-3.215 2.332-5.194.46-1.979.69-4.099.69-6.36 0-2.05-.284-4.01-.849-5.883-.565-1.873-1.413-3.516-2.544-4.929-1.13-1.413-2.597-2.544-4.399-3.392-1.802-.848-3.904-1.272-6.307-1.272-2.544 0-4.929.477-7.155 1.431-2.226.954-3.834 2.738-4.823 5.353H75.805l7.95-40.598z\"/></svg>"
},
"$:/core/images/heading-6": {
"title": "$:/core/images/heading-6",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-heading-6 tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M6 30h13.25v30.104H53.7V30h13.25v75.684H53.7V71.552H19.25v34.132H6V30zm106.587 20.246c-.283-3.039-1.36-5.494-3.233-7.367-1.873-1.873-4.399-2.809-7.579-2.809-2.19 0-4.08.406-5.67 1.219a12.435 12.435 0 00-4.029 3.233c-1.095 1.343-1.979 2.88-2.65 4.611a37.696 37.696 0 00-1.643 5.459 46.08 46.08 0 00-.9 5.671 722.213 722.213 0 00-.478 5.247l.212.212c1.625-2.968 3.87-5.176 6.731-6.625 2.862-1.449 5.954-2.173 9.275-2.173 3.675 0 6.96.636 9.858 1.908 2.897 1.272 5.353 3.021 7.367 5.247 2.014 2.226 3.551 4.858 4.611 7.897 1.06 3.039 1.59 6.325 1.59 9.858 0 3.604-.583 6.943-1.749 10.017-1.166 3.074-2.844 5.76-5.035 8.056-2.19 2.297-4.805 4.081-7.844 5.353-3.039 1.272-6.395 1.908-10.07 1.908-5.441 0-9.91-1.007-13.409-3.021-3.498-2.014-6.254-4.77-8.268-8.268-2.014-3.498-3.41-7.597-4.187-12.296-.777-4.7-1.166-9.77-1.166-15.211 0-4.452.477-8.94 1.431-13.462.954-4.523 2.526-8.639 4.717-12.349 2.19-3.71 5.07-6.731 8.64-9.063C92.676 31.166 97.075 30 102.304 30c2.968 0 5.76.495 8.374 1.484 2.615.99 4.93 2.367 6.943 4.134 2.014 1.767 3.657 3.887 4.93 6.36 1.271 2.473 1.978 5.23 2.12 8.268h-12.085zm-11.66 46.852c2.19 0 4.099-.442 5.724-1.325a12.869 12.869 0 004.081-3.445c1.095-1.413 1.908-3.056 2.438-4.929.53-1.873.795-3.798.795-5.777s-.265-3.887-.795-5.724c-.53-1.837-1.343-3.445-2.438-4.823-1.095-1.378-2.456-2.491-4.08-3.339-1.626-.848-3.534-1.272-5.725-1.272-2.19 0-4.116.406-5.777 1.219-1.66.813-3.056 1.908-4.187 3.286-1.13 1.378-1.979 2.986-2.544 4.823-.565 1.837-.848 3.78-.848 5.83 0 2.05.283 3.993.848 5.83.565 1.837 1.413 3.48 2.544 4.929a12.39 12.39 0 004.187 3.445c1.66.848 3.586 1.272 5.777 1.272z\"/></svg>"
},
"$:/core/images/help": {
"title": "$:/core/images/help",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-help tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M36.055 111.441c-5.24 4.396-15.168 7.362-26.555 7.362-1.635 0-3.24-.06-4.806-.179 7.919-2.64 14.062-8.6 16.367-16.014C8.747 92.845 1.05 78.936 1.05 63.5c0-29.547 28.206-53.5 63-53.5s63 23.953 63 53.5-28.206 53.5-63 53.5c-10.055 0-19.56-2-27.994-5.559zm35.35-33.843a536.471 536.471 0 00.018-4.682 199.02 199.02 0 00-.023-3.042c.008-1.357.595-2.087 3.727-4.235.112-.077 1.085-.74 1.386-.948 3.093-2.133 5.022-3.786 6.762-6.187 2.34-3.228 3.558-7.077 3.558-11.649 0-13.292-9.86-21.952-21.455-21.952-11.103 0-22.499 9.609-24.066 22.295a6.023 6.023 0 1011.956 1.477c.806-6.527 6.972-11.726 12.11-11.726 5.265 0 9.408 3.64 9.408 9.906 0 3.634-1.1 5.153-5.111 7.919l-1.362.93c-2.682 1.84-4.227 3.1-5.7 4.931-2.109 2.62-3.242 5.717-3.258 9.314.013.892.02 1.86.022 2.981a470.766 470.766 0 01-.022 4.943 6.023 6.023 0 1012.046.12l.003-.395zm-6.027 24.499a7.529 7.529 0 100-15.058 7.529 7.529 0 000 15.058z\"/></svg>"
},
"$:/core/images/home-button": {
"title": "$:/core/images/home-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-home-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M112.985 119.502c.01-.165.015-.331.015-.499V67.568c3.137 2.948 8.076 2.884 11.134-.174a7.999 7.999 0 00-.002-11.316L70.396 2.343A7.978 7.978 0 0064.734 0a7.957 7.957 0 00-5.656 2.343L33 28.42V8.007C33 3.585 29.41 0 25 0c-4.418 0-8 3.59-8 8.007V44.42L5.342 56.078c-3.125 3.125-3.12 8.198-.002 11.316a7.999 7.999 0 0011.316-.003l.344-.343v52.945a8.11 8.11 0 000 .007c0 4.418 3.588 8 8 8h80c4.419 0 8-3.59 8-8a8.11 8.11 0 00-.015-.498zM97 112V51.574L64.737 19.31 33 51.048V112h64z\"/></svg>"
},
"$:/core/images/import-button": {
"title": "$:/core/images/import-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-import-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M114.832 60.436s3.235-3.27 6.921.417c3.686 3.686.231 7.14.231 7.14l-42.153 42.92s-30.765 32.367-58.798 4.333C-7 87.213 24.59 55.623 24.59 55.623L67.363 12.85s22.725-24.6 43.587-3.738c20.862 20.862-3.96 43.09-3.96 43.09l-35.04 35.04S49.903 112.546 36.426 99.07c-13.476-13.477 11.83-35.523 11.83-35.523l35.04-35.04s3.902-3.902 7.78-.023c3.879 3.878.118 7.921.118 7.921l-35.04 35.04s-13.212 13.212-8.872 17.551c4.34 4.34 16.77-9.653 16.77-9.653l35.04-35.04s16.668-14.598 3.966-27.3c-13.893-13.892-27.565 3.702-27.565 3.702l-42.91 42.91s-23.698 23.698-3.658 43.738 43.012-4.385 43.012-4.385l42.895-42.533z\"/></svg>"
},
"$:/core/images/info-button": {
"title": "$:/core/images/info-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-info-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\" transform=\"translate(.05)\"><path d=\"M64 128c35.346 0 64-28.654 64-64 0-35.346-28.654-64-64-64C28.654 0 0 28.654 0 64c0 35.346 28.654 64 64 64zm0-16c26.51 0 48-21.49 48-48S90.51 16 64 16 16 37.49 16 64s21.49 48 48 48z\"/><circle cx=\"64\" cy=\"32\" r=\"8\"/><rect width=\"16\" height=\"56\" x=\"56\" y=\"48\" rx=\"8\"/></g></svg>"
},
"$:/core/images/italic": {
"title": "$:/core/images/italic",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-italic tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M66.711 0h22.41L62.408 128H40z\"/></svg>"
},
"$:/core/images/left-arrow": {
"title": "$:/core/images/left-arrow",
"created": "20150315234410875",
"modified": "20150315235324760",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-left-arrow tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M0 64.177c0-2.026.771-4.054 2.317-5.6l55.98-55.98a7.92 7.92 0 0111.195.001c3.086 3.085 3.092 8.104.001 11.195L19.111 64.175l50.382 50.382a7.92 7.92 0 010 11.195c-3.086 3.086-8.105 3.092-11.196.001l-55.98-55.98A7.892 7.892 0 010 64.177z\"/></svg>"
},
"$:/core/images/line-width": {
"title": "$:/core/images/line-width",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-line-width tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M16 18h96a2 2 0 000-4H16a2 2 0 100 4zm0 17h96a4 4 0 100-8H16a4 4 0 100 8zm0 21h96a6 6 0 000-12H16a6 6 0 100 12zm0 29h96c5.523 0 10-4.477 10-10s-4.477-10-10-10H16c-5.523 0-10 4.477-10 10s4.477 10 10 10zm0 43h96c8.837 0 16-7.163 16-16s-7.163-16-16-16H16c-8.837 0-16 7.163-16 16s7.163 16 16 16z\"/></svg>"
},
"$:/core/images/link": {
"title": "$:/core/images/link",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-link tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M42.263 69.38a31.919 31.919 0 006.841 10.13c12.5 12.5 32.758 12.496 45.255 0l22.627-22.628c12.502-12.501 12.497-32.758 0-45.255-12.5-12.5-32.758-12.496-45.254 0L49.104 34.255a32.333 32.333 0 00-2.666 3.019 36.156 36.156 0 0121.94.334l14.663-14.663c6.25-6.25 16.382-6.254 22.632-.004 6.248 6.249 6.254 16.373-.004 22.631l-22.62 22.62c-6.25 6.25-16.381 6.254-22.631.004a15.93 15.93 0 01-4.428-8.433 11.948 11.948 0 00-7.59 3.48l-6.137 6.137z\"/><path d=\"M86.35 59.234a31.919 31.919 0 00-6.84-10.13c-12.5-12.5-32.758-12.497-45.255 0L11.627 71.732c-12.501 12.5-12.496 32.758 0 45.254 12.5 12.5 32.758 12.497 45.255 0L79.51 94.36a32.333 32.333 0 002.665-3.02 36.156 36.156 0 01-21.94-.333l-14.663 14.663c-6.25 6.25-16.381 6.253-22.63.004-6.25-6.249-6.255-16.374.003-22.632l22.62-22.62c6.25-6.25 16.381-6.253 22.631-.003a15.93 15.93 0 014.428 8.432 11.948 11.948 0 007.59-3.48l6.137-6.136z\"/></g></svg>"
},
"$:/core/images/linkify": {
"title": "$:/core/images/linkify",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-linkify-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M17.031 31.919H9.048V96.85h7.983v6.92H0V25h17.031v6.919zm24.66 0h-7.983V96.85h7.983v6.92H24.66V25h17.03v6.919zM67.77 56.422l11.975-3.903 2.306 7.096-12.063 3.903 7.628 10.379-6.12 4.435-7.63-10.467-7.45 10.2-5.943-4.523L58.1 63.518 45.95 59.35l2.306-7.096 12.064 4.17V43.825h7.45v12.596zM86.31 96.85h7.982V31.92H86.31V25h17.031v78.77H86.31v-6.92zm24.659 0h7.983V31.92h-7.983V25H128v78.77h-17.031v-6.92z\"/></svg>"
},
"$:/core/images/list-bullet": {
"title": "$:/core/images/list-bullet",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-list-bullet tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M11.636 40.273c6.427 0 11.637-5.21 11.637-11.637C23.273 22.21 18.063 17 11.636 17 5.21 17 0 22.21 0 28.636c0 6.427 5.21 11.637 11.636 11.637zm0 34.909c6.427 0 11.637-5.21 11.637-11.637 0-6.426-5.21-11.636-11.637-11.636C5.21 51.91 0 57.12 0 63.545c0 6.427 5.21 11.637 11.636 11.637zm0 34.909c6.427 0 11.637-5.21 11.637-11.636 0-6.427-5.21-11.637-11.637-11.637C5.21 86.818 0 92.028 0 98.455c0 6.426 5.21 11.636 11.636 11.636zM34.91 22.818H128v11.637H34.91V22.818zm0 34.91H128v11.636H34.91V57.727zm0 34.908H128v11.637H34.91V92.636z\"/></svg>"
},
"$:/core/images/list-number": {
"title": "$:/core/images/list-number",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-list-number tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M33.84 22.356H128v11.77H33.84v-11.77zm0 35.31H128v11.77H33.84v-11.77zm0 35.311H128v11.77H33.84v-11.77zM.38 42.631v-2.223h.998c.826 0 1.445-.14 1.858-.42.413-.28.619-.948.619-2.002V22.769c0-1.442-.193-2.336-.58-2.683-.385-.347-1.477-.52-3.275-.52v-2.143c3.502-.147 6.252-.955 8.25-2.423h2.117v22.865c0 .921.15 1.575.449 1.963.3.387.949.58 1.948.58h.998v2.223H.38zm-.3 35.356v-1.902c7.19-6.554 10.786-12.58 10.786-18.08 0-1.562-.326-2.81-.979-3.744-.652-.934-1.524-1.402-2.616-1.402-.893 0-1.655.317-2.287.952-.633.634-.95 1.364-.95 2.192 0 .974.247 1.829.74 2.563.106.16.16.28.16.36 0 .147-.16.28-.48.4-.213.08-.752.308-1.618.681-.839.374-1.358.561-1.558.561-.24 0-.512-.37-.819-1.111A6.2 6.2 0 010 57.064c0-1.949.849-3.544 2.547-4.785 1.698-1.242 3.798-1.862 6.302-1.862 2.463 0 4.53.67 6.202 2.012 1.67 1.341 2.506 3.093 2.506 5.256a8.644 8.644 0 01-.849 3.724c-.566 1.201-1.92 3.053-4.064 5.556a165.471 165.471 0 01-6.272 6.938h11.445l-1.019 5.726h-2.117c.08-.28.12-.534.12-.76 0-.388-.1-.631-.3-.731-.2-.1-.599-.15-1.198-.15H.08zm12.124 19.207c1.745.04 3.236.637 4.474 1.792 1.239 1.154 1.858 2.773 1.858 4.855 0 2.99-1.132 5.393-3.396 7.208-2.263 1.815-5 2.723-8.209 2.723-2.01 0-3.669-.384-4.974-1.151C.652 111.853 0 110.849 0 109.607c0-.774.27-1.398.809-1.872.54-.474 1.128-.71 1.768-.71.639 0 1.162.2 1.568.6.406.4.782 1.055 1.128 1.962.466 1.268 1.239 1.902 2.317 1.902 1.265 0 2.287-.477 3.066-1.431.78-.955 1.169-2.686 1.169-5.196 0-1.709-.12-3.023-.36-3.944-.24-.921-.792-1.382-1.658-1.382-.586 0-1.185.307-1.797.921-.493.494-.932.741-1.319.741-.333 0-.602-.147-.809-.44-.206-.294-.31-.574-.31-.841 0-.32.104-.594.31-.821.207-.227.69-.594 1.449-1.102 2.876-1.922 4.314-4.017 4.314-6.287 0-1.188-.306-2.092-.919-2.713a3.001 3.001 0 00-2.217-.93c-.799 0-1.525.263-2.177.79-.653.528-.979 1.158-.979 1.892 0 .641.253 1.235.76 1.782.172.2.259.367.259.5 0 .121-.57.428-1.708.922-1.139.494-1.854.74-2.147.74-.413 0-.75-.333-1.009-1-.26-.668-.39-1.282-.39-1.842 0-1.749.93-3.224 2.787-4.425 1.858-1.202 3.965-1.802 6.322-1.802 2.064 0 3.851.447 5.363 1.341 1.511.895 2.267 2.116 2.267 3.664 0 1.362-.57 2.623-1.708 3.784a13.387 13.387 0 01-3.945 2.784z\"/></svg>"
},
"$:/core/images/list": {
"title": "$:/core/images/list",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-list tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M87.748 128H23.999c-4.418 0-7.999-3.59-7.999-8.007V8.007C16 3.585 19.588 0 24 0h80c4.419 0 8 3.59 8 8.007V104H91.25c-.965 0-1.84.392-2.473 1.025a3.476 3.476 0 00-1.029 2.476V128zm8-.12l15.88-15.88h-15.88v15.88zM40 15.508A3.502 3.502 0 0143.5 12h55c1.933 0 3.5 1.561 3.5 3.509v.982A3.502 3.502 0 0198.5 20h-55a3.498 3.498 0 01-3.5-3.509v-.982zM32 22a6 6 0 100-12 6 6 0 000 12zm8 9.509A3.502 3.502 0 0143.5 28h55c1.933 0 3.5 1.561 3.5 3.509v.982A3.502 3.502 0 0198.5 36h-55a3.498 3.498 0 01-3.5-3.509v-.982zm0 16A3.502 3.502 0 0143.5 44h55c1.933 0 3.5 1.561 3.5 3.509v.982A3.502 3.502 0 0198.5 52h-55a3.498 3.498 0 01-3.5-3.509v-.982zm0 16A3.502 3.502 0 0143.5 60h55c1.933 0 3.5 1.561 3.5 3.509v.982A3.502 3.502 0 0198.5 68h-55a3.498 3.498 0 01-3.5-3.509v-.982zm0 16A3.502 3.502 0 0143.5 76h55c1.933 0 3.5 1.561 3.5 3.509v.982A3.502 3.502 0 0198.5 84h-55a3.498 3.498 0 01-3.5-3.509v-.982zm0 16A3.502 3.502 0 0143.5 92h55c1.933 0 3.5 1.561 3.5 3.509v.982A3.502 3.502 0 0198.5 100h-55a3.498 3.498 0 01-3.5-3.509v-.982zm0 16A3.505 3.505 0 0143.497 108h33.006A3.497 3.497 0 0180 111.509v.982A3.505 3.505 0 0176.503 116H43.497A3.497 3.497 0 0140 112.491v-.982zM32 38a6 6 0 100-12 6 6 0 000 12zm0 16a6 6 0 100-12 6 6 0 000 12zm0 16a6 6 0 100-12 6 6 0 000 12zm0 16a6 6 0 100-12 6 6 0 000 12zm0 16a6 6 0 100-12 6 6 0 000 12zm0 16a6 6 0 100-12 6 6 0 000 12z\"/></svg>"
},
"$:/core/images/locked-padlock": {
"title": "$:/core/images/locked-padlock",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-locked-padlock tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M96.472 64H105v32.01C105 113.674 90.674 128 73.001 128H56C38.318 128 24 113.677 24 96.01V64h8c.003-15.723.303-47.731 32.16-47.731 31.794 0 32.305 32.057 32.312 47.731zm-15.897 0H48.44c.002-16.287.142-32 15.719-32 15.684 0 16.977 16.136 16.415 32zM67.732 92.364A8.503 8.503 0 0064.5 76a8.5 8.5 0 00-3.498 16.25l-5.095 22.77H72.8l-5.07-22.656z\"/></svg>"
},
"$:/core/images/mail": {
"title": "$:/core/images/mail",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-mail tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M122.827 104.894a7.986 7.986 0 01-2.834.516H8.007c-.812 0-1.597-.12-2.335-.345l34.163-34.163 20.842 20.842a3.998 3.998 0 003.418 1.134 4.003 4.003 0 003.395-1.134L88.594 70.64c.075.09.155.176.24.26l33.993 33.994zm5.076-6.237c.064-.406.097-.823.097-1.247v-64c0-.669-.082-1.318-.237-1.94L94.23 65.006c.09.075.177.154.261.239l33.413 33.413zm-127.698.56A8.023 8.023 0 010 97.41v-64c0-.716.094-1.41.271-2.071l33.907 33.906L.205 99.218zM5.93 25.684a8.012 8.012 0 012.078-.273h111.986c.766 0 1.507.108 2.209.308L64.083 83.837 5.93 25.683z\"/></svg>"
},
"$:/core/images/menu-button": {
"title": "$:/core/images/menu-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-menu-button tc-image-button\" viewBox=\"0 0 128 128\"><rect width=\"128\" height=\"16\" y=\"16\" rx=\"8\"/><rect width=\"128\" height=\"16\" y=\"56\" rx=\"8\"/><rect width=\"128\" height=\"16\" y=\"96\" rx=\"8\"/></svg>"
},
"$:/core/images/mono-block": {
"title": "$:/core/images/mono-block",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-mono-block tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M23.965 32.967h.357c.755 0 1.328.192 1.72.577.39.384.586.947.586 1.688 0 .824-.206 1.418-.618 1.782-.413.363-1.094.545-2.045.545h-6.31c-.965 0-1.65-.178-2.056-.535-.405-.356-.608-.954-.608-1.792 0-.811.203-1.391.608-1.74.406-.35 1.09-.525 2.055-.525h.734l-.86-2.453H8.471l-.902 2.453h.734c.95 0 1.632.178 2.044.535.413.356.619.933.619 1.73 0 .824-.206 1.418-.619 1.782-.412.363-1.094.545-2.044.545h-5.41c-.964 0-1.649-.182-2.054-.545-.406-.364-.608-.958-.608-1.782 0-.741.195-1.304.587-1.688.391-.385.964-.577 1.719-.577h.356l5.62-15.641H6.835c-.95 0-1.632-.182-2.044-.546-.412-.363-.619-.95-.619-1.76 0-.825.207-1.42.619-1.783.412-.363 1.094-.545 2.044-.545h7.863c1.244 0 2.118.67 2.62 2.013v.063l6.647 18.2zM12.98 17.326l-3.04 8.848h6.08l-3.04-8.848zm22.402 9.372v6.395h3.145c2.223 0 3.788-.245 4.697-.734.908-.49 1.362-1.307 1.362-2.453 0-1.16-.433-1.985-1.3-2.474-.866-.49-2.383-.734-4.55-.734h-3.354zm10.693-2.327c1.524.559 2.642 1.324 3.355 2.295.713.972 1.07 2.212 1.07 3.722 0 1.272-.308 2.432-.923 3.48-.615 1.049-1.496 1.909-2.642 2.58a7.499 7.499 0 01-2.254.849c-.832.174-2.01.262-3.533.262H30.202c-.922 0-1.583-.182-1.981-.545-.399-.364-.598-.958-.598-1.782 0-.741.189-1.304.566-1.688.378-.385.93-.577 1.657-.577h.356V17.326h-.356c-.727 0-1.28-.196-1.657-.587-.377-.392-.566-.965-.566-1.72 0-.81.203-1.401.608-1.771.406-.37 1.062-.556 1.971-.556h9.645c2.95 0 5.19.573 6.72 1.72 1.53 1.145 2.296 2.823 2.296 5.031 0 1.09-.234 2.052-.703 2.883-.468.832-1.163 1.513-2.086 2.045zM35.381 17.2v5.284h2.83c1.72 0 2.932-.203 3.638-.609.706-.405 1.06-1.09 1.06-2.054 0-.909-.319-1.573-.955-1.992-.636-.42-1.667-.63-3.093-.63h-3.48zm35.863-3.816c.28-.503.566-.86.86-1.07.293-.21.664-.314 1.111-.314.685 0 1.17.182 1.457.545.287.364.43.986.43 1.866l.042 5.452c0 .964-.157 1.614-.472 1.95-.314.335-.884.503-1.709.503-.587 0-1.037-.14-1.352-.42-.314-.28-.584-.796-.807-1.551-.364-1.328-.944-2.282-1.74-2.862-.797-.58-1.901-.87-3.313-.87-2.153 0-3.802.727-4.948 2.18-1.147 1.454-1.72 3.558-1.72 6.311 0 2.74.58 4.844 1.74 6.311 1.16 1.468 2.817 2.202 4.97 2.202 1.467 0 3.085-.49 4.854-1.468 1.768-.978 2.883-1.467 3.344-1.467.545 0 1.003.23 1.373.692.37.46.556 1.034.556 1.719 0 1.23-1.084 2.39-3.25 3.48-2.167 1.09-4.606 1.636-7.318 1.636-3.662 0-6.625-1.21-8.89-3.627-2.264-2.419-3.396-5.578-3.396-9.478 0-3.76 1.146-6.884 3.438-9.372 2.293-2.488 5.2-3.732 8.723-3.732.992 0 1.97.112 2.935.335.964.224 1.992.574 3.082 1.049zm10.22 19.583V17.326h-.356c-.755 0-1.328-.196-1.72-.587-.39-.392-.586-.965-.586-1.72 0-.81.21-1.401.629-1.771.42-.37 1.097-.556 2.034-.556h5.178c2.922 0 5.06.126 6.416.377 1.356.252 2.51.671 3.46 1.258 1.691 1.007 2.988 2.443 3.89 4.31.9 1.865 1.352 4.021 1.352 6.467 0 2.586-.514 4.847-1.541 6.783-1.028 1.936-2.485 3.4-4.372 4.393-.853.447-1.852.772-2.998.975-1.147.203-2.852.304-5.116.304h-6.269c-.965 0-1.65-.178-2.055-.535-.406-.356-.608-.954-.608-1.792 0-.741.195-1.304.587-1.688.391-.385.964-.577 1.72-.577h.356zm5.41-15.725v15.725h1.195c2.642 0 4.592-.646 5.85-1.94 1.258-1.292 1.887-3.28 1.887-5.965 0-2.641-.64-4.612-1.918-5.912-1.28-1.3-3.205-1.95-5.777-1.95-.335 0-.59.003-.765.01a7.992 7.992 0 00-.472.032zm35.067-.126h-9.75v5.368h3.69v-.252c0-.797.175-1.39.524-1.782.35-.392.88-.587 1.594-.587.629 0 1.142.178 1.54.534.4.357.598.808.598 1.353 0 .028.007.118.021.272.014.154.021.308.021.462v4.34c0 .936-.167 1.607-.503 2.013-.335.405-.88.608-1.635.608-.713 0-1.251-.19-1.615-.567-.363-.377-.545-.936-.545-1.677v-.377h-3.69v6.269h9.75v-2.495c0-.937.178-1.608.534-2.013.357-.405.94-.608 1.75-.608.798 0 1.367.2 1.71.597.342.399.513 1.073.513 2.024v5.074c0 .755-.146 1.258-.44 1.51-.293.251-.873.377-1.74.377h-17.172c-.923 0-1.583-.182-1.982-.545-.398-.364-.597-.958-.597-1.782 0-.741.189-1.304.566-1.688.377-.385.93-.577 1.656-.577h.357V17.326h-.357c-.712 0-1.261-.2-1.646-.598-.384-.398-.576-.968-.576-1.709 0-.81.203-1.401.608-1.771.405-.37 1.062-.556 1.97-.556h17.173c.853 0 1.43.13 1.73.388.3.258.45.772.45 1.54v4.698c0 .95-.174 1.631-.524 2.044-.35.412-.915.618-1.698.618-.81 0-1.394-.21-1.75-.629-.357-.419-.535-1.097-.535-2.033v-2.202zM19.77 47.641c.267-.504.55-.86.85-1.07.3-.21.675-.314 1.122-.314.685 0 1.17.181 1.457.545.287.363.43.985.43 1.866l.042 5.451c0 .965-.157 1.615-.472 1.95-.314.336-.891.504-1.73.504-.587 0-1.045-.144-1.373-.43-.329-.287-.598-.8-.807-1.541-.378-1.342-.958-2.3-1.74-2.873-.783-.573-1.88-.86-3.292-.86-2.153 0-3.799.727-4.938 2.181-1.14 1.454-1.709 3.557-1.709 6.311s.598 4.882 1.793 6.385C10.599 67.248 12.294 68 14.488 68c.503 0 1.077-.06 1.72-.179a23.809 23.809 0 002.264-.555v-3.313h-2.37c-.95 0-1.624-.175-2.023-.524-.398-.35-.597-.93-.597-1.74 0-.84.199-1.437.597-1.793.399-.357 1.073-.535 2.024-.535h7.569c.978 0 1.667.175 2.065.524.398.35.598.937.598 1.762 0 .74-.2 1.31-.598 1.708-.398.399-.975.598-1.73.598h-.335v5.242c0 .447-.05.758-.147.933-.098.174-.293.353-.587.534-.797.476-2.062.895-3.795 1.258a25.576 25.576 0 01-5.263.546c-3.662 0-6.625-1.21-8.89-3.628-2.264-2.418-3.397-5.577-3.397-9.477 0-3.76 1.147-6.884 3.44-9.372 2.292-2.488 5.199-3.732 8.721-3.732.979 0 1.954.112 2.925.335.972.224 2.003.573 3.093 1.049zm15.84 3.941v4.823h6.857v-4.823h-.336c-.754 0-1.331-.195-1.73-.587-.398-.391-.597-.964-.597-1.719 0-.825.206-1.419.619-1.782.412-.364 1.093-.545 2.044-.545h5.41c.95 0 1.624.181 2.023.545.398.363.597.957.597 1.782 0 .755-.192 1.328-.576 1.72-.385.39-.947.586-1.688.586h-.357v15.642h.357c.755 0 1.328.192 1.719.576.391.385.587.947.587 1.688 0 .825-.203 1.419-.608 1.782-.405.364-1.09.546-2.055.546h-5.41c-.964 0-1.649-.179-2.054-.535-.405-.357-.608-.954-.608-1.793 0-.74.2-1.303.598-1.688.398-.384.975-.576 1.73-.576h.335v-6.186h-6.856v6.186h.335c.755 0 1.331.192 1.73.576.398.385.597.947.597 1.688 0 .825-.206 1.419-.618 1.782-.412.364-1.094.546-2.044.546h-5.41c-.964 0-1.65-.179-2.055-.535-.405-.357-.608-.954-.608-1.793 0-.74.196-1.303.587-1.688.392-.384.965-.576 1.72-.576h.356V51.582h-.356c-.741 0-1.304-.195-1.688-.587-.385-.391-.577-.964-.577-1.719 0-.825.2-1.419.598-1.782.398-.364 1.073-.545 2.023-.545h5.41c.936 0 1.614.181 2.033.545.42.363.63.957.63 1.782 0 .755-.2 1.328-.598 1.72-.399.39-.975.586-1.73.586h-.335zm31.754 0v15.642h3.523c.95 0 1.632.178 2.044.534.412.357.618.933.618 1.73 0 .811-.21 1.402-.629 1.772-.419.37-1.097.556-2.033.556H58.433c-.95 0-1.632-.182-2.044-.546-.412-.363-.619-.957-.619-1.782 0-.81.203-1.39.608-1.74.406-.35 1.09-.524 2.055-.524h3.523V51.582h-3.523c-.95 0-1.632-.181-2.044-.545-.412-.363-.619-.95-.619-1.761 0-.825.203-1.412.608-1.761.406-.35 1.09-.524 2.055-.524h12.455c.992 0 1.684.174 2.075.524.392.35.587.936.587 1.761 0 .81-.202 1.398-.608 1.761-.405.364-1.09.545-2.054.545h-3.523zm30.496 0v11.994c0 1.873-.122 3.228-.367 4.067a5.876 5.876 0 01-1.227 2.244c-.74.852-1.768 1.495-3.082 1.929-1.314.433-2.893.65-4.738.65-1.3 0-2.555-.126-3.764-.378a16.843 16.843 0 01-3.491-1.132c-.615-.28-1.017-.643-1.206-1.09-.188-.448-.283-1.175-.283-2.18v-4.32c0-1.202.175-2.04.525-2.516.349-.475.957-.713 1.824-.713 1.244 0 1.929.915 2.054 2.747.014.321.035.566.063.733.168 1.622.545 2.73 1.133 3.324.587.594 1.523.89 2.81.89 1.593 0 2.714-.422 3.364-1.268.65-.845.975-2.386.975-4.623V51.582H88.93c-.95 0-1.632-.181-2.044-.545-.413-.363-.619-.95-.619-1.761 0-.825.2-1.412.598-1.761.398-.35 1.086-.524 2.065-.524h10.693c.979 0 1.667.174 2.065.524.399.35.598.936.598 1.761 0 .81-.206 1.398-.619 1.761-.412.364-1.093.545-2.044.545h-1.761zm14.644 0v6.353l6.48-6.478c-.728-.084-1.238-.29-1.531-.619-.294-.328-.44-.85-.44-1.562 0-.825.198-1.419.597-1.782.398-.364 1.073-.545 2.023-.545h5.137c.95 0 1.625.181 2.023.545.399.363.598.957.598 1.782 0 .769-.2 1.345-.598 1.73-.398.384-.982.576-1.75.576h-.483l-6.101 6.06c1.132.839 2.167 1.94 3.103 3.302.937 1.363 2.034 3.456 3.292 6.28h.692c.825 0 1.44.188 1.845.566.405.377.608.943.608 1.698 0 .825-.206 1.419-.619 1.782-.412.364-1.093.546-2.044.546h-2.579c-1.132 0-2.048-.762-2.746-2.286-.126-.28-.224-.503-.294-.67-.923-1.958-1.768-3.467-2.537-4.53a16.616 16.616 0 00-2.705-2.914l-1.97 1.887v3.92h.335c.755 0 1.331.193 1.73.577.398.385.597.947.597 1.688 0 .825-.206 1.419-.618 1.782-.413.364-1.094.546-2.045.546h-5.41c-.964 0-1.649-.179-2.054-.535-.405-.357-.608-.954-.608-1.793 0-.74.196-1.303.587-1.688.391-.384.965-.576 1.72-.576h.356V51.582h-.357c-.74 0-1.303-.195-1.687-.587-.385-.391-.577-.964-.577-1.719 0-.825.2-1.419.598-1.782.398-.364 1.072-.545 2.023-.545h5.41c.936 0 1.614.181 2.033.545.42.363.63.957.63 1.782 0 .755-.2 1.328-.598 1.72-.399.39-.975.586-1.73.586h-.336zM13.44 96.326l4.005-11.889c.251-.782.6-1.352 1.048-1.709.447-.356 1.041-.534 1.782-.534h3.271c.95 0 1.632.182 2.044.545.413.363.619.957.619 1.782 0 .755-.2 1.328-.598 1.72-.398.39-.975.587-1.73.587h-.335l.587 15.641h.357c.754 0 1.32.192 1.698.577.377.384.566.947.566 1.687 0 .825-.2 1.42-.598 1.783-.398.363-1.072.545-2.023.545h-4.718c-.95 0-1.624-.178-2.023-.535-.398-.356-.597-.954-.597-1.793 0-.74.192-1.303.576-1.687.385-.385.954-.577 1.709-.577h.335l-.293-12.79-3.061 9.52c-.224.712-.542 1.226-.954 1.54-.413.315-.982.472-1.709.472-.727 0-1.303-.157-1.73-.472-.426-.314-.751-.828-.975-1.54l-3.04-9.52-.294 12.79h.336c.755 0 1.324.192 1.709.577.384.384.576.947.576 1.687 0 .825-.202 1.42-.608 1.783-.405.363-1.076.545-2.013.545H2.621c-.937 0-1.608-.182-2.013-.545-.405-.364-.608-.958-.608-1.783 0-.74.192-1.303.577-1.687.384-.385.954-.577 1.708-.577h.336l.608-15.641h-.336c-.754 0-1.331-.196-1.73-.588-.398-.39-.597-.964-.597-1.719 0-.825.206-1.419.619-1.782.412-.363 1.093-.545 2.044-.545h3.27c.728 0 1.311.175 1.752.524.44.35.8.923 1.08 1.72l4.109 11.888zm30.454 2.054V86.828H42.74c-.922 0-1.583-.182-1.981-.546-.398-.363-.598-.95-.598-1.76 0-.812.2-1.402.598-1.773.398-.37 1.059-.555 1.981-.555h5.955c.909 0 1.566.185 1.97.555.406.37.609.961.609 1.772 0 .741-.192 1.31-.577 1.709-.384.398-.933.598-1.646.598h-.356v19.038c0 .657-.07 1.069-.21 1.237-.14.167-.454.251-.943.251h-2.097c-.67 0-1.143-.07-1.415-.21-.273-.14-.507-.384-.703-.733l-8.722-15.327v11.385h1.216c.909 0 1.559.175 1.95.524.392.35.587.93.587 1.74 0 .825-.199 1.42-.597 1.783-.399.363-1.045.545-1.94.545h-6.017c-.909 0-1.566-.182-1.971-.545-.406-.364-.608-.958-.608-1.783 0-.74.188-1.303.566-1.687.377-.385.936-.577 1.677-.577h.336V86.828h-.336c-.713 0-1.265-.2-1.656-.598-.392-.398-.587-.968-.587-1.709 0-.81.206-1.401.618-1.772.413-.37 1.066-.555 1.96-.555h3.44c.824 0 1.383.108 1.677.325.293.216.622.653.985 1.31l7.989 14.551zM64.66 86.366c-1.803 0-3.218.727-4.245 2.18-1.028 1.455-1.541 3.474-1.541 6.06 0 2.586.517 4.613 1.551 6.08 1.034 1.468 2.446 2.202 4.235 2.202 1.804 0 3.222-.73 4.257-2.19 1.034-1.461 1.551-3.492 1.551-6.092 0-2.586-.513-4.605-1.54-6.06-1.028-1.453-2.45-2.18-4.268-2.18zm0-4.864c3.44 0 6.27 1.23 8.492 3.69 2.223 2.46 3.334 5.598 3.334 9.414 0 3.844-1.104 6.99-3.313 9.436-2.208 2.446-5.046 3.669-8.513 3.669-3.424 0-6.255-1.234-8.491-3.701-2.237-2.467-3.355-5.602-3.355-9.404 0-3.83 1.108-6.971 3.323-9.424 2.216-2.454 5.057-3.68 8.523-3.68zM87.461 98.17v4.298h2.16c.908 0 1.555.175 1.94.524.384.35.576.93.576 1.74 0 .825-.196 1.42-.587 1.783-.392.363-1.035.545-1.93.545h-7.254c-.922 0-1.583-.182-1.981-.545-.399-.364-.598-.958-.598-1.783 0-.74.189-1.303.566-1.687.378-.385.93-.577 1.657-.577h.356V86.828h-.356c-.713 0-1.262-.2-1.646-.598-.385-.398-.577-.968-.577-1.709 0-.81.203-1.401.608-1.772.406-.37 1.063-.555 1.971-.555h8.66c3.424 0 6.014.657 7.768 1.97 1.754 1.315 2.631 3.25 2.631 5.809 0 2.697-.873 4.738-2.62 6.122-1.748 1.384-4.34 2.076-7.78 2.076h-3.564zm0-11.343v6.625h2.977c1.65 0 2.89-.28 3.722-.839.832-.559 1.248-1.397 1.248-2.516 0-1.048-.43-1.855-1.29-2.421-.86-.566-2.086-.85-3.68-.85h-2.977zm27.267 20.568l-1.636 1.636a12.37 12.37 0 011.772-.44c.58-.098 1.15-.147 1.709-.147 1.104 0 2.268.164 3.491.492 1.223.329 1.967.493 2.233.493.447 0 1.03-.15 1.75-.45.72-.301 1.206-.452 1.458-.452.517 0 .947.2 1.29.598.342.398.513.898.513 1.5 0 .796-.472 1.474-1.415 2.033-.944.56-2.1.839-3.47.839-.937 0-2.139-.22-3.607-.66-1.467-.441-2.53-.661-3.187-.661-.992 0-2.11.272-3.354.817-1.244.546-2.013.818-2.307.818a2.14 2.14 0 01-1.53-.597c-.42-.399-.63-.878-.63-1.437 0-.391.134-.807.4-1.247.265-.44.733-1.01 1.404-1.709l2.118-2.139c-2.335-.852-4.194-2.386-5.578-4.602-1.384-2.215-2.075-4.763-2.075-7.642 0-3.802 1.104-6.909 3.312-9.32 2.209-2.411 5.053-3.617 8.534-3.617 3.467 0 6.304 1.209 8.513 3.627 2.208 2.418 3.312 5.522 3.312 9.31 0 3.774-1.097 6.884-3.291 9.33-2.195 2.446-4.977 3.67-8.345 3.67a22.5 22.5 0 01-1.384-.043zm1.195-21.03c-1.803 0-3.218.727-4.246 2.18-1.027 1.455-1.54 3.474-1.54 6.06 0 2.586.516 4.613 1.55 6.08 1.035 1.468 2.447 2.202 4.236 2.202 1.803 0 3.222-.73 4.256-2.19 1.035-1.461 1.552-3.492 1.552-6.092 0-2.586-.514-4.605-1.541-6.06-1.028-1.453-2.45-2.18-4.267-2.18z\"/></svg>"
},
"$:/core/images/mono-line": {
"title": "$:/core/images/mono-line",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-mono-line tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M60.437 84.523h.908c1.922 0 3.381.489 4.378 1.468.997.979 1.495 2.411 1.495 4.298 0 2.1-.525 3.612-1.575 4.538-1.05.925-2.785 1.388-5.206 1.388h-16.07c-2.456 0-4.2-.454-5.232-1.361-1.032-.908-1.548-2.43-1.548-4.565 0-2.065.516-3.542 1.548-4.432 1.032-.89 2.776-1.334 5.232-1.334h1.869l-2.19-6.247H20.983l-2.296 6.247h1.87c2.42 0 4.155.453 5.205 1.361 1.05.908 1.575 2.376 1.575 4.405 0 2.1-.525 3.612-1.575 4.538-1.05.925-2.785 1.388-5.206 1.388H6.781c-2.456 0-4.2-.463-5.233-1.388C.516 93.9 0 92.389 0 90.289c0-1.887.498-3.32 1.495-4.298.997-.979 2.456-1.468 4.378-1.468h.908l14.308-39.83h-4.271c-2.42 0-4.156-.462-5.206-1.387-1.05-.926-1.575-2.42-1.575-4.485 0-2.1.525-3.613 1.575-4.538 1.05-.926 2.785-1.388 5.206-1.388h20.021c3.168 0 5.392 1.708 6.674 5.125v.16l16.924 46.343zm-27.976-39.83L24.72 67.225h15.483l-7.742-22.53zM89.506 68.56v16.284h8.008c5.66 0 9.646-.623 11.96-1.869 2.313-1.245 3.47-3.328 3.47-6.246 0-2.955-1.103-5.055-3.31-6.3-2.207-1.246-6.069-1.869-11.586-1.869h-8.542zm27.229-5.926c3.88 1.423 6.727 3.372 8.542 5.846 1.815 2.474 2.723 5.633 2.723 9.477 0 3.239-.783 6.193-2.35 8.862-1.565 2.67-3.808 4.859-6.726 6.567-1.709.997-3.622 1.718-5.74 2.163-2.118.445-5.116.667-8.996.667h-27.87c-2.349 0-4.03-.463-5.045-1.388-1.014-.926-1.521-2.438-1.521-4.538 0-1.887.48-3.32 1.441-4.298.961-.979 2.367-1.468 4.218-1.468h.907v-39.83h-.907c-1.851 0-3.257-.498-4.218-1.494-.961-.997-1.441-2.456-1.441-4.378 0-2.065.516-3.568 1.548-4.512 1.032-.943 2.705-1.414 5.018-1.414h24.56c7.51 0 13.214 1.459 17.111 4.377 3.898 2.92 5.847 7.19 5.847 12.814 0 2.776-.597 5.223-1.789 7.341-1.192 2.118-2.963 3.853-5.312 5.206zm-27.23-18.26v13.455h7.208c4.378 0 7.466-.516 9.264-1.549 1.797-1.032 2.696-2.776 2.696-5.232 0-2.313-.81-4.004-2.43-5.072-1.619-1.068-4.244-1.602-7.874-1.602h-8.863z\"/></svg>"
},
"$:/core/images/new-button": {
"title": "$:/core/images/new-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-new-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M56 72H8.007C3.591 72 0 68.418 0 64c0-4.41 3.585-8 8.007-8H56V8.007C56 3.591 59.582 0 64 0c4.41 0 8 3.585 8 8.007V56h47.993c4.416 0 8.007 3.582 8.007 8 0 4.41-3.585 8-8.007 8H72v47.993c0 4.416-3.582 8.007-8 8.007-4.41 0-8-3.585-8-8.007V72z\"/></svg>"
},
"$:/core/images/new-here-button": {
"title": "$:/core/images/new-here-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-new-here-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M55.838 20.935l-3.572.938c-3.688.968-8.23 4.43-10.136 7.731L3.37 96.738c-1.905 3.3-.771 7.524 2.534 9.432l33.717 19.466c3.297 1.904 7.53.78 9.435-2.521l38.76-67.134c1.905-3.3 2.632-8.963 1.623-12.646L83.285 20.88c-1.009-3.68-4.821-5.884-8.513-4.915l-7.603 1.995.043.287c.524 3.394 2.053 7.498 4.18 11.55.418.163.829.36 1.23.59a8.864 8.864 0 014.438 8.169c.104.132.21.264.316.395l-.386.318a8.663 8.663 0 01-1.082 3.137c-2.42 4.192-7.816 5.608-12.051 3.163-4.12-2.379-5.624-7.534-3.476-11.671-2.177-4.394-3.788-8.874-4.543-12.964z\"/><path d=\"M69.554 44.76c-5.944-7.476-10.74-17.196-11.955-25.059-1.68-10.875 3.503-18.216 15.082-18.04 10.407.158 19.975 5.851 24.728 13.785 5.208 8.695 2.95 17.868-6.855 20.496l-2.037-7.601c4.232-1.134 4.999-4.248 2.24-8.853-3.37-5.626-10.465-9.848-18.146-9.965-6.392-.097-8.31 2.62-7.323 9.01.999 6.465 5.318 15.138 10.582 21.65l-.072.06c.559 1.553-4.17 6.44-5.938 4.888l-.005.004-.028-.034a1.323 1.323 0 01-.124-.135 2.618 2.618 0 01-.149-.205z\"/><rect width=\"16\" height=\"48\" x=\"96\" y=\"80\" rx=\"8\"/><rect width=\"48\" height=\"16\" x=\"80\" y=\"96\" rx=\"8\"/></g></svg>"
},
"$:/core/images/new-image-button": {
"title": "$:/core/images/new-image-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-new-image-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M81.362 73.627l15.826-27.41a2.626 2.626 0 00-.962-3.59l-50.01-28.872a2.626 2.626 0 00-3.588.961L30.058 36.49l10.04-5.261c3.042-1.595 6.771.114 7.55 3.46l3.607 17.702 9.88.85a5.25 5.25 0 014.571 3.77c.034.115.1.344.199.671.165.553.353 1.172.562 1.843.595 1.914 1.23 3.85 1.872 5.678.207.588.412 1.156.614 1.701.625 1.685 1.209 3.114 1.725 4.207.255.54.485.977.726 1.427.214.212.547.425 1.011.622 1.141.482 2.784.74 4.657.758.864.008 1.71-.034 2.492-.11.448-.043.753-.085.871-.104.315-.053.625-.077.927-.076zM37.47 2.649A5.257 5.257 0 0144.649.725l63.645 36.746a5.257 5.257 0 011.923 7.178L73.47 108.294a5.257 5.257 0 01-7.177 1.923L2.649 73.47a5.257 5.257 0 01-1.924-7.177L37.471 2.649zm42.837 50.49a5.25 5.25 0 105.25-9.092 5.25 5.25 0 00-5.25 9.093zM96 112h-7.993c-4.419 0-8.007-3.582-8.007-8 0-4.41 3.585-8 8.007-8H96v-7.993C96 83.588 99.582 80 104 80c4.41 0 8 3.585 8 8.007V96h7.993c4.419 0 8.007 3.582 8.007 8 0 4.41-3.585 8-8.007 8H112v7.993c0 4.419-3.582 8.007-8 8.007-4.41 0-8-3.585-8-8.007V112zM33.347 51.791c7.428 7.948 9.01 10.69 7.449 13.394-1.56 2.703-13.838-2.328-16.094 1.58-2.256 3.908-.907 3.258-2.437 5.908l19.73 11.39s-5.605-8.255-4.235-10.628c2.515-4.356 8.77-1.256 10.365-4.019 2.414-4.181-5.103-9.639-14.778-17.625z\"/></svg>"
},
"$:/core/images/new-journal-button": {
"title": "$:/core/images/new-journal-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-new-journal-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M102.545 112.818v11.818c0 1.306 1.086 2.364 2.425 2.364h6.06c1.34 0 2.425-1.058 2.425-2.364v-11.818h12.12c1.34 0 2.425-1.058 2.425-2.363v-5.91c0-1.305-1.085-2.363-2.424-2.363h-12.121V90.364c0-1.306-1.086-2.364-2.425-2.364h-6.06c-1.34 0-2.425 1.058-2.425 2.364v11.818h-12.12c-1.34 0-2.425 1.058-2.425 2.363v5.91c0 1.305 1.085 2.363 2.424 2.363h12.121zM60.016 4.965c-4.781-2.76-10.897-1.118-13.656 3.66L5.553 79.305A9.993 9.993 0 009.21 92.963l51.04 29.468c4.78 2.76 10.897 1.118 13.655-3.66l40.808-70.681a9.993 9.993 0 00-3.658-13.656L60.016 4.965zm-3.567 27.963a6 6 0 106-10.393 6 6 0 00-6 10.393zm31.697 17.928a6 6 0 106-10.392 6 6 0 00-6 10.392z\"/><text class=\"tc-fill-background\" font-family=\"Helvetica\" font-size=\"47.172\" font-weight=\"bold\" transform=\"rotate(30 25.742 95.82)\"><tspan x=\"42\" y=\"77.485\" text-anchor=\"middle\"><<now \"DD\">></tspan></text></g></svg>"
},
"$:/core/images/opacity": {
"title": "$:/core/images/opacity",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-opacity tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M102.362 65a51.595 51.595 0 01-1.942 6H82.584a35.867 35.867 0 002.997-6h16.78zm.472-2c.423-1.961.734-3.963.929-6H87.656a35.78 35.78 0 01-1.368 6h16.546zm-3.249 10a51.847 51.847 0 01-3.135 6H75.812a36.205 36.205 0 005.432-6h18.341zm-4.416 8c-1.424 2.116-3 4.12-4.71 6H60.46a35.843 35.843 0 0012.874-6h21.834zm-7.513-34h16.107C101.247 20.627 79.033 0 52 0 23.281 0 0 23.281 0 52c0 25.228 17.965 46.26 41.8 51h20.4a51.66 51.66 0 0015.875-6H39v-2h42.25a52.257 52.257 0 007.288-6H39v-2h4.539C27.739 83.194 16 68.968 16 52c0-19.882 16.118-36 36-36 18.186 0 33.222 13.484 35.656 31zm.22 2h16.039a52.823 52.823 0 010 6H87.877a36.483 36.483 0 000-6z\"/><path d=\"M76 128c28.719 0 52-23.281 52-52s-23.281-52-52-52-52 23.281-52 52 23.281 52 52 52zm0-16c19.882 0 36-16.118 36-36S95.882 40 76 40 40 56.118 40 76s16.118 36 36 36z\"/><path d=\"M37 58h53v4H37v-4zm3-8h53v4H40v-4zm0-8h53v4H40v-4zm-8 24h53v4H32v-4zm-2 8h53v4H30v-4zm-3 8h53v4H27v-4z\"/></g></svg>"
},
"$:/core/images/open-window": {
"title": "$:/core/images/open-window",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-open-window tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M16 112h88.994c3.87 0 7.006 3.59 7.006 8 0 4.418-3.142 8-7.006 8H7.006C3.136 128 0 124.41 0 120a9.321 9.321 0 010-.01V24.01C0 19.586 3.59 16 8 16c4.418 0 8 3.584 8 8.01V112z\"/><path d=\"M96 43.196V56a8 8 0 1016 0V24c0-4.41-3.585-8-8.007-8H72.007C67.588 16 64 19.582 64 24c0 4.41 3.585 8 8.007 8H84.57l-36.3 36.299a8 8 0 00-.001 11.316c3.117 3.117 8.19 3.123 11.316-.003L96 43.196zM32 7.999C32 3.581 35.588 0 40 0h80c4.419 0 8 3.588 8 8v80c0 4.419-3.588 8-8 8H40c-4.419 0-8-3.588-8-8V8z\"/></g></svg>"
},
"$:/core/images/options-button": {
"title": "$:/core/images/options-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-options-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M110.488 76a47.712 47.712 0 01-5.134 12.384l6.724 6.724c3.123 3.123 3.132 8.192.011 11.313l-5.668 5.668c-3.12 3.12-8.186 3.117-11.313-.01l-6.724-6.725c-3.82 2.258-7.98 4-12.384 5.134v9.505c0 4.417-3.578 8.007-7.992 8.007h-8.016C55.58 128 52 124.415 52 119.993v-9.505a47.712 47.712 0 01-12.384-5.134l-6.724 6.725c-3.123 3.122-8.192 3.131-11.313.01l-5.668-5.668c-3.12-3.12-3.116-8.186.01-11.313l6.725-6.724c-2.257-3.82-4-7.98-5.134-12.384H8.007C3.591 76 0 72.422 0 68.01v-8.017C0 55.58 3.585 52 8.007 52h9.505a47.712 47.712 0 015.134-12.383l-6.724-6.725c-3.123-3.122-3.132-8.191-.011-11.312l5.668-5.669c3.12-3.12 8.186-3.116 11.313.01l6.724 6.725c3.82-2.257 7.98-4 12.384-5.134V8.007C52 3.591 55.578 0 59.992 0h8.016C72.42 0 76 3.585 76 8.007v9.505a47.712 47.712 0 0112.384 5.134l6.724-6.724c3.123-3.123 8.192-3.132 11.313-.01l5.668 5.668c3.12 3.12 3.116 8.186-.01 11.312l-6.725 6.725c2.257 3.82 4 7.979 5.134 12.383h9.505c4.416 0 8.007 3.578 8.007 7.992v8.017c0 4.411-3.585 7.991-8.007 7.991h-9.505zM64 96c17.673 0 32-14.327 32-32 0-17.673-14.327-32-32-32-17.673 0-32 14.327-32 32 0 17.673 14.327 32 32 32z\"/></svg>"
},
"$:/core/images/paint": {
"title": "$:/core/images/paint",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-paint tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M83.527 76.19C90.43 69.287 91.892 59 87.91 50.665l37.903-37.902c2.919-2.92 2.913-7.659 0-10.572a7.474 7.474 0 00-10.572 0L77.338 40.093c-8.335-3.982-18.622-2.521-25.526 4.383l31.715 31.715zm-2.643 2.644L49.169 47.119S8.506 81.243 0 80.282c0 0 3.782 5.592 6.827 8.039 14.024-5.69 37.326-24.6 37.326-24.6l.661.66S19.45 90.222 9.18 92.047c1.222 1.44 4.354 4.053 6.247 5.776 5.417-1.488 34.733-28.57 34.733-28.57l.661.66-32.407 31.022 5.285 5.286L56.106 75.2l.662.66s-27.864 30.536-28.684 32.432c0 0 6.032 6.853 7.569 7.824.702-2.836 27.884-33.485 27.884-33.485l.661.66s-20.597 23.755-24.964 36.732c3.21 3.549 7.5 5.137 10.926 6.298-2.19-11.817 30.724-47.487 30.724-47.487z\"/></svg>"
},
"$:/core/images/palette": {
"title": "$:/core/images/palette",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-palette tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M80.247 39.182a93.52 93.52 0 00-16.228-1.4C28.662 37.781 0 57.131 0 81.002c0 9.642 4.676 18.546 12.58 25.735C23.504 91.19 26.34 72.395 36.89 63.562c15.183-12.713 26.538-7.828 26.538-7.828l16.82-16.552zm26.535 9.655c13.049 7.913 21.257 19.392 21.257 32.166 0 9.35.519 17.411-11.874 25.08-10.797 6.681-3.824-6.536-11.844-10.898s-19.946 1.308-18.213 7.906c3.2 12.181 19.422 11.455 6.314 16.658-13.107 5.202-18.202 4.476-28.403 4.476-7.821 0-15.315-.947-22.243-2.68 9.844-4.197 27.88-12.539 33.354-19.456C82.788 92.409 87.37 80 83.324 72.484c-.194-.359 11.215-11.668 23.458-23.647zM1.134 123.867l-.66.002c33.479-14.94 22.161-64.226 58.818-64.226.317 1.418.644 2.944 1.062 4.494-25.907-4.166-23.567 48.031-59.22 59.73zm.713-.007c38.872-.506 78.152-22.347 78.152-44.813-9.27 0-14.073-3.48-16.816-7.942-16.597-7.003-30.365 45.715-61.336 52.755zm65.351-64.008c-4.45 4.115 4.886 16.433 11.318 11.318l45.27-45.27c11.317-11.318 0-22.635-11.318-11.318-11.317 11.318-33.518 34.405-45.27 45.27z\"/></svg>"
},
"$:/core/images/permalink-button": {
"title": "$:/core/images/permalink-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-permalink-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M80.483 48l-7.387 32h-25.58l7.388-32h25.58zm3.694-16l5.624-24.358c.993-4.303 5.29-6.996 9.596-6.002 4.296.992 6.988 5.293 5.994 9.602L100.598 32h3.403c4.41 0 7.999 3.582 7.999 8 0 4.41-3.581 8-8 8h-7.096l-7.387 32H104c4.41 0 7.999 3.582 7.999 8 0 4.41-3.581 8-8 8H85.824l-5.624 24.358c-.993 4.303-5.29 6.996-9.596 6.002-4.296-.992-6.988-5.293-5.994-9.602L69.402 96h-25.58L38.2 120.358c-.993 4.303-5.29 6.996-9.596 6.002-4.296-.992-6.988-5.293-5.994-9.602L27.402 96h-3.403C19.59 96 16 92.418 16 88c0-4.41 3.581-8 8-8h7.096l7.387-32H24C19.59 48 16 44.418 16 40c0-4.41 3.581-8 8-8h18.177l5.624-24.358c.993-4.303 5.29-6.996 9.596-6.002 4.296.992 6.988 5.293 5.994 9.602L58.598 32h25.58z\"/></svg>"
},
"$:/core/images/permaview-button": {
"title": "$:/core/images/permaview-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-permaview-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M81.483 48l-1.846 8h-5.58l1.847-8h5.58zm3.694-16l5.624-24.358c.993-4.303 5.29-6.996 9.596-6.002 4.296.992 6.988 5.293 5.994 9.602L101.598 32h2.403c4.41 0 7.999 3.582 7.999 8 0 4.41-3.581 8-8 8h-6.096l-1.847 8h7.944c4.41 0 7.999 3.582 7.999 8 0 4.41-3.581 8-8 8H92.364l-1.846 8H104c4.41 0 7.999 3.582 7.999 8 0 4.41-3.581 8-8 8H86.824l-5.624 24.358c-.993 4.303-5.29 6.996-9.596 6.002-4.296-.992-6.988-5.293-5.994-9.602L70.402 96h-5.58L59.2 120.358c-.993 4.303-5.29 6.996-9.596 6.002-4.296-.992-6.988-5.293-5.994-9.602L48.402 96h-5.58L37.2 120.358c-.993 4.303-5.29 6.996-9.596 6.002-4.296-.992-6.988-5.293-5.994-9.602L26.402 96h-2.403C19.59 96 16 92.418 16 88c0-4.41 3.581-8 8-8h6.096l1.847-8h-7.944C19.59 72 16 68.418 16 64c0-4.41 3.581-8 8-8h11.637l1.846-8H24C19.59 48 16 44.418 16 40c0-4.41 3.581-8 8-8h17.177l5.624-24.358c.993-4.303 5.29-6.996 9.596-6.002 4.296.992 6.988 5.293 5.994 9.602L57.598 32h5.58L68.8 7.642c.993-4.303 5.29-6.996 9.596-6.002 4.296.992 6.988 5.293 5.994 9.602L79.598 32h5.58zM53.904 48l-1.847 8h5.58l1.846-8h-5.579zm22.039 24l-1.847 8h-5.58l1.847-8h5.58zm-27.58 0l-1.846 8h5.579l1.847-8h-5.58z\"/></svg>"
},
"$:/core/images/picture": {
"title": "$:/core/images/picture",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-picture tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M112 68.233v-48.23A4.001 4.001 0 00107.997 16H20.003A4.001 4.001 0 0016 20.003v38.31l9.241-14.593c2.8-4.422 9.023-5.008 12.6-1.186l18.247 20.613 13.687-6.407a8 8 0 018.903 1.492 264.97 264.97 0 002.92 2.739 249.44 249.44 0 006.798 6.066 166.5 166.5 0 002.106 1.778c2.108 1.747 3.967 3.188 5.482 4.237.748.518 1.383.92 2.044 1.33.444.117 1.046.144 1.809.05 1.873-.233 4.238-1.144 6.723-2.547a36.016 36.016 0 003.205-2.044c.558-.4.93-.686 1.07-.802.376-.31.765-.577 1.165-.806zM0 8.007A8.01 8.01 0 018.007 0h111.986A8.01 8.01 0 01128 8.007v111.986a8.01 8.01 0 01-8.007 8.007H8.007A8.01 8.01 0 010 119.993V8.007zM95 42a8 8 0 100-16 8 8 0 000 16zM32 76c15.859 4.83 20.035 7.244 20.035 12S32 95.471 32 102.347c0 6.876 1.285 4.99 1.285 9.653H68s-13.685-6.625-13.685-10.8c0-7.665 10.615-8.34 10.615-13.2 0-7.357-14.078-8.833-32.93-12z\"/></svg>"
},
"$:/core/images/plugin-generic-language": {
"title": "$:/core/images/plugin-generic-language",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\" class=\"tc-image-plugin-generic-language tc-image-button\"><path fill-rule=\"evenodd\" d=\"M61.207 68.137c-4.324 2.795-6.999 6.656-6.999 10.921 0 7.906 9.19 14.424 21.042 15.336 2.162 3.902 8.598 6.785 16.318 7.01-5.126-1.125-9.117-3.742-10.62-7.01C92.805 93.487 102 86.967 102 79.059c0-8.53-10.699-15.445-23.896-15.445-6.599 0-12.572 1.729-16.897 4.524zm12.794-14.158c-4.324 2.795-10.298 4.524-16.897 4.524-2.619 0-5.14-.272-7.497-.775-3.312 2.25-8.383 3.69-14.067 3.69l-.255-.002c4.119-.892 7.511-2.747 9.478-5.13-6.925-2.704-11.555-7.617-11.555-13.228 0-8.53 10.699-15.445 23.896-15.445C70.301 27.613 81 34.528 81 43.058c0 4.265-2.675 8.126-6.999 10.921zM64 0l54.56 32v64L64 128 9.44 96V32L64 0z\"/></svg>"
},
"$:/core/images/plugin-generic-plugin": {
"title": "$:/core/images/plugin-generic-plugin",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\" class=\"tc-image-plugin-generic-plugin tc-image-button\"><path fill-rule=\"evenodd\" d=\"M40.397 76.446V95.34h14.12l-.001-.005a6.912 6.912 0 005.364-11.593l.046-.023a6.912 6.912 0 119.979.526l.086.055a6.914 6.914 0 004.408 10.948l-.023.092h21.32V75.568l-.15.038a6.912 6.912 0 00-11.593-5.364l-.022-.046a6.912 6.912 0 11.526-9.979l.055-.086a6.914 6.914 0 0010.948-4.408c.079.018.158.038.236.059v-15.74h-21.32l.023-.094a6.914 6.914 0 01-4.408-10.947 10.23 10.23 0 00-.086-.055 6.912 6.912 0 10-9.979-.526l-.046.023a6.912 6.912 0 01-5.364 11.593l.001.005h-14.12v12.847A6.912 6.912 0 0129.5 59.843l-.054.086a6.912 6.912 0 10-.526 9.979l.023.046a6.912 6.912 0 0111.455 6.492zM64 0l54.56 32v64L64 128 9.44 96V32L64 0z\"/></svg>"
},
"$:/core/images/plugin-generic-theme": {
"title": "$:/core/images/plugin-generic-theme",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" viewBox=\"0 0 128 128\" class=\"tc-image-plugin-generic-theme tc-image-button\"><path fill-rule=\"evenodd\" d=\"M29.408 91.472L51.469 69.41l-.004-.005a2.22 2.22 0 01.004-3.146c.87-.87 2.281-.872 3.147-.005l9.465 9.464a2.22 2.22 0 01-.005 3.147c-.87.87-2.28.871-3.147.005l-.005-.005-22.061 22.062a6.686 6.686 0 11-9.455-9.455zM60.802 66.38c-2.436-2.704-4.465-5.091-5.817-6.869-6.855-9.014-10.313-4.268-14.226 0-3.913 4.268 1.03 7.726-2.683 10.741-3.713 3.015-3.484 4.06-9.752-1.455-6.267-5.516-6.7-7.034-3.823-10.181 2.877-3.147 5.281 1.808 11.159-3.785 5.877-5.593.94-10.55.94-10.55s12.237-25.014 28.588-23.167c16.351 1.848-6.186-2.392-11.792 17.226-2.4 8.4.447 6.42 4.998 9.968 1.394 1.086 6.03 4.401 11.794 8.685l20.677-20.676 1.615-4.766 7.84-4.689 3.151 3.152-4.688 7.84-4.766 1.615-20.224 20.223c12.663 9.547 28.312 22.146 28.312 26.709 0 7.217-3.071 11.526-9.535 9.164-4.693-1.715-18.768-15.192-28.753-25.897l-2.893 2.893-3.151-3.152 3.029-3.029zM63.953 0l54.56 32v64l-54.56 32-54.56-32V32l54.56-32z\"/></svg>"
},
"$:/core/images/preview-closed": {
"title": "$:/core/images/preview-closed",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-preview-closed tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M.088 64a7.144 7.144 0 001.378 5.458C16.246 88.818 39.17 100.414 64 100.414c24.83 0 47.753-11.596 62.534-30.956A7.144 7.144 0 00127.912 64C110.582 78.416 88.304 87.086 64 87.086 39.696 87.086 17.418 78.416.088 64z\"/><rect width=\"4\" height=\"16\" x=\"62\" y=\"96\" rx=\"4\"/><rect width=\"4\" height=\"16\" x=\"78\" y=\"93\" rx=\"4\" transform=\"rotate(-5 80 101)\"/><rect width=\"4\" height=\"16\" x=\"46\" y=\"93\" rx=\"4\" transform=\"rotate(5 48 101)\"/><rect width=\"4\" height=\"16\" x=\"30\" y=\"88\" rx=\"4\" transform=\"rotate(10 32 96)\"/><rect width=\"4\" height=\"16\" x=\"94\" y=\"88\" rx=\"4\" transform=\"rotate(-10 96 96)\"/><rect width=\"4\" height=\"16\" x=\"110\" y=\"80\" rx=\"4\" transform=\"rotate(-20 112 88)\"/><rect width=\"4\" height=\"16\" x=\"14\" y=\"80\" rx=\"4\" transform=\"rotate(20 16 88)\"/></g></svg>"
},
"$:/core/images/preview-open": {
"title": "$:/core/images/preview-open",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-preview-open tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M64.11 99.588c-24.83 0-47.754-11.596-62.534-30.957a7.148 7.148 0 010-8.675C16.356 40.596 39.28 29 64.11 29c24.83 0 47.753 11.596 62.534 30.956a7.148 7.148 0 010 8.675c-14.78 19.36-37.703 30.957-62.534 30.957zm46.104-32.007c1.44-1.524 1.44-3.638 0-5.162C99.326 50.9 82.439 44 64.147 44S28.968 50.9 18.08 62.42c-1.44 1.523-1.44 3.637 0 5.16C28.968 79.1 45.855 86 64.147 86s35.179-6.9 46.067-18.42z\"/><path d=\"M63.5 88C76.479 88 87 77.479 87 64.5S76.479 41 63.5 41 40 51.521 40 64.5 50.521 88 63.5 88z\"/></g></svg>"
},
"$:/core/images/print-button": {
"title": "$:/core/images/print-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-print-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M112 71V30.5h-.032c-.035-2-.816-3.99-2.343-5.516L86.998 2.357A7.978 7.978 0 0081 .02V0H24a8 8 0 00-8 8v63h8V8h57v14.5c0 4.422 3.582 8 8 8h15V71h8z\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"36\" rx=\"4\"/><rect width=\"64\" height=\"8\" x=\"32\" y=\"52\" rx=\"4\"/><rect width=\"40\" height=\"8\" x=\"32\" y=\"20\" rx=\"4\"/><path d=\"M0 80.005C0 71.165 7.156 64 16 64h96c8.836 0 16 7.155 16 16.005v31.99c0 8.84-7.156 16.005-16 16.005H16c-8.836 0-16-7.155-16-16.005v-31.99zM104 96a8 8 0 100-16 8 8 0 000 16z\"/></g></svg>"
},
"$:/core/images/quote": {
"title": "$:/core/images/quote",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-quote tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M51.219 117.713V62.199H27.427c0-8.891 1.683-16.401 5.047-22.53 3.365-6.127 9.613-10.754 18.745-13.878V2c-7.45.961-14.36 3.184-20.728 6.669-6.368 3.484-11.835 7.87-16.401 13.157C9.524 27.113 5.98 33.241 3.456 40.21.933 47.18-.21 54.63.03 62.56v55.153H51.22zm76.781 0V62.199h-23.791c0-8.891 1.682-16.401 5.046-22.53 3.365-6.127 9.613-10.754 18.745-13.878V2c-7.45.961-14.359 3.184-20.727 6.669-6.369 3.484-11.836 7.87-16.402 13.157-4.566 5.287-8.11 11.415-10.634 18.384-2.523 6.97-3.665 14.42-3.424 22.35v55.153H128z\"/></svg>"
},
"$:/core/images/refresh-button": {
"title": "$:/core/images/refresh-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-refresh-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M106.369 39.433c10.16 20.879 6.57 46.764-10.771 64.106-21.87 21.87-57.327 21.87-79.196 0-21.87-21.87-21.87-57.326 0-79.196a8 8 0 1111.314 11.314c-15.621 15.62-15.621 40.947 0 56.568 15.62 15.621 40.947 15.621 56.568 0C97.72 78.79 99.6 58.175 89.924 42.73l-6.44 12.264a8 8 0 11-14.166-7.437L84.435 18.76a8 8 0 0110.838-3.345l28.873 15.345a8 8 0 11-7.51 14.129l-10.267-5.457zm-8.222-12.368c-.167-.19-.336-.38-.506-.57l.96-.296-.454.866z\"/></svg>"
},
"$:/core/images/right-arrow": {
"title": "$:/core/images/right-arrow",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-right-arrow tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M99.069 64.173c0 2.027-.77 4.054-2.316 5.6l-55.98 55.98a7.92 7.92 0 01-11.196 0c-3.085-3.086-3.092-8.105 0-11.196l50.382-50.382-50.382-50.382a7.92 7.92 0 010-11.195c3.086-3.085 8.104-3.092 11.196 0l55.98 55.98a7.892 7.892 0 012.316 5.595z\"/></svg>"
},
"$:/core/images/rotate-left": {
"title": "$:/core/images/rotate-left",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-rotate-left tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"32\" height=\"80\" rx=\"8\"/><rect width=\"80\" height=\"32\" x=\"48\" y=\"96\" rx=\"8\"/><path d=\"M61.32 36.65c19.743 2.45 35.023 19.287 35.023 39.693a4 4 0 01-8 0c0-15.663-11.254-28.698-26.117-31.46l3.916 3.916a4 4 0 11-5.657 5.657L49.172 43.142a4 4 0 010-5.657l11.313-11.313a4 4 0 115.657 5.656l-4.821 4.822z\"/></g></svg>"
},
"$:/core/images/save-button": {
"title": "$:/core/images/save-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-save-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M120.783 34.33c4.641 8.862 7.266 18.948 7.266 29.646 0 35.347-28.653 64-64 64-35.346 0-64-28.653-64-64 0-35.346 28.654-64 64-64 18.808 0 35.72 8.113 47.43 21.03l2.68-2.68c3.13-3.13 8.197-3.132 11.321-.008 3.118 3.118 3.121 8.193-.007 11.32l-4.69 4.691zm-12.058 12.058a47.876 47.876 0 013.324 17.588c0 26.51-21.49 48-48 48s-48-21.49-48-48 21.49-48 48-48c14.39 0 27.3 6.332 36.098 16.362L58.941 73.544 41.976 56.578c-3.127-3.127-8.201-3.123-11.32-.005-3.123 3.124-3.119 8.194.006 11.319l22.617 22.617a7.992 7.992 0 005.659 2.347c2.05 0 4.101-.783 5.667-2.349l44.12-44.12z\"/></svg>"
},
"$:/core/images/size": {
"title": "$:/core/images/size",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-size tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M92.343 26l-9.171 9.172a4 4 0 105.656 5.656l16-16a4 4 0 000-5.656l-16-16a4 4 0 10-5.656 5.656L92.343 18H22a4 4 0 00-4 4v70.343l-9.172-9.171a4 4 0 10-5.656 5.656l16 16a4 4 0 005.656 0l16-16a4 4 0 10-5.656-5.656L26 92.343V22l-4 4h70.343zM112 52v64l4-4H52a4 4 0 100 8h64a4 4 0 004-4V52a4 4 0 10-8 0z\"/></svg>"
},
"$:/core/images/spiral": {
"title": "$:/core/images/spiral",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-spiral tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M64.534 68.348c3.39 0 6.097-2.62 6.476-5.968l-4.755-.538 4.75.583c.377-3.07-1.194-6.054-3.89-7.78-2.757-1.773-6.34-2.01-9.566-.7-3.46 1.403-6.14 4.392-7.35 8.148l-.01.026c-1.3 4.08-.72 8.64 1.58 12.52 2.5 4.2 6.77 7.2 11.76 8.27 5.37 1.15 11.11-.05 15.83-3.31 5.04-3.51 8.46-9.02 9.45-15.3 1.05-6.7-.72-13.63-4.92-19.19l.02.02c-4.42-5.93-11.2-9.82-18.78-10.78-7.96-1.01-16.13 1.31-22.59 6.43-6.81 5.39-11.18 13.41-12.11 22.26-.98 9.27 1.87 18.65 7.93 26.02 6.32 7.69 15.6 12.56 25.74 13.48 10.54.96 21.15-2.42 29.45-9.4l.01-.01c8.58-7.25 13.94-17.78 14.86-29.21.94-11.84-2.96-23.69-10.86-32.9-8.19-9.5-19.95-15.36-32.69-16.27-13.16-.94-26.24 3.49-36.34 12.34l.01-.01c-10.41 9.08-16.78 22.1-17.68 36.15-.93 14.44 4.03 28.77 13.79 39.78 10.03 11.32 24.28 18.2 39.6 19.09 15.73.92 31.31-4.56 43.24-15.234 12.23-10.954 19.61-26.44 20.5-43.074a4.785 4.785 0 00-4.52-5.03 4.778 4.778 0 00-5.03 4.52c-.75 14.1-7 27.2-17.33 36.45-10.03 8.98-23.11 13.58-36.3 12.81-12.79-.75-24.67-6.48-33-15.89-8.07-9.11-12.17-20.94-11.41-32.827.74-11.52 5.942-22.15 14.43-29.54l.01-.01c8.18-7.17 18.74-10.75 29.35-9.998 10.21.726 19.6 5.41 26.11 12.96 6.24 7.273 9.32 16.61 8.573 25.894-.718 8.9-4.88 17.064-11.504 22.66l.01-.007c-6.36 5.342-14.44 7.92-22.425 7.19-7.604-.68-14.52-4.314-19.21-10.027-4.44-5.4-6.517-12.23-5.806-18.94.67-6.3 3.76-11.977 8.54-15.766 4.46-3.54 10.05-5.128 15.44-4.44 5.03.63 9.46 3.18 12.32 7.01l.02.024c2.65 3.5 3.75 7.814 3.1 11.92-.59 3.71-2.58 6.925-5.45 8.924-2.56 1.767-5.61 2.403-8.38 1.81-2.42-.516-4.42-1.92-5.53-3.79-.93-1.56-1.15-3.3-.69-4.75l-4.56-1.446L59.325 65c.36-1.12 1.068-1.905 1.84-2.22.25-.103.48-.14.668-.13.06.006.11.015.14.025.01 0 .01 0-.01-.01a1.047 1.047 0 01-.264-.332c-.15-.29-.23-.678-.18-1.11l-.005.04c.15-1.332 1.38-2.523 3.035-2.523-2.65 0-4.79 2.144-4.79 4.787s2.14 4.785 4.78 4.785z\"/></svg>"
},
"$:/core/images/stamp": {
"title": "$:/core/images/stamp",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-stamp tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M49.733 64H16.01C11.584 64 8 67.583 8 72.003V97h112V72.003A8 8 0 00111.99 64H78.267A22.813 22.813 0 0175.5 53.077c0-6.475 2.687-12.324 7.009-16.497A22.818 22.818 0 0087 22.952C87 10.276 76.703 0 64 0S41 10.276 41 22.952c0 5.103 1.669 9.817 4.491 13.628 4.322 4.173 7.009 10.022 7.009 16.497 0 3.954-1.002 7.675-2.767 10.923zM8 104h112v8H8v-8z\"/></svg>"
},
"$:/core/images/star-filled": {
"title": "$:/core/images/star-filled",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-star-filled tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M61.836 96.823l37.327 27.287c2.72 1.99 6.379-.69 5.343-3.912L90.29 75.988l-1.26 3.91 37.285-27.345c2.718-1.993 1.32-6.327-2.041-6.33l-46.113-.036 3.3 2.416L67.176 4.416c-1.04-3.221-5.563-3.221-6.604 0L46.29 48.603l3.3-2.416-46.113.036c-3.362.003-4.759 4.337-2.04 6.33L38.72 79.898l-1.26-3.91-14.216 44.21c-1.036 3.223 2.622 5.901 5.343 3.912l37.326-27.287h-4.078z\"/></svg>"
},
"$:/core/images/storyview-classic": {
"title": "$:/core/images/storyview-classic",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-storyview-classic tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M8.007 0A8.01 8.01 0 000 8.007v111.986A8.01 8.01 0 008.007 128h111.986a8.01 8.01 0 008.007-8.007V8.007A8.01 8.01 0 00119.993 0H8.007zm15.992 16C19.581 16 16 19.578 16 23.992v16.016C16 44.422 19.588 48 24 48h80c4.419 0 8-3.578 8-7.992V23.992c0-4.414-3.588-7.992-8-7.992H24zm0 48C19.581 64 16 67.59 16 72c0 4.418 3.588 8 8 8h80c4.419 0 8-3.59 8-8 0-4.418-3.588-8-8-8H24zm0 32C19.581 96 16 99.59 16 104c0 4.418 3.588 8 8 8h80c4.419 0 8-3.59 8-8 0-4.418-3.588-8-8-8H24z\"/></svg>"
},
"$:/core/images/storyview-pop": {
"title": "$:/core/images/storyview-pop",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-storyview-pop tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M8.007 0A8.01 8.01 0 000 8.007v111.986A8.01 8.01 0 008.007 128h111.986a8.01 8.01 0 008.007-8.007V8.007A8.01 8.01 0 00119.993 0H8.007zm15.992 16C19.581 16 16 19.578 16 23.992v16.016C16 44.422 19.588 48 24 48h80c4.419 0 8-3.578 8-7.992V23.992c0-4.414-3.588-7.992-8-7.992H24zm-7.99 40C11.587 56 8 59.578 8 63.992v16.016C8 84.422 11.584 88 16.01 88h95.98c4.424 0 8.01-3.578 8.01-7.992V63.992c0-4.414-3.584-7.992-8.01-7.992H16.01zM24 96C19.581 96 16 99.59 16 104c0 4.418 3.588 8 8 8h80c4.419 0 8-3.59 8-8 0-4.418-3.588-8-8-8H24zm0-32C19.581 64 16 67.59 16 72c0 4.418 3.588 8 8 8h80c4.419 0 8-3.59 8-8 0-4.418-3.588-8-8-8H24z\"/></svg>"
},
"$:/core/images/storyview-zoomin": {
"title": "$:/core/images/storyview-zoomin",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-storyview-zoomin tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M8.007 0A8.01 8.01 0 000 8.007v111.986A8.01 8.01 0 008.007 128h111.986a8.01 8.01 0 008.007-8.007V8.007A8.01 8.01 0 00119.993 0H8.007zm15.992 16A8 8 0 0016 24.009V71.99C16 76.414 19.588 80 24 80h80a8 8 0 008-8.009V24.01c0-4.423-3.588-8.009-8-8.009H24z\"/></svg>"
},
"$:/core/images/strikethrough": {
"title": "$:/core/images/strikethrough",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-strikethrough tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M92.794 38.726h15.422c-.229-6.74-1.514-12.538-3.856-17.393-2.342-4.855-5.54-8.881-9.596-12.08-4.055-3.199-8.767-5.54-14.136-7.025C75.258.743 69.433 0 63.15 0a62.76 62.76 0 00-16.364 2.142C41.474 3.57 36.733 5.74 32.564 8.653c-4.17 2.913-7.511 6.626-10.025 11.138-2.513 4.512-3.77 9.853-3.77 16.022 0 5.597 1.115 10.252 3.342 13.965 2.228 3.712 5.198 6.74 8.91 9.081 3.713 2.342 7.911 4.227 12.595 5.655a194.641 194.641 0 0014.308 3.77c4.855 1.085 9.624 2.142 14.308 3.17 4.683 1.028 8.881 2.37 12.594 4.027 3.713 1.656 6.683 3.798 8.91 6.425 2.228 2.628 3.342 6.055 3.342 10.281 0 4.456-.914 8.111-2.742 10.967a19.953 19.953 0 01-7.197 6.768c-2.97 1.657-6.311 2.828-10.024 3.513a60.771 60.771 0 01-11.052 1.028c-4.57 0-9.025-.571-13.366-1.713-4.34-1.143-8.139-2.913-11.394-5.312-3.256-2.4-5.884-5.455-7.883-9.168-1.999-3.712-2.998-8.139-2.998-13.28H15c0 7.426 1.342 13.852 4.027 19.278 2.684 5.426 6.34 9.881 10.966 13.365 4.627 3.484 9.996 6.083 16.107 7.797 6.112 1.713 12.595 2.57 19.449 2.57 5.597 0 11.223-.657 16.878-1.97 5.655-1.314 10.767-3.428 15.336-6.34 4.57-2.914 8.31-6.683 11.224-11.31 2.913-4.626 4.37-10.195 4.37-16.707 0-6.054-1.115-11.08-3.342-15.079-2.228-3.998-5.198-7.31-8.91-9.938-3.713-2.627-7.911-4.712-12.595-6.254a170.83 170.83 0 00-14.308-4.027 549.669 549.669 0 00-14.308-3.17c-4.683-.971-8.881-2.2-12.594-3.684-3.713-1.485-6.683-3.399-8.91-5.74-2.228-2.342-3.342-5.398-3.342-9.168 0-3.998.771-7.34 2.313-10.024 1.543-2.685 3.599-4.826 6.17-6.426 2.57-1.599 5.51-2.741 8.824-3.427a49.767 49.767 0 0110.11-1.028c8.453 0 15.393 1.97 20.819 5.912 5.426 3.94 8.596 10.31 9.51 19.106z\"/><path d=\"M5 54h118v16H5z\"/></g></svg>"
},
"$:/core/images/subscript": {
"title": "$:/core/images/subscript",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-subscript tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M2.272 16h19.91l21.649 33.675L66.414 16h18.708L53.585 61.969l33.809 49.443H67.082L43.296 74.93l-24.187 36.48H0L33.808 61.97 2.272 16zM127.91 128.412H85.328c.059-5.168 1.306-9.681 3.741-13.542 2.435-3.86 5.761-7.216 9.978-10.066a112.388 112.388 0 016.325-4.321 50.09 50.09 0 006.058-4.499c1.841-1.603 3.356-3.34 4.543-5.211 1.188-1.871 1.812-4.024 1.871-6.46 0-1.128-.133-2.33-.4-3.607a9.545 9.545 0 00-1.56-3.564c-.772-1.098-1.84-2.019-3.207-2.761-1.366-.743-3.148-1.114-5.345-1.114-2.02 0-3.697.4-5.033 1.203-1.337.801-2.406 1.9-3.208 3.296-.801 1.396-1.395 3.044-1.781 4.944-.386 1.9-.609 3.95-.668 6.147H86.486c0-3.445.46-6.637 1.38-9.577.921-2.94 2.302-5.478 4.143-7.617 1.841-2.138 4.083-3.815 6.726-5.033 2.643-1.217 5.716-1.826 9.22-1.826 3.802 0 6.979.623 9.533 1.87 2.554 1.248 4.617 2.822 6.191 4.722 1.574 1.9 2.688 3.965 3.341 6.192.653 2.227.98 4.35.98 6.37 0 2.494-.386 4.75-1.158 6.77a21.803 21.803 0 01-3.118 5.568 31.516 31.516 0 01-4.454 4.677 66.788 66.788 0 01-5.167 4.009 139.198 139.198 0 01-5.346 3.563 79.237 79.237 0 00-4.944 3.386c-1.514 1.128-2.836 2.3-3.964 3.518-1.129 1.218-1.9 2.51-2.317 3.876h30.379v9.087z\"/></svg>"
},
"$:/core/images/superscript": {
"title": "$:/core/images/superscript",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-superscript tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M2.272 16h19.91l21.649 33.675L66.414 16h18.708L53.585 61.969l33.809 49.443H67.082L43.296 74.93l-24.187 36.48H0L33.808 61.97 2.272 16zM127.91 63.412H85.328c.059-5.168 1.306-9.681 3.741-13.542 2.435-3.86 5.761-7.216 9.978-10.066a112.388 112.388 0 016.325-4.321 50.09 50.09 0 006.058-4.499c1.841-1.603 3.356-3.34 4.543-5.211 1.188-1.871 1.812-4.024 1.871-6.46 0-1.128-.133-2.33-.4-3.607a9.545 9.545 0 00-1.56-3.564c-.772-1.098-1.84-2.019-3.207-2.761-1.366-.743-3.148-1.114-5.345-1.114-2.02 0-3.697.4-5.033 1.203-1.337.801-2.406 1.9-3.208 3.296-.801 1.396-1.395 3.044-1.781 4.944-.386 1.9-.609 3.95-.668 6.147H86.486c0-3.445.46-6.637 1.38-9.577.921-2.94 2.302-5.478 4.143-7.617 1.841-2.138 4.083-3.815 6.726-5.033 2.643-1.217 5.716-1.826 9.22-1.826 3.802 0 6.979.623 9.533 1.87 2.554 1.248 4.617 2.822 6.191 4.722 1.574 1.9 2.688 3.965 3.341 6.192.653 2.227.98 4.35.98 6.37 0 2.494-.386 4.75-1.158 6.77a21.803 21.803 0 01-3.118 5.568 31.516 31.516 0 01-4.454 4.677 66.788 66.788 0 01-5.167 4.009 139.198 139.198 0 01-5.346 3.563 79.237 79.237 0 00-4.944 3.386c-1.514 1.128-2.836 2.3-3.964 3.518-1.129 1.218-1.9 2.51-2.317 3.876h30.379v9.087z\"/></svg>"
},
"$:/core/images/tag-button": {
"title": "$:/core/images/tag-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-tag-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M18.164 47.66l.004 4.105c.003 3.823 2.19 9.097 4.885 11.792l61.85 61.85c2.697 2.697 7.068 2.69 9.769-.01L125.767 94.3a6.903 6.903 0 00.01-9.77L63.928 22.683c-2.697-2.697-7.976-4.88-11.796-4.881l-27.076-.007a6.902 6.902 0 00-6.91 6.91l.008 9.96.287.033c3.73.411 8.489-.044 13.365-1.153a9.702 9.702 0 0111.14-3.662l.291-.13.128.285a9.7 9.7 0 013.3 2.17c3.796 3.796 3.801 9.945.012 13.734-3.618 3.618-9.386 3.777-13.204.482-5.365 1.122-10.674 1.596-15.309 1.237z\"/><path d=\"M47.633 39.532l.023.051c-9.689 4.356-21.584 6.799-30.396 5.828C5.273 44.089-1.028 36.43 2.443 24.078 5.562 12.976 14.3 4.361 24.047 1.548c10.68-3.083 19.749 1.968 19.749 13.225h-8.623c0-4.859-3.078-6.573-8.735-4.94-6.91 1.995-13.392 8.383-15.694 16.577-1.915 6.818.417 9.653 7.46 10.43 7.126.785 17.531-1.352 25.917-5.121l.027.06.036-.017c1.76-.758 6.266 6.549 3.524 7.74a2.8 2.8 0 01-.075.03z\"/></g></svg>"
},
"$:/core/images/theme-button": {
"title": "$:/core/images/theme-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-theme-button tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M55.854 66.945a122.626 122.626 0 01-3.9-4.819c-11.064-14.548-16.645-6.888-22.96 0-6.315 6.888 1.664 12.47-4.33 17.335-5.993 4.866-5.623 6.552-15.737-2.35-10.115-8.9-10.815-11.351-6.172-16.43 4.644-5.08 8.524 2.918 18.01-6.108 9.485-9.026 1.517-17.026 1.517-17.026S42.03-2.824 68.42.157c26.39 2.982-9.984-3.86-19.031 27.801-3.874 13.556.72 10.362 8.066 16.087 1.707 1.33 6.428 4.732 12.671 9.318-6.129 5.879-11.157 10.669-14.273 13.582zm11.641 12.947c16.013 17.036 37.742 37.726 45.117 40.42 10.432 3.813 15.388-3.141 15.388-14.79 0-7.151-23.83-26.542-43.924-41.769-7.408 7.156-13.376 12.953-16.58 16.139z\"/><path d=\"M11.069 109.828L46.31 74.587a3.56 3.56 0 115.037-5.032l15.098 15.098a3.56 3.56 0 11-5.032 5.037l-35.24 35.241c-4.171 4.17-10.933 4.17-15.104 0-4.17-4.17-4.17-10.933 0-15.103zM124.344 6.622l5.034 5.034-7.49 12.524-7.613 2.58L61.413 79.62l-5.034-5.034 52.861-52.862 2.58-7.614 12.524-7.49z\"/></g></svg>"
},
"$:/core/images/timestamp-off": {
"title": "$:/core/images/timestamp-off",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-timestamp-off tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M58.25 11C26.08 11 0 37.082 0 69.25s26.08 58.25 58.25 58.25c32.175 0 58.25-26.082 58.25-58.25S90.425 11 58.25 11zm0 100.5C34.914 111.5 16 92.586 16 69.25 16 45.92 34.914 27 58.25 27s42.25 18.92 42.25 42.25c0 23.336-18.914 42.25-42.25 42.25zM49.704 10a5 5 0 010-10H66.69a5 5 0 015 5c.006 2.757-2.238 5-5 5H49.705z\"/><path d=\"M58.25 35.88c-18.777 0-33.998 15.224-33.998 33.998 0 18.773 15.22 34.002 33.998 34.002 18.784 0 34.002-15.23 34.002-34.002 0-18.774-15.218-33.998-34.002-33.998zm-3.03 50.123H44.196v-34H55.22v34zm16.976 0H61.17v-34h11.025v34z\"/></g></svg>"
},
"$:/core/images/timestamp-on": {
"title": "$:/core/images/timestamp-on",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-timestamp-on tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><path d=\"M58.25 11C26.08 11 0 37.082 0 69.25s26.08 58.25 58.25 58.25c32.175 0 58.25-26.082 58.25-58.25S90.425 11 58.25 11zm0 100.5C34.914 111.5 16 92.586 16 69.25 16 45.92 34.914 27 58.25 27s42.25 18.92 42.25 42.25c0 23.336-18.914 42.25-42.25 42.25zM49.704 10a5 5 0 010-10H66.69a5 5 0 015 5c.006 2.757-2.238 5-5 5H49.705z\"/><path d=\"M13.41 27.178a5.005 5.005 0 01-7.045-.613 5.008 5.008 0 01.616-7.047l9.95-8.348a5 5 0 016.429 7.661l-9.95 8.348zm89.573 0a5.005 5.005 0 007.045-.613 5.008 5.008 0 00-.616-7.047l-9.95-8.348a5 5 0 00-6.428 7.661l9.95 8.348zM65.097 71.072c0 3.826-3.09 6.928-6.897 6.928-3.804.006-6.9-3.102-6.903-6.928 0 0 4.76-39.072 6.903-39.072s6.897 39.072 6.897 39.072z\"/></g></svg>"
},
"$:/core/images/tip": {
"title": "$:/core/images/tip",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-tip tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M64 128.242c35.346 0 64-28.654 64-64 0-35.346-28.654-64-64-64-35.346 0-64 28.654-64 64 0 35.346 28.654 64 64 64zm11.936-36.789c-.624 4.129-5.73 7.349-11.936 7.349-6.206 0-11.312-3.22-11.936-7.349C54.33 94.05 58.824 95.82 64 95.82c5.175 0 9.67-1.769 11.936-4.366zm0 4.492c-.624 4.13-5.73 7.349-11.936 7.349-6.206 0-11.312-3.22-11.936-7.349 2.266 2.597 6.76 4.366 11.936 4.366 5.175 0 9.67-1.769 11.936-4.366zm0 4.456c-.624 4.129-5.73 7.349-11.936 7.349-6.206 0-11.312-3.22-11.936-7.349 2.266 2.597 6.76 4.366 11.936 4.366 5.175 0 9.67-1.769 11.936-4.366zm0 4.492c-.624 4.13-5.73 7.349-11.936 7.349-6.206 0-11.312-3.22-11.936-7.349 2.266 2.597 6.76 4.366 11.936 4.366 5.175 0 9.67-1.769 11.936-4.366zM64.3 24.242c11.618 0 23.699 7.82 23.699 24.2S75.92 71.754 75.92 83.576c0 5.873-5.868 9.26-11.92 9.26s-12.027-3.006-12.027-9.26C51.973 71.147 40 65.47 40 48.442s12.683-24.2 24.301-24.2z\"/></svg>"
},
"$:/core/images/transcludify": {
"title": "$:/core/images/transcludify",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-transcludify-button tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M0 59.482c.591 0 1.36-.089 2.306-.266a10.417 10.417 0 002.75-.932 6.762 6.762 0 002.306-1.907c.651-.828.976-1.863.976-3.104V35.709c0-2.01.414-3.74 1.242-5.19.828-1.448 1.833-2.66 3.016-3.636s2.425-1.7 3.726-2.173c1.3-.473 2.424-.71 3.37-.71h8.073v7.451h-4.88c-1.241 0-2.232.207-2.97.621-.74.414-1.302.932-1.686 1.552a4.909 4.909 0 00-.71 1.996c-.089.71-.133 1.39-.133 2.04v16.677c0 1.715-.325 3.134-.976 4.258-.65 1.123-1.434 2.025-2.35 2.705-.917.68-1.863 1.168-2.839 1.464-.976.296-1.818.473-2.528.532v.178c.71.059 1.552.207 2.528.443.976.237 1.922.68 2.839 1.33.916.651 1.7 1.583 2.35 2.795.65 1.212.976 2.853.976 4.923v16.144c0 .65.044 1.33.133 2.04.089.71.325 1.375.71 1.996.384.621.946 1.139 1.685 1.553.74.414 1.73.62 2.972.62h4.879v7.452h-8.073c-.946 0-2.07-.237-3.37-.71-1.301-.473-2.543-1.197-3.726-2.173-1.183-.976-2.188-2.188-3.016-3.637-.828-1.449-1.242-3.179-1.242-5.19V74.119c0-1.42-.325-2.572-.976-3.46-.65-.886-1.419-1.581-2.306-2.084a8.868 8.868 0 00-2.75-1.02C1.36 67.377.591 67.288 0 67.288v-7.806zm24.66 0c.591 0 1.36-.089 2.306-.266a10.417 10.417 0 002.75-.932 6.762 6.762 0 002.306-1.907c.65-.828.976-1.863.976-3.104V35.709c0-2.01.414-3.74 1.242-5.19.828-1.448 1.833-2.66 3.016-3.636s2.425-1.7 3.726-2.173c1.3-.473 2.424-.71 3.37-.71h8.073v7.451h-4.88c-1.241 0-2.232.207-2.97.621-.74.414-1.302.932-1.686 1.552a4.909 4.909 0 00-.71 1.996c-.089.71-.133 1.39-.133 2.04v16.677c0 1.715-.325 3.134-.976 4.258-.65 1.123-1.434 2.025-2.35 2.705-.917.68-1.863 1.168-2.839 1.464-.976.296-1.818.473-2.528.532v.178c.71.059 1.552.207 2.528.443.976.237 1.922.68 2.839 1.33.916.651 1.7 1.583 2.35 2.795.65 1.212.976 2.853.976 4.923v16.144c0 .65.044 1.33.133 2.04.089.71.325 1.375.71 1.996.384.621.946 1.139 1.685 1.553.74.414 1.73.62 2.972.62h4.879v7.452h-8.073c-.946 0-2.07-.237-3.37-.71-1.301-.473-2.543-1.197-3.726-2.173-1.183-.976-2.188-2.188-3.016-3.637-.828-1.449-1.242-3.179-1.242-5.19V74.119c0-1.42-.325-2.572-.976-3.46-.65-.886-1.419-1.581-2.306-2.084a8.868 8.868 0 00-2.75-1.02c-.946-.177-1.715-.266-2.306-.266v-7.806zm43.965-3.538L80.6 52.041l2.306 7.097-12.063 3.903 7.628 10.378-6.12 4.435-7.63-10.467-7.45 10.201-5.943-4.524 7.628-10.023-12.152-4.17 2.306-7.096 12.064 4.17V43.347h7.451v12.596zm34.425 11.344c-.65 0-1.449.089-2.395.266-.946.177-1.863.488-2.75.931a6.356 6.356 0 00-2.262 1.908c-.62.828-.931 1.862-.931 3.104v17.564c0 2.01-.414 3.74-1.242 5.189-.828 1.449-1.833 2.661-3.016 3.637s-2.425 1.7-3.726 2.173c-1.3.473-2.424.71-3.37.71h-8.073v-7.451h4.88c1.241 0 2.232-.207 2.97-.621.74-.414 1.302-.932 1.686-1.553a4.9 4.9 0 00.71-1.995c.089-.71.133-1.39.133-2.04V72.432c0-1.715.325-3.134.976-4.258.65-1.124 1.434-2.01 2.35-2.661.917-.65 1.863-1.124 2.839-1.42.976-.295 1.818-.502 2.528-.62v-.178c-.71-.059-1.552-.207-2.528-.443-.976-.237-1.922-.68-2.839-1.33-.916-.651-1.7-1.583-2.35-2.795-.65-1.212-.976-2.853-.976-4.923V37.66c0-.651-.044-1.331-.133-2.04a4.909 4.909 0 00-.71-1.997c-.384-.62-.946-1.138-1.685-1.552-.74-.414-1.73-.62-2.972-.62h-4.879V24h8.073c.946 0 2.07.237 3.37.71 1.301.473 2.543 1.197 3.726 2.173 1.183.976 2.188 2.188 3.016 3.637.828 1.449 1.242 3.178 1.242 5.189v16.943c0 1.419.31 2.572.931 3.46a6.897 6.897 0 002.262 2.084 8.868 8.868 0 002.75 1.02c.946.177 1.745.266 2.395.266v7.806zm24.66 0c-.65 0-1.449.089-2.395.266-.946.177-1.863.488-2.75.931a6.356 6.356 0 00-2.262 1.908c-.62.828-.931 1.862-.931 3.104v17.564c0 2.01-.414 3.74-1.242 5.189-.828 1.449-1.833 2.661-3.016 3.637s-2.425 1.7-3.726 2.173c-1.3.473-2.424.71-3.37.71h-8.073v-7.451h4.88c1.241 0 2.232-.207 2.97-.621.74-.414 1.302-.932 1.686-1.553a4.9 4.9 0 00.71-1.995c.089-.71.133-1.39.133-2.04V72.432c0-1.715.325-3.134.976-4.258.65-1.124 1.434-2.01 2.35-2.661.917-.65 1.863-1.124 2.839-1.42.976-.295 1.818-.502 2.528-.62v-.178c-.71-.059-1.552-.207-2.528-.443-.976-.237-1.922-.68-2.839-1.33-.916-.651-1.7-1.583-2.35-2.795-.65-1.212-.976-2.853-.976-4.923V37.66c0-.651-.044-1.331-.133-2.04a4.909 4.909 0 00-.71-1.997c-.384-.62-.946-1.138-1.685-1.552-.74-.414-1.73-.62-2.972-.62h-4.879V24h8.073c.946 0 2.07.237 3.37.71 1.301.473 2.543 1.197 3.726 2.173 1.183.976 2.188 2.188 3.016 3.637.828 1.449 1.242 3.178 1.242 5.189v16.943c0 1.419.31 2.572.931 3.46a6.897 6.897 0 002.262 2.084 8.868 8.868 0 002.75 1.02c.946.177 1.745.266 2.395.266v7.806z\"/></svg>"
},
"$:/core/images/twitter": {
"title": "$:/core/images/twitter",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-twitter tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M41.626 115.803A73.376 73.376 0 012 104.235c2.022.238 4.08.36 6.166.36 12.111 0 23.258-4.117 32.105-11.023-11.312-.208-20.859-7.653-24.148-17.883a25.98 25.98 0 0011.674-.441C15.971 72.881 7.061 62.474 7.061 49.997c0-.108 0-.216.002-.323a25.824 25.824 0 0011.709 3.22c-6.936-4.617-11.5-12.5-11.5-21.433 0-4.719 1.274-9.142 3.5-12.945 12.75 15.579 31.797 25.83 53.281 26.904-.44-1.884-.67-3.85-.67-5.868 0-14.22 11.575-25.75 25.852-25.75a25.865 25.865 0 0118.869 8.132 51.892 51.892 0 0016.415-6.248c-1.93 6.012-6.029 11.059-11.366 14.246A51.844 51.844 0 00128 25.878a52.428 52.428 0 01-12.9 13.33c.05 1.104.075 2.214.075 3.33 0 34.028-26 73.265-73.549 73.265\"/></svg>"
},
"$:/core/images/underline": {
"title": "$:/core/images/underline",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-underline tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M7 117.421h114.248V128H7v-10.579zm97.871-18.525V0h-16.26v55.856c0 4.463-.605 8.576-1.816 12.338-1.212 3.762-3.03 7.046-5.452 9.851-2.423 2.806-5.452 4.974-9.086 6.504-3.635 1.53-7.939 2.296-12.912 2.296-6.25 0-11.159-1.786-14.73-5.356-3.57-3.571-5.356-8.417-5.356-14.538V0H23v65.038c0 5.356.542 10.234 1.626 14.633 1.084 4.4 2.965 8.194 5.643 11.382 2.678 3.188 6.185 5.643 10.52 7.365 4.337 1.721 9.756 2.582 16.26 2.582 7.27 0 13.582-1.435 18.938-4.304 5.356-2.87 9.755-7.365 13.199-13.486h.382v15.686h15.303z\"/></svg>"
},
"$:/core/images/unfold-all-button": {
"title": "$:/core/images/unfold-all-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-unfold-all tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"128\" height=\"16\" rx=\"8\"/><rect width=\"128\" height=\"16\" y=\"64\" rx=\"8\"/><path d=\"M63.945 60.624c-2.05 0-4.101-.78-5.666-2.345L35.662 35.662c-3.125-3.125-3.13-8.195-.005-11.319 3.118-3.118 8.192-3.122 11.319.005L63.94 41.314l16.966-16.966c3.124-3.124 8.194-3.129 11.318-.005 3.118 3.118 3.122 8.192-.005 11.319L69.603 58.279a7.986 7.986 0 01-5.663 2.346zM64.004 124.565c-2.05 0-4.102-.78-5.666-2.345L35.721 99.603c-3.125-3.125-3.13-8.195-.005-11.319 3.118-3.118 8.191-3.122 11.318.005L64 105.255l16.966-16.966c3.124-3.124 8.194-3.129 11.318-.005 3.118 3.118 3.122 8.192-.005 11.319L69.662 122.22a7.986 7.986 0 01-5.663 2.346z\"/></g></svg>"
},
"$:/core/images/unfold-button": {
"title": "$:/core/images/unfold-button",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-unfold tc-image-button\" viewBox=\"0 0 128 128\"><g fill-rule=\"evenodd\"><rect width=\"128\" height=\"16\" rx=\"8\"/><path d=\"M63.945 63.624c-2.05 0-4.101-.78-5.666-2.345L35.662 38.662c-3.125-3.125-3.13-8.195-.005-11.319 3.118-3.118 8.192-3.122 11.319.005L63.94 44.314l16.966-16.966c3.124-3.124 8.194-3.129 11.318-.005 3.118 3.118 3.122 8.192-.005 11.319L69.603 61.279a7.986 7.986 0 01-5.663 2.346zM64.004 105.682c-2.05.001-4.102-.78-5.666-2.344L35.721 80.721c-3.125-3.125-3.13-8.195-.005-11.319 3.118-3.118 8.191-3.122 11.318.005L64 86.373l16.966-16.966c3.124-3.125 8.194-3.13 11.318-.005 3.118 3.118 3.122 8.192-.005 11.319l-22.617 22.617a7.986 7.986 0 01-5.663 2.346z\"/></g></svg>"
},
"$:/core/images/unlocked-padlock": {
"title": "$:/core/images/unlocked-padlock",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-unlocked-padlock tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M48.627 64H105v32.01C105 113.674 90.674 128 73.001 128H56C38.318 128 24 113.677 24 96.01V64h6.136c-10.455-12.651-27.364-35.788-4.3-55.142 24.636-20.672 45.835 4.353 55.777 16.201 9.943 11.85-2.676 22.437-12.457 9.892-9.78-12.545-21.167-24.146-33.207-14.043-12.041 10.104-1.757 22.36 8.813 34.958 2.467 2.94 3.641 5.732 3.865 8.134zm19.105 28.364A8.503 8.503 0 0064.5 76a8.5 8.5 0 00-3.498 16.25l-5.095 22.77H72.8l-5.07-22.656z\"/></svg>"
},
"$:/core/images/up-arrow": {
"title": "$:/core/images/up-arrow",
"created": "20150316000544368",
"modified": "20150316000831867",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-up-arrow tc-image-button\" viewBox=\"0 0 128 128\"><path d=\"M63.892.281c2.027 0 4.054.77 5.6 2.316l55.98 55.98a7.92 7.92 0 010 11.196c-3.086 3.085-8.104 3.092-11.196 0L63.894 19.393 13.513 69.774a7.92 7.92 0 01-11.196 0c-3.085-3.086-3.092-8.105 0-11.196l55.98-55.98A7.892 7.892 0 0163.893.28z\"/></svg>"
},
"$:/core/images/video": {
"title": "$:/core/images/video",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-video tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M64 12c-34.91 0-55.273 2.917-58.182 5.833C2.91 20.75 0 41.167 0 64.5c0 23.333 2.91 43.75 5.818 46.667C8.728 114.083 29.091 117 64 117c34.91 0 55.273-2.917 58.182-5.833C125.09 108.25 128 87.833 128 64.5c0-23.333-2.91-43.75-5.818-46.667C119.272 14.917 98.909 12 64 12zm-9.084 32.618c-3.813-2.542-6.905-.879-6.905 3.698v31.368c0 4.585 3.099 6.235 6.905 3.698l22.168-14.779c3.813-2.542 3.806-6.669 0-9.206L54.916 44.618z\"/></svg>"
},
"$:/core/images/warning": {
"title": "$:/core/images/warning",
"tags": "$:/tags/Image",
"text": "<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-warning tc-image-button\" viewBox=\"0 0 128 128\"><path fill-rule=\"evenodd\" d=\"M57.072 11c3.079-5.333 10.777-5.333 13.856 0l55.426 96c3.079 5.333-.77 12-6.928 12H8.574c-6.158 0-10.007-6.667-6.928-12l55.426-96zM64 37c-4.418 0-8 3.582-8 7.994v28.012C56 77.421 59.59 81 64 81c4.418 0 8-3.582 8-7.994V44.994C72 40.579 68.41 37 64 37zm0 67a8 8 0 100-16 8 8 0 000 16z\"/></svg>"
},
"$:/language/Buttons/AdvancedSearch/Caption": {
"title": "$:/language/Buttons/AdvancedSearch/Caption",
"text": "advanced search"
},
"$:/language/Buttons/AdvancedSearch/Hint": {
"title": "$:/language/Buttons/AdvancedSearch/Hint",
"text": "Advanced search"
},
"$:/language/Buttons/Cancel/Caption": {
"title": "$:/language/Buttons/Cancel/Caption",
"text": "cancel"
},
"$:/language/Buttons/Cancel/Hint": {
"title": "$:/language/Buttons/Cancel/Hint",
"text": "Discard changes to this tiddler"
},
"$:/language/Buttons/Clone/Caption": {
"title": "$:/language/Buttons/Clone/Caption",
"text": "clone"
},
"$:/language/Buttons/Clone/Hint": {
"title": "$:/language/Buttons/Clone/Hint",
"text": "Clone this tiddler"
},
"$:/language/Buttons/Close/Caption": {
"title": "$:/language/Buttons/Close/Caption",
"text": "close"
},
"$:/language/Buttons/Close/Hint": {
"title": "$:/language/Buttons/Close/Hint",
"text": "Close this tiddler"
},
"$:/language/Buttons/CloseAll/Caption": {
"title": "$:/language/Buttons/CloseAll/Caption",
"text": "close all"
},
"$:/language/Buttons/CloseAll/Hint": {
"title": "$:/language/Buttons/CloseAll/Hint",
"text": "Close all tiddlers"
},
"$:/language/Buttons/CloseOthers/Caption": {
"title": "$:/language/Buttons/CloseOthers/Caption",
"text": "close others"
},
"$:/language/Buttons/CloseOthers/Hint": {
"title": "$:/language/Buttons/CloseOthers/Hint",
"text": "Close other tiddlers"
},
"$:/language/Buttons/ControlPanel/Caption": {
"title": "$:/language/Buttons/ControlPanel/Caption",
"text": "control panel"
},
"$:/language/Buttons/ControlPanel/Hint": {
"title": "$:/language/Buttons/ControlPanel/Hint",
"text": "Open control panel"
},
"$:/language/Buttons/CopyToClipboard/Caption": {
"title": "$:/language/Buttons/CopyToClipboard/Caption",
"text": "copy to clipboard"
},
"$:/language/Buttons/CopyToClipboard/Hint": {
"title": "$:/language/Buttons/CopyToClipboard/Hint",
"text": "Copy this text to the clipboard"
},
"$:/language/Buttons/Delete/Caption": {
"title": "$:/language/Buttons/Delete/Caption",
"text": "delete"
},
"$:/language/Buttons/Delete/Hint": {
"title": "$:/language/Buttons/Delete/Hint",
"text": "Delete this tiddler"
},
"$:/language/Buttons/Edit/Caption": {
"title": "$:/language/Buttons/Edit/Caption",
"text": "edit"
},
"$:/language/Buttons/Edit/Hint": {
"title": "$:/language/Buttons/Edit/Hint",
"text": "Edit this tiddler"
},
"$:/language/Buttons/Encryption/Caption": {
"title": "$:/language/Buttons/Encryption/Caption",
"text": "encryption"
},
"$:/language/Buttons/Encryption/Hint": {
"title": "$:/language/Buttons/Encryption/Hint",
"text": "Set or clear a password for saving this wiki"
},
"$:/language/Buttons/Encryption/ClearPassword/Caption": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Caption",
"text": "clear password"
},
"$:/language/Buttons/Encryption/ClearPassword/Hint": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Hint",
"text": "Clear the password and save this wiki without encryption"
},
"$:/language/Buttons/Encryption/SetPassword/Caption": {
"title": "$:/language/Buttons/Encryption/SetPassword/Caption",
"text": "set password"
},
"$:/language/Buttons/Encryption/SetPassword/Hint": {
"title": "$:/language/Buttons/Encryption/SetPassword/Hint",
"text": "Set a password for saving this wiki with encryption"
},
"$:/language/Buttons/ExportPage/Caption": {
"title": "$:/language/Buttons/ExportPage/Caption",
"text": "export all"
},
"$:/language/Buttons/ExportPage/Hint": {
"title": "$:/language/Buttons/ExportPage/Hint",
"text": "Export all tiddlers"
},
"$:/language/Buttons/ExportTiddler/Caption": {
"title": "$:/language/Buttons/ExportTiddler/Caption",
"text": "export tiddler"
},
"$:/language/Buttons/ExportTiddler/Hint": {
"title": "$:/language/Buttons/ExportTiddler/Hint",
"text": "Export tiddler"
},
"$:/language/Buttons/ExportTiddlers/Caption": {
"title": "$:/language/Buttons/ExportTiddlers/Caption",
"text": "export tiddlers"
},
"$:/language/Buttons/ExportTiddlers/Hint": {
"title": "$:/language/Buttons/ExportTiddlers/Hint",
"text": "Export tiddlers"
},
"$:/language/Buttons/SidebarSearch/Hint": {
"title": "$:/language/Buttons/SidebarSearch/Hint",
"text": "Select the sidebar search field"
},
"$:/language/Buttons/Fold/Caption": {
"title": "$:/language/Buttons/Fold/Caption",
"text": "fold tiddler"
},
"$:/language/Buttons/Fold/Hint": {
"title": "$:/language/Buttons/Fold/Hint",
"text": "Fold the body of this tiddler"
},
"$:/language/Buttons/Fold/FoldBar/Caption": {
"title": "$:/language/Buttons/Fold/FoldBar/Caption",
"text": "fold-bar"
},
"$:/language/Buttons/Fold/FoldBar/Hint": {
"title": "$:/language/Buttons/Fold/FoldBar/Hint",
"text": "Optional bars to fold and unfold tiddlers"
},
"$:/language/Buttons/Unfold/Caption": {
"title": "$:/language/Buttons/Unfold/Caption",
"text": "unfold tiddler"
},
"$:/language/Buttons/Unfold/Hint": {
"title": "$:/language/Buttons/Unfold/Hint",
"text": "Unfold the body of this tiddler"
},
"$:/language/Buttons/FoldOthers/Caption": {
"title": "$:/language/Buttons/FoldOthers/Caption",
"text": "fold other tiddlers"
},
"$:/language/Buttons/FoldOthers/Hint": {
"title": "$:/language/Buttons/FoldOthers/Hint",
"text": "Fold the bodies of other opened tiddlers"
},
"$:/language/Buttons/FoldAll/Caption": {
"title": "$:/language/Buttons/FoldAll/Caption",
"text": "fold all tiddlers"
},
"$:/language/Buttons/FoldAll/Hint": {
"title": "$:/language/Buttons/FoldAll/Hint",
"text": "Fold the bodies of all opened tiddlers"
},
"$:/language/Buttons/UnfoldAll/Caption": {
"title": "$:/language/Buttons/UnfoldAll/Caption",
"text": "unfold all tiddlers"
},
"$:/language/Buttons/UnfoldAll/Hint": {
"title": "$:/language/Buttons/UnfoldAll/Hint",
"text": "Unfold the bodies of all opened tiddlers"
},
"$:/language/Buttons/FullScreen/Caption": {
"title": "$:/language/Buttons/FullScreen/Caption",
"text": "full-screen"
},
"$:/language/Buttons/FullScreen/Hint": {
"title": "$:/language/Buttons/FullScreen/Hint",
"text": "Enter or leave full-screen mode"
},
"$:/language/Buttons/Help/Caption": {
"title": "$:/language/Buttons/Help/Caption",
"text": "help"
},
"$:/language/Buttons/Help/Hint": {
"title": "$:/language/Buttons/Help/Hint",
"text": "Show help panel"
},
"$:/language/Buttons/Import/Caption": {
"title": "$:/language/Buttons/Import/Caption",
"text": "import"
},
"$:/language/Buttons/Import/Hint": {
"title": "$:/language/Buttons/Import/Hint",
"text": "Import many types of file including text, image, TiddlyWiki or JSON"
},
"$:/language/Buttons/Info/Caption": {
"title": "$:/language/Buttons/Info/Caption",
"text": "info"
},
"$:/language/Buttons/Info/Hint": {
"title": "$:/language/Buttons/Info/Hint",
"text": "Show information for this tiddler"
},
"$:/language/Buttons/Home/Caption": {
"title": "$:/language/Buttons/Home/Caption",
"text": "home"
},
"$:/language/Buttons/Home/Hint": {
"title": "$:/language/Buttons/Home/Hint",
"text": "Open the default tiddlers"
},
"$:/language/Buttons/Language/Caption": {
"title": "$:/language/Buttons/Language/Caption",
"text": "language"
},
"$:/language/Buttons/Language/Hint": {
"title": "$:/language/Buttons/Language/Hint",
"text": "Choose the user interface language"
},
"$:/language/Buttons/Manager/Caption": {
"title": "$:/language/Buttons/Manager/Caption",
"text": "tiddler manager"
},
"$:/language/Buttons/Manager/Hint": {
"title": "$:/language/Buttons/Manager/Hint",
"text": "Open tiddler manager"
},
"$:/language/Buttons/More/Caption": {
"title": "$:/language/Buttons/More/Caption",
"text": "more"
},
"$:/language/Buttons/More/Hint": {
"title": "$:/language/Buttons/More/Hint",
"text": "More actions"
},
"$:/language/Buttons/NewHere/Caption": {
"title": "$:/language/Buttons/NewHere/Caption",
"text": "new here"
},
"$:/language/Buttons/NewHere/Hint": {
"title": "$:/language/Buttons/NewHere/Hint",
"text": "Create a new tiddler tagged with this one"
},
"$:/language/Buttons/NewJournal/Caption": {
"title": "$:/language/Buttons/NewJournal/Caption",
"text": "new journal"
},
"$:/language/Buttons/NewJournal/Hint": {
"title": "$:/language/Buttons/NewJournal/Hint",
"text": "Create a new journal tiddler"
},
"$:/language/Buttons/NewJournalHere/Caption": {
"title": "$:/language/Buttons/NewJournalHere/Caption",
"text": "new journal here"
},
"$:/language/Buttons/NewJournalHere/Hint": {
"title": "$:/language/Buttons/NewJournalHere/Hint",
"text": "Create a new journal tiddler tagged with this one"
},
"$:/language/Buttons/NewImage/Caption": {
"title": "$:/language/Buttons/NewImage/Caption",
"text": "new image"
},
"$:/language/Buttons/NewImage/Hint": {
"title": "$:/language/Buttons/NewImage/Hint",
"text": "Create a new image tiddler"
},
"$:/language/Buttons/NewMarkdown/Caption": {
"title": "$:/language/Buttons/NewMarkdown/Caption",
"text": "new Markdown tiddler"
},
"$:/language/Buttons/NewMarkdown/Hint": {
"title": "$:/language/Buttons/NewMarkdown/Hint",
"text": "Create a new Markdown tiddler"
},
"$:/language/Buttons/NewTiddler/Caption": {
"title": "$:/language/Buttons/NewTiddler/Caption",
"text": "new tiddler"
},
"$:/language/Buttons/NewTiddler/Hint": {
"title": "$:/language/Buttons/NewTiddler/Hint",
"text": "Create a new tiddler"
},
"$:/language/Buttons/OpenWindow/Caption": {
"title": "$:/language/Buttons/OpenWindow/Caption",
"text": "open in new window"
},
"$:/language/Buttons/OpenWindow/Hint": {
"title": "$:/language/Buttons/OpenWindow/Hint",
"text": "Open tiddler in new window"
},
"$:/language/Buttons/Palette/Caption": {
"title": "$:/language/Buttons/Palette/Caption",
"text": "palette"
},
"$:/language/Buttons/Palette/Hint": {
"title": "$:/language/Buttons/Palette/Hint",
"text": "Choose the colour palette"
},
"$:/language/Buttons/Permalink/Caption": {
"title": "$:/language/Buttons/Permalink/Caption",
"text": "permalink"
},
"$:/language/Buttons/Permalink/Hint": {
"title": "$:/language/Buttons/Permalink/Hint",
"text": "Set browser address bar to a direct link to this tiddler"
},
"$:/language/Buttons/Permaview/Caption": {
"title": "$:/language/Buttons/Permaview/Caption",
"text": "permaview"
},
"$:/language/Buttons/Permaview/Hint": {
"title": "$:/language/Buttons/Permaview/Hint",
"text": "Set browser address bar to a direct link to all the tiddlers in this story"
},
"$:/language/Buttons/Print/Caption": {
"title": "$:/language/Buttons/Print/Caption",
"text": "print page"
},
"$:/language/Buttons/Print/Hint": {
"title": "$:/language/Buttons/Print/Hint",
"text": "Print the current page"
},
"$:/language/Buttons/Refresh/Caption": {
"title": "$:/language/Buttons/Refresh/Caption",
"text": "refresh"
},
"$:/language/Buttons/Refresh/Hint": {
"title": "$:/language/Buttons/Refresh/Hint",
"text": "Perform a full refresh of the wiki"
},
"$:/language/Buttons/Save/Caption": {
"title": "$:/language/Buttons/Save/Caption",
"text": "ok"
},
"$:/language/Buttons/Save/Hint": {
"title": "$:/language/Buttons/Save/Hint",
"text": "Confirm changes to this tiddler"
},
"$:/language/Buttons/SaveWiki/Caption": {
"title": "$:/language/Buttons/SaveWiki/Caption",
"text": "save changes"
},
"$:/language/Buttons/SaveWiki/Hint": {
"title": "$:/language/Buttons/SaveWiki/Hint",
"text": "Save changes"
},
"$:/language/Buttons/StoryView/Caption": {
"title": "$:/language/Buttons/StoryView/Caption",
"text": "storyview"
},
"$:/language/Buttons/StoryView/Hint": {
"title": "$:/language/Buttons/StoryView/Hint",
"text": "Choose the story visualisation"
},
"$:/language/Buttons/HideSideBar/Caption": {
"title": "$:/language/Buttons/HideSideBar/Caption",
"text": "hide sidebar"
},
"$:/language/Buttons/HideSideBar/Hint": {
"title": "$:/language/Buttons/HideSideBar/Hint",
"text": "Hide sidebar"
},
"$:/language/Buttons/ShowSideBar/Caption": {
"title": "$:/language/Buttons/ShowSideBar/Caption",
"text": "show sidebar"
},
"$:/language/Buttons/ShowSideBar/Hint": {
"title": "$:/language/Buttons/ShowSideBar/Hint",
"text": "Show sidebar"
},
"$:/language/Buttons/TagManager/Caption": {
"title": "$:/language/Buttons/TagManager/Caption",
"text": "tag manager"
},
"$:/language/Buttons/TagManager/Hint": {
"title": "$:/language/Buttons/TagManager/Hint",
"text": "Open tag manager"
},
"$:/language/Buttons/Timestamp/Caption": {
"title": "$:/language/Buttons/Timestamp/Caption",
"text": "timestamps"
},
"$:/language/Buttons/Timestamp/Hint": {
"title": "$:/language/Buttons/Timestamp/Hint",
"text": "Choose whether modifications update timestamps"
},
"$:/language/Buttons/Timestamp/On/Caption": {
"title": "$:/language/Buttons/Timestamp/On/Caption",
"text": "timestamps are on"
},
"$:/language/Buttons/Timestamp/On/Hint": {
"title": "$:/language/Buttons/Timestamp/On/Hint",
"text": "Update timestamps when tiddlers are modified"
},
"$:/language/Buttons/Timestamp/Off/Caption": {
"title": "$:/language/Buttons/Timestamp/Off/Caption",
"text": "timestamps are off"
},
"$:/language/Buttons/Timestamp/Off/Hint": {
"title": "$:/language/Buttons/Timestamp/Off/Hint",
"text": "Don't update timestamps when tiddlers are modified"
},
"$:/language/Buttons/Theme/Caption": {
"title": "$:/language/Buttons/Theme/Caption",
"text": "theme"
},
"$:/language/Buttons/Theme/Hint": {
"title": "$:/language/Buttons/Theme/Hint",
"text": "Choose the display theme"
},
"$:/language/Buttons/Bold/Caption": {
"title": "$:/language/Buttons/Bold/Caption",
"text": "bold"
},
"$:/language/Buttons/Bold/Hint": {
"title": "$:/language/Buttons/Bold/Hint",
"text": "Apply bold formatting to selection"
},
"$:/language/Buttons/Clear/Caption": {
"title": "$:/language/Buttons/Clear/Caption",
"text": "clear"
},
"$:/language/Buttons/Clear/Hint": {
"title": "$:/language/Buttons/Clear/Hint",
"text": "Clear image to solid colour"
},
"$:/language/Buttons/EditorHeight/Caption": {
"title": "$:/language/Buttons/EditorHeight/Caption",
"text": "editor height"
},
"$:/language/Buttons/EditorHeight/Caption/Auto": {
"title": "$:/language/Buttons/EditorHeight/Caption/Auto",
"text": "Automatically adjust height to fit content"
},
"$:/language/Buttons/EditorHeight/Caption/Fixed": {
"title": "$:/language/Buttons/EditorHeight/Caption/Fixed",
"text": "Fixed height:"
},
"$:/language/Buttons/EditorHeight/Hint": {
"title": "$:/language/Buttons/EditorHeight/Hint",
"text": "Choose the height of the text editor"
},
"$:/language/Buttons/Excise/Caption": {
"title": "$:/language/Buttons/Excise/Caption",
"text": "excise"
},
"$:/language/Buttons/Excise/Caption/Excise": {
"title": "$:/language/Buttons/Excise/Caption/Excise",
"text": "Perform excision"
},
"$:/language/Buttons/Excise/Caption/MacroName": {
"title": "$:/language/Buttons/Excise/Caption/MacroName",
"text": "Macro name:"
},
"$:/language/Buttons/Excise/Caption/NewTitle": {
"title": "$:/language/Buttons/Excise/Caption/NewTitle",
"text": "Title of new tiddler:"
},
"$:/language/Buttons/Excise/Caption/Replace": {
"title": "$:/language/Buttons/Excise/Caption/Replace",
"text": "Replace excised text with:"
},
"$:/language/Buttons/Excise/Caption/Replace/Macro": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Macro",
"text": "macro"
},
"$:/language/Buttons/Excise/Caption/Replace/Link": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Link",
"text": "link"
},
"$:/language/Buttons/Excise/Caption/Replace/Transclusion": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Transclusion",
"text": "transclusion"
},
"$:/language/Buttons/Excise/Caption/Tag": {
"title": "$:/language/Buttons/Excise/Caption/Tag",
"text": "Tag new tiddler with the title of this tiddler"
},
"$:/language/Buttons/Excise/Caption/TiddlerExists": {
"title": "$:/language/Buttons/Excise/Caption/TiddlerExists",
"text": "Warning: tiddler already exists"
},
"$:/language/Buttons/Excise/Hint": {
"title": "$:/language/Buttons/Excise/Hint",
"text": "Excise the selected text into a new tiddler"
},
"$:/language/Buttons/Heading1/Caption": {
"title": "$:/language/Buttons/Heading1/Caption",
"text": "heading 1"
},
"$:/language/Buttons/Heading1/Hint": {
"title": "$:/language/Buttons/Heading1/Hint",
"text": "Apply heading level 1 formatting to lines containing selection"
},
"$:/language/Buttons/Heading2/Caption": {
"title": "$:/language/Buttons/Heading2/Caption",
"text": "heading 2"
},
"$:/language/Buttons/Heading2/Hint": {
"title": "$:/language/Buttons/Heading2/Hint",
"text": "Apply heading level 2 formatting to lines containing selection"
},
"$:/language/Buttons/Heading3/Caption": {
"title": "$:/language/Buttons/Heading3/Caption",
"text": "heading 3"
},
"$:/language/Buttons/Heading3/Hint": {
"title": "$:/language/Buttons/Heading3/Hint",
"text": "Apply heading level 3 formatting to lines containing selection"
},
"$:/language/Buttons/Heading4/Caption": {
"title": "$:/language/Buttons/Heading4/Caption",
"text": "heading 4"
},
"$:/language/Buttons/Heading4/Hint": {
"title": "$:/language/Buttons/Heading4/Hint",
"text": "Apply heading level 4 formatting to lines containing selection"
},
"$:/language/Buttons/Heading5/Caption": {
"title": "$:/language/Buttons/Heading5/Caption",
"text": "heading 5"
},
"$:/language/Buttons/Heading5/Hint": {
"title": "$:/language/Buttons/Heading5/Hint",
"text": "Apply heading level 5 formatting to lines containing selection"
},
"$:/language/Buttons/Heading6/Caption": {
"title": "$:/language/Buttons/Heading6/Caption",
"text": "heading 6"
},
"$:/language/Buttons/Heading6/Hint": {
"title": "$:/language/Buttons/Heading6/Hint",
"text": "Apply heading level 6 formatting to lines containing selection"
},
"$:/language/Buttons/Italic/Caption": {
"title": "$:/language/Buttons/Italic/Caption",
"text": "italic"
},
"$:/language/Buttons/Italic/Hint": {
"title": "$:/language/Buttons/Italic/Hint",
"text": "Apply italic formatting to selection"
},
"$:/language/Buttons/LineWidth/Caption": {
"title": "$:/language/Buttons/LineWidth/Caption",
"text": "line width"
},
"$:/language/Buttons/LineWidth/Hint": {
"title": "$:/language/Buttons/LineWidth/Hint",
"text": "Set line width for painting"
},
"$:/language/Buttons/Link/Caption": {
"title": "$:/language/Buttons/Link/Caption",
"text": "link"
},
"$:/language/Buttons/Link/Hint": {
"title": "$:/language/Buttons/Link/Hint",
"text": "Create wikitext link"
},
"$:/language/Buttons/Linkify/Caption": {
"title": "$:/language/Buttons/Linkify/Caption",
"text": "wikilink"
},
"$:/language/Buttons/Linkify/Hint": {
"title": "$:/language/Buttons/Linkify/Hint",
"text": "Wrap selection in square brackets"
},
"$:/language/Buttons/ListBullet/Caption": {
"title": "$:/language/Buttons/ListBullet/Caption",
"text": "bulleted list"
},
"$:/language/Buttons/ListBullet/Hint": {
"title": "$:/language/Buttons/ListBullet/Hint",
"text": "Apply bulleted list formatting to lines containing selection"
},
"$:/language/Buttons/ListNumber/Caption": {
"title": "$:/language/Buttons/ListNumber/Caption",
"text": "numbered list"
},
"$:/language/Buttons/ListNumber/Hint": {
"title": "$:/language/Buttons/ListNumber/Hint",
"text": "Apply numbered list formatting to lines containing selection"
},
"$:/language/Buttons/MonoBlock/Caption": {
"title": "$:/language/Buttons/MonoBlock/Caption",
"text": "monospaced block"
},
"$:/language/Buttons/MonoBlock/Hint": {
"title": "$:/language/Buttons/MonoBlock/Hint",
"text": "Apply monospaced block formatting to lines containing selection"
},
"$:/language/Buttons/MonoLine/Caption": {
"title": "$:/language/Buttons/MonoLine/Caption",
"text": "monospaced"
},
"$:/language/Buttons/MonoLine/Hint": {
"title": "$:/language/Buttons/MonoLine/Hint",
"text": "Apply monospaced character formatting to selection"
},
"$:/language/Buttons/Opacity/Caption": {
"title": "$:/language/Buttons/Opacity/Caption",
"text": "opacity"
},
"$:/language/Buttons/Opacity/Hint": {
"title": "$:/language/Buttons/Opacity/Hint",
"text": "Set painting opacity"
},
"$:/language/Buttons/Paint/Caption": {
"title": "$:/language/Buttons/Paint/Caption",
"text": "paint colour"
},
"$:/language/Buttons/Paint/Hint": {
"title": "$:/language/Buttons/Paint/Hint",
"text": "Set painting colour"
},
"$:/language/Buttons/Picture/Caption": {
"title": "$:/language/Buttons/Picture/Caption",
"text": "picture"
},
"$:/language/Buttons/Picture/Hint": {
"title": "$:/language/Buttons/Picture/Hint",
"text": "Insert picture"
},
"$:/language/Buttons/Preview/Caption": {
"title": "$:/language/Buttons/Preview/Caption",
"text": "preview"
},
"$:/language/Buttons/Preview/Hint": {
"title": "$:/language/Buttons/Preview/Hint",
"text": "Show preview pane"
},
"$:/language/Buttons/PreviewType/Caption": {
"title": "$:/language/Buttons/PreviewType/Caption",
"text": "preview type"
},
"$:/language/Buttons/PreviewType/Hint": {
"title": "$:/language/Buttons/PreviewType/Hint",
"text": "Choose preview type"
},
"$:/language/Buttons/Quote/Caption": {
"title": "$:/language/Buttons/Quote/Caption",
"text": "quote"
},
"$:/language/Buttons/Quote/Hint": {
"title": "$:/language/Buttons/Quote/Hint",
"text": "Apply quoted text formatting to lines containing selection"
},
"$:/language/Buttons/RotateLeft/Caption": {
"title": "$:/language/Buttons/RotateLeft/Caption",
"text": "rotate left"
},
"$:/language/Buttons/RotateLeft/Hint": {
"title": "$:/language/Buttons/RotateLeft/Hint",
"text": "Rotate image left by 90 degrees"
},
"$:/language/Buttons/Size/Caption": {
"title": "$:/language/Buttons/Size/Caption",
"text": "image size"
},
"$:/language/Buttons/Size/Caption/Height": {
"title": "$:/language/Buttons/Size/Caption/Height",
"text": "Height:"
},
"$:/language/Buttons/Size/Caption/Resize": {
"title": "$:/language/Buttons/Size/Caption/Resize",
"text": "Resize image"
},
"$:/language/Buttons/Size/Caption/Width": {
"title": "$:/language/Buttons/Size/Caption/Width",
"text": "Width:"
},
"$:/language/Buttons/Size/Hint": {
"title": "$:/language/Buttons/Size/Hint",
"text": "Set image size"
},
"$:/language/Buttons/Stamp/Caption": {
"title": "$:/language/Buttons/Stamp/Caption",
"text": "stamp"
},
"$:/language/Buttons/Stamp/Caption/New": {
"title": "$:/language/Buttons/Stamp/Caption/New",
"text": "Add your own"
},
"$:/language/Buttons/Stamp/Hint": {
"title": "$:/language/Buttons/Stamp/Hint",
"text": "Insert a preconfigured snippet of text"
},
"$:/language/Buttons/Stamp/New/Title": {
"title": "$:/language/Buttons/Stamp/New/Title",
"text": "Name as shown in menu"
},
"$:/language/Buttons/Stamp/New/Text": {
"title": "$:/language/Buttons/Stamp/New/Text",
"text": "Text of snippet. (Remember to add a descriptive title in the caption field)."
},
"$:/language/Buttons/Strikethrough/Caption": {
"title": "$:/language/Buttons/Strikethrough/Caption",
"text": "strikethrough"
},
"$:/language/Buttons/Strikethrough/Hint": {
"title": "$:/language/Buttons/Strikethrough/Hint",
"text": "Apply strikethrough formatting to selection"
},
"$:/language/Buttons/Subscript/Caption": {
"title": "$:/language/Buttons/Subscript/Caption",
"text": "subscript"
},
"$:/language/Buttons/Subscript/Hint": {
"title": "$:/language/Buttons/Subscript/Hint",
"text": "Apply subscript formatting to selection"
},
"$:/language/Buttons/Superscript/Caption": {
"title": "$:/language/Buttons/Superscript/Caption",
"text": "superscript"
},
"$:/language/Buttons/Superscript/Hint": {
"title": "$:/language/Buttons/Superscript/Hint",
"text": "Apply superscript formatting to selection"
},
"$:/language/Buttons/ToggleSidebar/Hint": {
"title": "$:/language/Buttons/ToggleSidebar/Hint",
"text": "Toggle the sidebar visibility"
},
"$:/language/Buttons/Transcludify/Caption": {
"title": "$:/language/Buttons/Transcludify/Caption",
"text": "transclusion"
},
"$:/language/Buttons/Transcludify/Hint": {
"title": "$:/language/Buttons/Transcludify/Hint",
"text": "Wrap selection in curly brackets"
},
"$:/language/Buttons/Underline/Caption": {
"title": "$:/language/Buttons/Underline/Caption",
"text": "underline"
},
"$:/language/Buttons/Underline/Hint": {
"title": "$:/language/Buttons/Underline/Hint",
"text": "Apply underline formatting to selection"
},
"$:/language/ControlPanel/Advanced/Caption": {
"title": "$:/language/ControlPanel/Advanced/Caption",
"text": "Advanced"
},
"$:/language/ControlPanel/Advanced/Hint": {
"title": "$:/language/ControlPanel/Advanced/Hint",
"text": "Internal information about this TiddlyWiki"
},
"$:/language/ControlPanel/Appearance/Caption": {
"title": "$:/language/ControlPanel/Appearance/Caption",
"text": "Appearance"
},
"$:/language/ControlPanel/Appearance/Hint": {
"title": "$:/language/ControlPanel/Appearance/Hint",
"text": "Ways to customise the appearance of your TiddlyWiki."
},
"$:/language/ControlPanel/Basics/AnimDuration/Prompt": {
"title": "$:/language/ControlPanel/Basics/AnimDuration/Prompt",
"text": "Animation duration"
},
"$:/language/ControlPanel/Basics/AutoFocus/Prompt": {
"title": "$:/language/ControlPanel/Basics/AutoFocus/Prompt",
"text": "Default focus field for new tiddlers"
},
"$:/language/ControlPanel/Basics/Caption": {
"title": "$:/language/ControlPanel/Basics/Caption",
"text": "Basics"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint",
"text": "Use [[double square brackets]] for titles with spaces. Or you can choose to <$button set=\"$:/DefaultTiddlers\" setTo=\"[list[$:/StoryList]]\">retain story ordering</$button>"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt",
"text": "Default tiddlers"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint",
"text": "Choose which tiddlers are displayed at startup"
},
"$:/language/ControlPanel/Basics/Language/Prompt": {
"title": "$:/language/ControlPanel/Basics/Language/Prompt",
"text": "Hello! Current language:"
},
"$:/language/ControlPanel/Basics/NewJournal/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Title/Prompt",
"text": "Title of new journal tiddlers"
},
"$:/language/ControlPanel/Basics/NewJournal/Text/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Text/Prompt",
"text": "Text for new journal tiddlers"
},
"$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt",
"text": "Tags for new journal tiddlers"
},
"$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt",
"text": "Title of new tiddlers"
},
"$:/language/ControlPanel/Basics/NewTiddler/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Tags/Prompt",
"text": "Tags for new tiddlers"
},
"$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt",
"text": "Number of overridden shadow tiddlers"
},
"$:/language/ControlPanel/Basics/RemoveTags": {
"title": "$:/language/ControlPanel/Basics/RemoveTags",
"text": "Update to current format"
},
"$:/language/ControlPanel/Basics/RemoveTags/Hint": {
"title": "$:/language/ControlPanel/Basics/RemoveTags/Hint",
"text": "Update the tags configuration to the latest format"
},
"$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt",
"text": "Number of shadow tiddlers"
},
"$:/language/ControlPanel/Basics/Subtitle/Prompt": {
"title": "$:/language/ControlPanel/Basics/Subtitle/Prompt",
"text": "Subtitle"
},
"$:/language/ControlPanel/Basics/SystemTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/SystemTiddlers/Prompt",
"text": "Number of system tiddlers"
},
"$:/language/ControlPanel/Basics/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tags/Prompt",
"text": "Number of tags"
},
"$:/language/ControlPanel/Basics/Tiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tiddlers/Prompt",
"text": "Number of tiddlers"
},
"$:/language/ControlPanel/Basics/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/Title/Prompt",
"text": "Title of this ~TiddlyWiki"
},
"$:/language/ControlPanel/Basics/Username/Prompt": {
"title": "$:/language/ControlPanel/Basics/Username/Prompt",
"text": "Username for signing edits"
},
"$:/language/ControlPanel/Basics/Version/Prompt": {
"title": "$:/language/ControlPanel/Basics/Version/Prompt",
"text": "~TiddlyWiki version"
},
"$:/language/ControlPanel/EditorTypes/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Caption",
"text": "Editor Types"
},
"$:/language/ControlPanel/EditorTypes/Editor/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Editor/Caption",
"text": "Editor"
},
"$:/language/ControlPanel/EditorTypes/Hint": {
"title": "$:/language/ControlPanel/EditorTypes/Hint",
"text": "These tiddlers determine which editor is used to edit specific tiddler types."
},
"$:/language/ControlPanel/EditorTypes/Type/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Type/Caption",
"text": "Type"
},
"$:/language/ControlPanel/Info/Caption": {
"title": "$:/language/ControlPanel/Info/Caption",
"text": "Info"
},
"$:/language/ControlPanel/Info/Hint": {
"title": "$:/language/ControlPanel/Info/Hint",
"text": "Information about this TiddlyWiki"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt",
"text": "Type shortcut here"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Caption",
"text": "add shortcut"
},
"$:/language/ControlPanel/KeyboardShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Caption",
"text": "Keyboard Shortcuts"
},
"$:/language/ControlPanel/KeyboardShortcuts/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Hint",
"text": "Manage keyboard shortcut assignments"
},
"$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption",
"text": "No keyboard shortcuts assigned"
},
"$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint",
"text": "remove keyboard shortcut"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/All": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/All",
"text": "All platforms"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac",
"text": "Macintosh platform only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac",
"text": "Non-Macintosh platforms only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux",
"text": "Linux platform only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux",
"text": "Non-Linux platforms only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows",
"text": "Windows platform only"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows",
"text": "Non-Windows platforms only"
},
"$:/language/ControlPanel/LayoutSwitcher/Caption": {
"title": "$:/language/ControlPanel/LayoutSwitcher/Caption",
"text": "Layout"
},
"$:/language/ControlPanel/LoadedModules/Caption": {
"title": "$:/language/ControlPanel/LoadedModules/Caption",
"text": "Loaded Modules"
},
"$:/language/ControlPanel/LoadedModules/Hint": {
"title": "$:/language/ControlPanel/LoadedModules/Hint",
"text": "These are the currently loaded tiddler modules linked to their source tiddlers. Any italicised modules lack a source tiddler, typically because they were setup during the boot process."
},
"$:/language/ControlPanel/Palette/Caption": {
"title": "$:/language/ControlPanel/Palette/Caption",
"text": "Palette"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Caption",
"text": "clone"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Prompt",
"text": "It is recommended that you clone this shadow palette before editing it"
},
"$:/language/ControlPanel/Palette/Editor/Delete/Hint": {
"title": "$:/language/ControlPanel/Palette/Editor/Delete/Hint",
"text": "delete this entry from the current palette"
},
"$:/language/ControlPanel/Palette/Editor/Names/External/Show": {
"title": "$:/language/ControlPanel/Palette/Editor/Names/External/Show",
"text": "Show color names that are not part of the current palette"
},
"$:/language/ControlPanel/Palette/Editor/Prompt/Modified": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt/Modified",
"text": "This shadow palette has been modified"
},
"$:/language/ControlPanel/Palette/Editor/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt",
"text": "Editing"
},
"$:/language/ControlPanel/Palette/Editor/Reset/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Reset/Caption",
"text": "reset"
},
"$:/language/ControlPanel/Palette/HideEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/HideEditor/Caption",
"text": "hide editor"
},
"$:/language/ControlPanel/Palette/Prompt": {
"title": "$:/language/ControlPanel/Palette/Prompt",
"text": "Current palette:"
},
"$:/language/ControlPanel/Palette/ShowEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/ShowEditor/Caption",
"text": "show editor"
},
"$:/language/ControlPanel/Parsing/Caption": {
"title": "$:/language/ControlPanel/Parsing/Caption",
"text": "Parsing"
},
"$:/language/ControlPanel/Parsing/Hint": {
"title": "$:/language/ControlPanel/Parsing/Hint",
"text": "Here you can globally disable/enable wiki parser rules. For changes to take effect, save and reload your wiki. Disabling certain parser rules can prevent <$text text=\"TiddlyWiki\"/> from functioning correctly. Use [[safe mode|https://tiddlywiki.com/#SafeMode]] to restore normal operation."
},
"$:/language/ControlPanel/Parsing/Block/Caption": {
"title": "$:/language/ControlPanel/Parsing/Block/Caption",
"text": "Block Parse Rules"
},
"$:/language/ControlPanel/Parsing/Inline/Caption": {
"title": "$:/language/ControlPanel/Parsing/Inline/Caption",
"text": "Inline Parse Rules"
},
"$:/language/ControlPanel/Parsing/Pragma/Caption": {
"title": "$:/language/ControlPanel/Parsing/Pragma/Caption",
"text": "Pragma Parse Rules"
},
"$:/language/ControlPanel/Plugins/Add/Caption": {
"title": "$:/language/ControlPanel/Plugins/Add/Caption",
"text": "Get more plugins"
},
"$:/language/ControlPanel/Plugins/Add/Hint": {
"title": "$:/language/ControlPanel/Plugins/Add/Hint",
"text": "Install plugins from the official library"
},
"$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint",
"text": "This plugin is already installed at version <$text text=<<installedVersion>>/>"
},
"$:/language/ControlPanel/Plugins/AlsoRequires": {
"title": "$:/language/ControlPanel/Plugins/AlsoRequires",
"text": "Also requires:"
},
"$:/language/ControlPanel/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Disable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Disable/Caption",
"text": "disable"
},
"$:/language/ControlPanel/Plugins/Disable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Disable/Hint",
"text": "Disable this plugin when reloading page"
},
"$:/language/ControlPanel/Plugins/Disabled/Status": {
"title": "$:/language/ControlPanel/Plugins/Disabled/Status",
"text": "(disabled)"
},
"$:/language/ControlPanel/Plugins/Downgrade/Caption": {
"title": "$:/language/ControlPanel/Plugins/Downgrade/Caption",
"text": "downgrade"
},
"$:/language/ControlPanel/Plugins/Empty/Hint": {
"title": "$:/language/ControlPanel/Plugins/Empty/Hint",
"text": "None"
},
"$:/language/ControlPanel/Plugins/Enable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Enable/Caption",
"text": "enable"
},
"$:/language/ControlPanel/Plugins/Enable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Enable/Hint",
"text": "Enable this plugin when reloading page"
},
"$:/language/ControlPanel/Plugins/Install/Caption": {
"title": "$:/language/ControlPanel/Plugins/Install/Caption",
"text": "install"
},
"$:/language/ControlPanel/Plugins/Installed/Hint": {
"title": "$:/language/ControlPanel/Plugins/Installed/Hint",
"text": "Currently installed plugins:"
},
"$:/language/ControlPanel/Plugins/Languages/Caption": {
"title": "$:/language/ControlPanel/Plugins/Languages/Caption",
"text": "Languages"
},
"$:/language/ControlPanel/Plugins/Languages/Hint": {
"title": "$:/language/ControlPanel/Plugins/Languages/Hint",
"text": "Language pack plugins"
},
"$:/language/ControlPanel/Plugins/NoInfoFound/Hint": {
"title": "$:/language/ControlPanel/Plugins/NoInfoFound/Hint",
"text": "No ''\"<$text text=<<currentTab>>/>\"'' found"
},
"$:/language/ControlPanel/Plugins/NotInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/NotInstalled/Hint",
"text": "This plugin is not currently installed"
},
"$:/language/ControlPanel/Plugins/OpenPluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/OpenPluginLibrary",
"text": "open plugin library"
},
"$:/language/ControlPanel/Plugins/ClosePluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/ClosePluginLibrary",
"text": "close plugin library"
},
"$:/language/ControlPanel/Plugins/PluginWillRequireReload": {
"title": "$:/language/ControlPanel/Plugins/PluginWillRequireReload",
"text": "(requires reload)"
},
"$:/language/ControlPanel/Plugins/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Plugins/Hint": {
"title": "$:/language/ControlPanel/Plugins/Plugins/Hint",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/Reinstall/Caption": {
"title": "$:/language/ControlPanel/Plugins/Reinstall/Caption",
"text": "reinstall"
},
"$:/language/ControlPanel/Plugins/Themes/Caption": {
"title": "$:/language/ControlPanel/Plugins/Themes/Caption",
"text": "Themes"
},
"$:/language/ControlPanel/Plugins/Themes/Hint": {
"title": "$:/language/ControlPanel/Plugins/Themes/Hint",
"text": "Theme plugins"
},
"$:/language/ControlPanel/Plugins/Update/Caption": {
"title": "$:/language/ControlPanel/Plugins/Update/Caption",
"text": "update"
},
"$:/language/ControlPanel/Plugins/Updates/Caption": {
"title": "$:/language/ControlPanel/Plugins/Updates/Caption",
"text": "Updates"
},
"$:/language/ControlPanel/Plugins/Updates/Hint": {
"title": "$:/language/ControlPanel/Plugins/Updates/Hint",
"text": "Available updates to installed plugins"
},
"$:/language/ControlPanel/Plugins/Updates/UpdateAll/Caption": {
"title": "$:/language/ControlPanel/Plugins/Updates/UpdateAll/Caption",
"text": "Update <<update-count>> plugins"
},
"$:/language/ControlPanel/Plugins/SubPluginPrompt": {
"title": "$:/language/ControlPanel/Plugins/SubPluginPrompt",
"text": "With <<count>> sub-plugins available"
},
"$:/language/ControlPanel/Saving/Caption": {
"title": "$:/language/ControlPanel/Saving/Caption",
"text": "Saving"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description",
"text": "Permit automatic saving for the download saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint",
"text": "Enable Autosave for Download Saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Caption": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Caption",
"text": "Download Saver"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Hint",
"text": "These settings apply to the HTML5-compatible download saver"
},
"$:/language/ControlPanel/Saving/General/Caption": {
"title": "$:/language/ControlPanel/Saving/General/Caption",
"text": "General"
},
"$:/language/ControlPanel/Saving/General/Hint": {
"title": "$:/language/ControlPanel/Saving/General/Hint",
"text": "These settings apply to all the loaded savers"
},
"$:/language/ControlPanel/Saving/Hint": {
"title": "$:/language/ControlPanel/Saving/Hint",
"text": "Settings used for saving the entire TiddlyWiki as a single file via a saver module"
},
"$:/language/ControlPanel/Saving/GitService/Branch": {
"title": "$:/language/ControlPanel/Saving/GitService/Branch",
"text": "Target branch for saving"
},
"$:/language/ControlPanel/Saving/GitService/CommitMessage": {
"title": "$:/language/ControlPanel/Saving/GitService/CommitMessage",
"text": "Saved by TiddlyWiki"
},
"$:/language/ControlPanel/Saving/GitService/Description": {
"title": "$:/language/ControlPanel/Saving/GitService/Description",
"text": "These settings are only used when saving to <<service-name>>"
},
"$:/language/ControlPanel/Saving/GitService/Filename": {
"title": "$:/language/ControlPanel/Saving/GitService/Filename",
"text": "Filename of target file (e.g. `index.html`)"
},
"$:/language/ControlPanel/Saving/GitService/Path": {
"title": "$:/language/ControlPanel/Saving/GitService/Path",
"text": "Path to target file (e.g. `/wiki/`)"
},
"$:/language/ControlPanel/Saving/GitService/Repo": {
"title": "$:/language/ControlPanel/Saving/GitService/Repo",
"text": "Target repository (e.g. `Jermolene/TiddlyWiki5`)"
},
"$:/language/ControlPanel/Saving/GitService/ServerURL": {
"title": "$:/language/ControlPanel/Saving/GitService/ServerURL",
"text": "Server API URL"
},
"$:/language/ControlPanel/Saving/GitService/UserName": {
"title": "$:/language/ControlPanel/Saving/GitService/UserName",
"text": "Username"
},
"$:/language/ControlPanel/Saving/GitService/GitHub/Caption": {
"title": "$:/language/ControlPanel/Saving/GitService/GitHub/Caption",
"text": "~GitHub Saver"
},
"$:/language/ControlPanel/Saving/GitService/GitHub/Password": {
"title": "$:/language/ControlPanel/Saving/GitService/GitHub/Password",
"text": "Password, OAUTH token, or personal access token (see [[GitHub help page|https://help.github.com/en/articles/creating-a-personal-access-token-for-the-command-line]] for details)"
},
"$:/language/ControlPanel/Saving/GitService/GitLab/Caption": {
"title": "$:/language/ControlPanel/Saving/GitService/GitLab/Caption",
"text": "~GitLab Saver"
},
"$:/language/ControlPanel/Saving/GitService/GitLab/Password": {
"title": "$:/language/ControlPanel/Saving/GitService/GitLab/Password",
"text": "Personal access token for API (see [[GitLab help page|https://docs.gitlab.com/ee/user/profile/personal_access_tokens.html]] for details)"
},
"$:/language/ControlPanel/Saving/GitService/Gitea/Caption": {
"title": "$:/language/ControlPanel/Saving/GitService/Gitea/Caption",
"text": "Gitea Saver"
},
"$:/language/ControlPanel/Saving/GitService/Gitea/Password": {
"title": "$:/language/ControlPanel/Saving/GitService/Gitea/Password",
"text": "Personal access token for API (via Gitea’s web interface: `Settings | Applications | Generate New Token`)"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading",
"text": "Advanced Settings"
},
"$:/language/ControlPanel/Saving/TiddlySpot/BackupDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/BackupDir",
"text": "Backup Directory"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ControlPanel": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ControlPanel",
"text": "~TiddlySpot Control Panel"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Backups": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Backups",
"text": "Backups"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Caption": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Caption",
"text": "~TiddlySpot Saver"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Description": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Description",
"text": "These settings are only used when saving to http://tiddlyspot.com or a compatible remote server"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Filename": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Filename",
"text": "Upload Filename"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Heading",
"text": "~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Hint": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Hint",
"text": "//The server URL defaults to `http://<wikiname>.tiddlyspot.com/store.cgi` and can be changed to use a custom server address, e.g. `http://example.com/store.php`.//"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Password": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Password",
"text": "Password"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ReadOnly": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ReadOnly",
"text": "The ~TiddlySpot service is currently only available in read-only form. Please see http://tiddlyspot.com/ for the latest details. The ~TiddlySpot saver can still be used to save to compatible servers."
},
"$:/language/ControlPanel/Saving/TiddlySpot/ServerURL": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ServerURL",
"text": "Server URL"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UploadDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UploadDir",
"text": "Upload Directory"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UserName": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UserName",
"text": "Wiki Name"
},
"$:/language/ControlPanel/Settings/AutoSave/Caption": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Caption",
"text": "Autosave"
},
"$:/language/ControlPanel/Settings/AutoSave/Disabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Disabled/Description",
"text": "Do not save changes automatically"
},
"$:/language/ControlPanel/Settings/AutoSave/Enabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Enabled/Description",
"text": "Save changes automatically"
},
"$:/language/ControlPanel/Settings/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Hint",
"text": "Attempt to automatically save changes during editing when using a supporting saver"
},
"$:/language/ControlPanel/Settings/CamelCase/Caption": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Caption",
"text": "Camel Case Wiki Links"
},
"$:/language/ControlPanel/Settings/CamelCase/Hint": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Hint",
"text": "You can globally disable automatic linking of ~CamelCase phrases. Requires reload to take effect"
},
"$:/language/ControlPanel/Settings/CamelCase/Description": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Description",
"text": "Enable automatic ~CamelCase linking"
},
"$:/language/ControlPanel/Settings/Caption": {
"title": "$:/language/ControlPanel/Settings/Caption",
"text": "Settings"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Caption",
"text": "Editor Toolbar"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Hint",
"text": "Enable or disable the editor toolbar:"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Description": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Description",
"text": "Show editor toolbar"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Caption": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Caption",
"text": "Tiddler Info Panel Mode"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Hint": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Hint",
"text": "Control when the tiddler info panel closes:"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description",
"text": "Tiddler info panel closes automatically"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description",
"text": "Tiddler info panel stays open until explicitly closed"
},
"$:/language/ControlPanel/Settings/Hint": {
"title": "$:/language/ControlPanel/Settings/Hint",
"text": "These settings let you customise the behaviour of TiddlyWiki."
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Caption",
"text": "Navigation Address Bar"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Hint",
"text": "Behaviour of the browser address bar when navigating to a tiddler:"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description",
"text": "Do not update the address bar"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description",
"text": "Include the target tiddler"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description",
"text": "Include the target tiddler and the current story sequence"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Caption",
"text": "Navigation History"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Hint",
"text": "Update browser history when navigating to a tiddler:"
},
"$:/language/ControlPanel/Settings/NavigationHistory/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/No/Description",
"text": "Do not update history"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description",
"text": "Update history"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Caption",
"text": "Permalink/permaview Mode"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Hint",
"text": "Choose how permalink/permaview is handled:"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/CopyToClipboard/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/CopyToClipboard/Description",
"text": "Copy permalink/permaview URL to clipboard"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/UpdateAddressBar/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/UpdateAddressBar/Description",
"text": "Update address bar with permalink/permaview URL"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption",
"text": "Performance Instrumentation"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint",
"text": "Displays performance statistics in the browser developer console. Requires reload to take effect"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description",
"text": "Enable performance instrumentation"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption",
"text": "Toolbar Button Style"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint",
"text": "Choose the style for toolbar buttons:"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless",
"text": "Borderless"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed",
"text": "Boxed"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded",
"text": "Rounded"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Caption",
"text": "Toolbar Buttons"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Hint",
"text": "Default toolbar button appearance:"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description",
"text": "Include icon"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description",
"text": "Include text"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption",
"text": "Default Sidebar Tab"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint",
"text": "Specify which sidebar tab is displayed by default"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption",
"text": "Default More Sidebar Tab"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint",
"text": "Specify which More sidebar tab is displayed by default"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/Caption": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/Caption",
"text": "Tiddler Opening Behaviour"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint",
"text": "Navigation from //within// the story river"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint",
"text": "Navigation from //outside// the story river"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove",
"text": "Open above the current tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow",
"text": "Open below the current tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop",
"text": "Open at the top of the story river"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom",
"text": "Open at the bottom of the story river"
},
"$:/language/ControlPanel/Settings/TitleLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Caption",
"text": "Tiddler Titles"
},
"$:/language/ControlPanel/Settings/TitleLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Hint",
"text": "Optionally display tiddler titles as links"
},
"$:/language/ControlPanel/Settings/TitleLinks/No/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/No/Description",
"text": "Do not display tiddler titles as links"
},
"$:/language/ControlPanel/Settings/TitleLinks/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Yes/Description",
"text": "Display tiddler titles as links"
},
"$:/language/ControlPanel/Settings/MissingLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Caption",
"text": "Wiki Links"
},
"$:/language/ControlPanel/Settings/MissingLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Hint",
"text": "Choose whether to link to tiddlers that do not exist yet"
},
"$:/language/ControlPanel/Settings/MissingLinks/Description": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Description",
"text": "Enable links to missing tiddlers"
},
"$:/language/ControlPanel/StoryView/Caption": {
"title": "$:/language/ControlPanel/StoryView/Caption",
"text": "Story View"
},
"$:/language/ControlPanel/StoryView/Prompt": {
"title": "$:/language/ControlPanel/StoryView/Prompt",
"text": "Current view:"
},
"$:/language/ControlPanel/Stylesheets/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Caption",
"text": "Stylesheets"
},
"$:/language/ControlPanel/Stylesheets/Expand/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Expand/Caption",
"text": "Expand All"
},
"$:/language/ControlPanel/Stylesheets/Hint": {
"title": "$:/language/ControlPanel/Stylesheets/Hint",
"text": "This is the rendered CSS of the current stylesheet tiddlers tagged with <<tag \"$:/tags/Stylesheet\">>"
},
"$:/language/ControlPanel/Stylesheets/Restore/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Restore/Caption",
"text": "Restore"
},
"$:/language/ControlPanel/Theme/Caption": {
"title": "$:/language/ControlPanel/Theme/Caption",
"text": "Theme"
},
"$:/language/ControlPanel/Theme/Prompt": {
"title": "$:/language/ControlPanel/Theme/Prompt",
"text": "Current theme:"
},
"$:/language/ControlPanel/TiddlerFields/Caption": {
"title": "$:/language/ControlPanel/TiddlerFields/Caption",
"text": "Tiddler Fields"
},
"$:/language/ControlPanel/TiddlerFields/Hint": {
"title": "$:/language/ControlPanel/TiddlerFields/Hint",
"text": "This is the full set of TiddlerFields in use in this wiki (including system tiddlers but excluding shadow tiddlers)."
},
"$:/language/ControlPanel/Toolbars/Caption": {
"title": "$:/language/ControlPanel/Toolbars/Caption",
"text": "Toolbars"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Caption",
"text": "Edit Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Hint",
"text": "Choose which buttons are displayed for tiddlers in edit mode. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Toolbars/Hint": {
"title": "$:/language/ControlPanel/Toolbars/Hint",
"text": "Select which toolbar buttons are displayed"
},
"$:/language/ControlPanel/Toolbars/PageControls/Caption": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Caption",
"text": "Page Toolbar"
},
"$:/language/ControlPanel/Toolbars/PageControls/Hint": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Hint",
"text": "Choose which buttons are displayed on the main page toolbar. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Caption",
"text": "Editor Toolbar"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Hint",
"text": "Choose which buttons are displayed in the editor toolbar. Note that some buttons will only appear when editing tiddlers of a certain type. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Caption",
"text": "View Toolbar"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Hint",
"text": "Choose which buttons are displayed for tiddlers in view mode. Drag and drop to change the ordering"
},
"$:/language/ControlPanel/Tools/Download/Full/Caption": {
"title": "$:/language/ControlPanel/Tools/Download/Full/Caption",
"text": "Download full wiki"
},
"$:/language/Date/DaySuffix/1": {
"title": "$:/language/Date/DaySuffix/1",
"text": "st"
},
"$:/language/Date/DaySuffix/2": {
"title": "$:/language/Date/DaySuffix/2",
"text": "nd"
},
"$:/language/Date/DaySuffix/3": {
"title": "$:/language/Date/DaySuffix/3",
"text": "rd"
},
"$:/language/Date/DaySuffix/4": {
"title": "$:/language/Date/DaySuffix/4",
"text": "th"
},
"$:/language/Date/DaySuffix/5": {
"title": "$:/language/Date/DaySuffix/5",
"text": "th"
},
"$:/language/Date/DaySuffix/6": {
"title": "$:/language/Date/DaySuffix/6",
"text": "th"
},
"$:/language/Date/DaySuffix/7": {
"title": "$:/language/Date/DaySuffix/7",
"text": "th"
},
"$:/language/Date/DaySuffix/8": {
"title": "$:/language/Date/DaySuffix/8",
"text": "th"
},
"$:/language/Date/DaySuffix/9": {
"title": "$:/language/Date/DaySuffix/9",
"text": "th"
},
"$:/language/Date/DaySuffix/10": {
"title": "$:/language/Date/DaySuffix/10",
"text": "th"
},
"$:/language/Date/DaySuffix/11": {
"title": "$:/language/Date/DaySuffix/11",
"text": "th"
},
"$:/language/Date/DaySuffix/12": {
"title": "$:/language/Date/DaySuffix/12",
"text": "th"
},
"$:/language/Date/DaySuffix/13": {
"title": "$:/language/Date/DaySuffix/13",
"text": "th"
},
"$:/language/Date/DaySuffix/14": {
"title": "$:/language/Date/DaySuffix/14",
"text": "th"
},
"$:/language/Date/DaySuffix/15": {
"title": "$:/language/Date/DaySuffix/15",
"text": "th"
},
"$:/language/Date/DaySuffix/16": {
"title": "$:/language/Date/DaySuffix/16",
"text": "th"
},
"$:/language/Date/DaySuffix/17": {
"title": "$:/language/Date/DaySuffix/17",
"text": "th"
},
"$:/language/Date/DaySuffix/18": {
"title": "$:/language/Date/DaySuffix/18",
"text": "th"
},
"$:/language/Date/DaySuffix/19": {
"title": "$:/language/Date/DaySuffix/19",
"text": "th"
},
"$:/language/Date/DaySuffix/20": {
"title": "$:/language/Date/DaySuffix/20",
"text": "th"
},
"$:/language/Date/DaySuffix/21": {
"title": "$:/language/Date/DaySuffix/21",
"text": "st"
},
"$:/language/Date/DaySuffix/22": {
"title": "$:/language/Date/DaySuffix/22",
"text": "nd"
},
"$:/language/Date/DaySuffix/23": {
"title": "$:/language/Date/DaySuffix/23",
"text": "rd"
},
"$:/language/Date/DaySuffix/24": {
"title": "$:/language/Date/DaySuffix/24",
"text": "th"
},
"$:/language/Date/DaySuffix/25": {
"title": "$:/language/Date/DaySuffix/25",
"text": "th"
},
"$:/language/Date/DaySuffix/26": {
"title": "$:/language/Date/DaySuffix/26",
"text": "th"
},
"$:/language/Date/DaySuffix/27": {
"title": "$:/language/Date/DaySuffix/27",
"text": "th"
},
"$:/language/Date/DaySuffix/28": {
"title": "$:/language/Date/DaySuffix/28",
"text": "th"
},
"$:/language/Date/DaySuffix/29": {
"title": "$:/language/Date/DaySuffix/29",
"text": "th"
},
"$:/language/Date/DaySuffix/30": {
"title": "$:/language/Date/DaySuffix/30",
"text": "th"
},
"$:/language/Date/DaySuffix/31": {
"title": "$:/language/Date/DaySuffix/31",
"text": "st"
},
"$:/language/Date/Long/Day/0": {
"title": "$:/language/Date/Long/Day/0",
"text": "Sunday"
},
"$:/language/Date/Long/Day/1": {
"title": "$:/language/Date/Long/Day/1",
"text": "Monday"
},
"$:/language/Date/Long/Day/2": {
"title": "$:/language/Date/Long/Day/2",
"text": "Tuesday"
},
"$:/language/Date/Long/Day/3": {
"title": "$:/language/Date/Long/Day/3",
"text": "Wednesday"
},
"$:/language/Date/Long/Day/4": {
"title": "$:/language/Date/Long/Day/4",
"text": "Thursday"
},
"$:/language/Date/Long/Day/5": {
"title": "$:/language/Date/Long/Day/5",
"text": "Friday"
},
"$:/language/Date/Long/Day/6": {
"title": "$:/language/Date/Long/Day/6",
"text": "Saturday"
},
"$:/language/Date/Long/Month/1": {
"title": "$:/language/Date/Long/Month/1",
"text": "January"
},
"$:/language/Date/Long/Month/2": {
"title": "$:/language/Date/Long/Month/2",
"text": "February"
},
"$:/language/Date/Long/Month/3": {
"title": "$:/language/Date/Long/Month/3",
"text": "March"
},
"$:/language/Date/Long/Month/4": {
"title": "$:/language/Date/Long/Month/4",
"text": "April"
},
"$:/language/Date/Long/Month/5": {
"title": "$:/language/Date/Long/Month/5",
"text": "May"
},
"$:/language/Date/Long/Month/6": {
"title": "$:/language/Date/Long/Month/6",
"text": "June"
},
"$:/language/Date/Long/Month/7": {
"title": "$:/language/Date/Long/Month/7",
"text": "July"
},
"$:/language/Date/Long/Month/8": {
"title": "$:/language/Date/Long/Month/8",
"text": "August"
},
"$:/language/Date/Long/Month/9": {
"title": "$:/language/Date/Long/Month/9",
"text": "September"
},
"$:/language/Date/Long/Month/10": {
"title": "$:/language/Date/Long/Month/10",
"text": "October"
},
"$:/language/Date/Long/Month/11": {
"title": "$:/language/Date/Long/Month/11",
"text": "November"
},
"$:/language/Date/Long/Month/12": {
"title": "$:/language/Date/Long/Month/12",
"text": "December"
},
"$:/language/Date/Period/am": {
"title": "$:/language/Date/Period/am",
"text": "am"
},
"$:/language/Date/Period/pm": {
"title": "$:/language/Date/Period/pm",
"text": "pm"
},
"$:/language/Date/Short/Day/0": {
"title": "$:/language/Date/Short/Day/0",
"text": "Sun"
},
"$:/language/Date/Short/Day/1": {
"title": "$:/language/Date/Short/Day/1",
"text": "Mon"
},
"$:/language/Date/Short/Day/2": {
"title": "$:/language/Date/Short/Day/2",
"text": "Tue"
},
"$:/language/Date/Short/Day/3": {
"title": "$:/language/Date/Short/Day/3",
"text": "Wed"
},
"$:/language/Date/Short/Day/4": {
"title": "$:/language/Date/Short/Day/4",
"text": "Thu"
},
"$:/language/Date/Short/Day/5": {
"title": "$:/language/Date/Short/Day/5",
"text": "Fri"
},
"$:/language/Date/Short/Day/6": {
"title": "$:/language/Date/Short/Day/6",
"text": "Sat"
},
"$:/language/Date/Short/Month/1": {
"title": "$:/language/Date/Short/Month/1",
"text": "Jan"
},
"$:/language/Date/Short/Month/2": {
"title": "$:/language/Date/Short/Month/2",
"text": "Feb"
},
"$:/language/Date/Short/Month/3": {
"title": "$:/language/Date/Short/Month/3",
"text": "Mar"
},
"$:/language/Date/Short/Month/4": {
"title": "$:/language/Date/Short/Month/4",
"text": "Apr"
},
"$:/language/Date/Short/Month/5": {
"title": "$:/language/Date/Short/Month/5",
"text": "May"
},
"$:/language/Date/Short/Month/6": {
"title": "$:/language/Date/Short/Month/6",
"text": "Jun"
},
"$:/language/Date/Short/Month/7": {
"title": "$:/language/Date/Short/Month/7",
"text": "Jul"
},
"$:/language/Date/Short/Month/8": {
"title": "$:/language/Date/Short/Month/8",
"text": "Aug"
},
"$:/language/Date/Short/Month/9": {
"title": "$:/language/Date/Short/Month/9",
"text": "Sep"
},
"$:/language/Date/Short/Month/10": {
"title": "$:/language/Date/Short/Month/10",
"text": "Oct"
},
"$:/language/Date/Short/Month/11": {
"title": "$:/language/Date/Short/Month/11",
"text": "Nov"
},
"$:/language/Date/Short/Month/12": {
"title": "$:/language/Date/Short/Month/12",
"text": "Dec"
},
"$:/language/RelativeDate/Future/Days": {
"title": "$:/language/RelativeDate/Future/Days",
"text": "<<period>> days from now"
},
"$:/language/RelativeDate/Future/Hours": {
"title": "$:/language/RelativeDate/Future/Hours",
"text": "<<period>> hours from now"
},
"$:/language/RelativeDate/Future/Minutes": {
"title": "$:/language/RelativeDate/Future/Minutes",
"text": "<<period>> minutes from now"
},
"$:/language/RelativeDate/Future/Months": {
"title": "$:/language/RelativeDate/Future/Months",
"text": "<<period>> months from now"
},
"$:/language/RelativeDate/Future/Second": {
"title": "$:/language/RelativeDate/Future/Second",
"text": "1 second from now"
},
"$:/language/RelativeDate/Future/Seconds": {
"title": "$:/language/RelativeDate/Future/Seconds",
"text": "<<period>> seconds from now"
},
"$:/language/RelativeDate/Future/Years": {
"title": "$:/language/RelativeDate/Future/Years",
"text": "<<period>> years from now"
},
"$:/language/RelativeDate/Past/Days": {
"title": "$:/language/RelativeDate/Past/Days",
"text": "<<period>> days ago"
},
"$:/language/RelativeDate/Past/Hours": {
"title": "$:/language/RelativeDate/Past/Hours",
"text": "<<period>> hours ago"
},
"$:/language/RelativeDate/Past/Minutes": {
"title": "$:/language/RelativeDate/Past/Minutes",
"text": "<<period>> minutes ago"
},
"$:/language/RelativeDate/Past/Months": {
"title": "$:/language/RelativeDate/Past/Months",
"text": "<<period>> months ago"
},
"$:/language/RelativeDate/Past/Second": {
"title": "$:/language/RelativeDate/Past/Second",
"text": "1 second ago"
},
"$:/language/RelativeDate/Past/Seconds": {
"title": "$:/language/RelativeDate/Past/Seconds",
"text": "<<period>> seconds ago"
},
"$:/language/RelativeDate/Past/Years": {
"title": "$:/language/RelativeDate/Past/Years",
"text": "<<period>> years ago"
},
"$:/language/Docs/ModuleTypes/allfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/allfilteroperator",
"text": "A sub-operator for the ''all'' filter operator."
},
"$:/language/Docs/ModuleTypes/animation": {
"title": "$:/language/Docs/ModuleTypes/animation",
"text": "Animations that may be used with the RevealWidget."
},
"$:/language/Docs/ModuleTypes/authenticator": {
"title": "$:/language/Docs/ModuleTypes/authenticator",
"text": "Defines how requests are authenticated by the built-in HTTP server."
},
"$:/language/Docs/ModuleTypes/bitmapeditoroperation": {
"title": "$:/language/Docs/ModuleTypes/bitmapeditoroperation",
"text": "A bitmap editor toolbar operation."
},
"$:/language/Docs/ModuleTypes/command": {
"title": "$:/language/Docs/ModuleTypes/command",
"text": "Commands that can be executed under Node.js."
},
"$:/language/Docs/ModuleTypes/config": {
"title": "$:/language/Docs/ModuleTypes/config",
"text": "Data to be inserted into `$tw.config`."
},
"$:/language/Docs/ModuleTypes/filteroperator": {
"title": "$:/language/Docs/ModuleTypes/filteroperator",
"text": "Individual filter operator methods."
},
"$:/language/Docs/ModuleTypes/global": {
"title": "$:/language/Docs/ModuleTypes/global",
"text": "Global data to be inserted into `$tw`."
},
"$:/language/Docs/ModuleTypes/info": {
"title": "$:/language/Docs/ModuleTypes/info",
"text": "Publishes system information via the [[$:/temp/info-plugin]] pseudo-plugin."
},
"$:/language/Docs/ModuleTypes/isfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/isfilteroperator",
"text": "Operands for the ''is'' filter operator."
},
"$:/language/Docs/ModuleTypes/library": {
"title": "$:/language/Docs/ModuleTypes/library",
"text": "Generic module type for general purpose JavaScript modules."
},
"$:/language/Docs/ModuleTypes/macro": {
"title": "$:/language/Docs/ModuleTypes/macro",
"text": "JavaScript macro definitions."
},
"$:/language/Docs/ModuleTypes/parser": {
"title": "$:/language/Docs/ModuleTypes/parser",
"text": "Parsers for different content types."
},
"$:/language/Docs/ModuleTypes/route": {
"title": "$:/language/Docs/ModuleTypes/route",
"text": "Defines how individual URL patterns are handled by the built-in HTTP server."
},
"$:/language/Docs/ModuleTypes/saver": {
"title": "$:/language/Docs/ModuleTypes/saver",
"text": "Savers handle different methods for saving files from the browser."
},
"$:/language/Docs/ModuleTypes/startup": {
"title": "$:/language/Docs/ModuleTypes/startup",
"text": "Startup functions."
},
"$:/language/Docs/ModuleTypes/storyview": {
"title": "$:/language/Docs/ModuleTypes/storyview",
"text": "Story views customise the animation and behaviour of list widgets."
},
"$:/language/Docs/ModuleTypes/texteditoroperation": {
"title": "$:/language/Docs/ModuleTypes/texteditoroperation",
"text": "A text editor toolbar operation."
},
"$:/language/Docs/ModuleTypes/tiddlerdeserializer": {
"title": "$:/language/Docs/ModuleTypes/tiddlerdeserializer",
"text": "Converts different content types into tiddlers."
},
"$:/language/Docs/ModuleTypes/tiddlerfield": {
"title": "$:/language/Docs/ModuleTypes/tiddlerfield",
"text": "Defines the behaviour of an individual tiddler field."
},
"$:/language/Docs/ModuleTypes/tiddlermethod": {
"title": "$:/language/Docs/ModuleTypes/tiddlermethod",
"text": "Adds methods to the `$tw.Tiddler` prototype."
},
"$:/language/Docs/ModuleTypes/upgrader": {
"title": "$:/language/Docs/ModuleTypes/upgrader",
"text": "Applies upgrade processing to tiddlers during an upgrade/import."
},
"$:/language/Docs/ModuleTypes/utils": {
"title": "$:/language/Docs/ModuleTypes/utils",
"text": "Adds methods to `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/utils-browser": {
"title": "$:/language/Docs/ModuleTypes/utils-browser",
"text": "Adds browser-specific methods to `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/utils-node": {
"title": "$:/language/Docs/ModuleTypes/utils-node",
"text": "Adds Node.js-specific methods to `$tw.utils`."
},
"$:/language/Docs/ModuleTypes/widget": {
"title": "$:/language/Docs/ModuleTypes/widget",
"text": "Widgets encapsulate DOM rendering and refreshing."
},
"$:/language/Docs/ModuleTypes/wikimethod": {
"title": "$:/language/Docs/ModuleTypes/wikimethod",
"text": "Adds methods to `$tw.Wiki`."
},
"$:/language/Docs/ModuleTypes/wikirule": {
"title": "$:/language/Docs/ModuleTypes/wikirule",
"text": "Individual parser rules for the main WikiText parser."
},
"$:/language/Docs/PaletteColours/alert-background": {
"title": "$:/language/Docs/PaletteColours/alert-background",
"text": "Alert background"
},
"$:/language/Docs/PaletteColours/alert-border": {
"title": "$:/language/Docs/PaletteColours/alert-border",
"text": "Alert border"
},
"$:/language/Docs/PaletteColours/alert-highlight": {
"title": "$:/language/Docs/PaletteColours/alert-highlight",
"text": "Alert highlight"
},
"$:/language/Docs/PaletteColours/alert-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/alert-muted-foreground",
"text": "Alert muted foreground"
},
"$:/language/Docs/PaletteColours/background": {
"title": "$:/language/Docs/PaletteColours/background",
"text": "General background"
},
"$:/language/Docs/PaletteColours/blockquote-bar": {
"title": "$:/language/Docs/PaletteColours/blockquote-bar",
"text": "Blockquote bar"
},
"$:/language/Docs/PaletteColours/button-background": {
"title": "$:/language/Docs/PaletteColours/button-background",
"text": "Default button background"
},
"$:/language/Docs/PaletteColours/button-border": {
"title": "$:/language/Docs/PaletteColours/button-border",
"text": "Default button border"
},
"$:/language/Docs/PaletteColours/button-foreground": {
"title": "$:/language/Docs/PaletteColours/button-foreground",
"text": "Default button foreground"
},
"$:/language/Docs/PaletteColours/dirty-indicator": {
"title": "$:/language/Docs/PaletteColours/dirty-indicator",
"text": "Unsaved changes indicator"
},
"$:/language/Docs/PaletteColours/code-background": {
"title": "$:/language/Docs/PaletteColours/code-background",
"text": "Code background"
},
"$:/language/Docs/PaletteColours/code-border": {
"title": "$:/language/Docs/PaletteColours/code-border",
"text": "Code border"
},
"$:/language/Docs/PaletteColours/code-foreground": {
"title": "$:/language/Docs/PaletteColours/code-foreground",
"text": "Code foreground"
},
"$:/language/Docs/PaletteColours/download-background": {
"title": "$:/language/Docs/PaletteColours/download-background",
"text": "Download button background"
},
"$:/language/Docs/PaletteColours/download-foreground": {
"title": "$:/language/Docs/PaletteColours/download-foreground",
"text": "Download button foreground"
},
"$:/language/Docs/PaletteColours/dragger-background": {
"title": "$:/language/Docs/PaletteColours/dragger-background",
"text": "Dragger background"
},
"$:/language/Docs/PaletteColours/dragger-foreground": {
"title": "$:/language/Docs/PaletteColours/dragger-foreground",
"text": "Dragger foreground"
},
"$:/language/Docs/PaletteColours/dropdown-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-background",
"text": "Dropdown background"
},
"$:/language/Docs/PaletteColours/dropdown-border": {
"title": "$:/language/Docs/PaletteColours/dropdown-border",
"text": "Dropdown border"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background-selected",
"text": "Dropdown tab background for selected tabs"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background",
"text": "Dropdown tab background"
},
"$:/language/Docs/PaletteColours/dropzone-background": {
"title": "$:/language/Docs/PaletteColours/dropzone-background",
"text": "Dropzone background"
},
"$:/language/Docs/PaletteColours/external-link-background-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-background-hover",
"text": "External link background hover"
},
"$:/language/Docs/PaletteColours/external-link-background-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-background-visited",
"text": "External link background visited"
},
"$:/language/Docs/PaletteColours/external-link-background": {
"title": "$:/language/Docs/PaletteColours/external-link-background",
"text": "External link background"
},
"$:/language/Docs/PaletteColours/external-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-hover",
"text": "External link foreground hover"
},
"$:/language/Docs/PaletteColours/external-link-foreground-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-visited",
"text": "External link foreground visited"
},
"$:/language/Docs/PaletteColours/external-link-foreground": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground",
"text": "External link foreground"
},
"$:/language/Docs/PaletteColours/foreground": {
"title": "$:/language/Docs/PaletteColours/foreground",
"text": "General foreground"
},
"$:/language/Docs/PaletteColours/menubar-background": {
"title": "$:/language/Docs/PaletteColours/menubar-background",
"text": "Menu bar background"
},
"$:/language/Docs/PaletteColours/menubar-foreground": {
"title": "$:/language/Docs/PaletteColours/menubar-foreground",
"text": "Menu bar foreground"
},
"$:/language/Docs/PaletteColours/message-background": {
"title": "$:/language/Docs/PaletteColours/message-background",
"text": "Message box background"
},
"$:/language/Docs/PaletteColours/message-border": {
"title": "$:/language/Docs/PaletteColours/message-border",
"text": "Message box border"
},
"$:/language/Docs/PaletteColours/message-foreground": {
"title": "$:/language/Docs/PaletteColours/message-foreground",
"text": "Message box foreground"
},
"$:/language/Docs/PaletteColours/modal-backdrop": {
"title": "$:/language/Docs/PaletteColours/modal-backdrop",
"text": "Modal backdrop"
},
"$:/language/Docs/PaletteColours/modal-background": {
"title": "$:/language/Docs/PaletteColours/modal-background",
"text": "Modal background"
},
"$:/language/Docs/PaletteColours/modal-border": {
"title": "$:/language/Docs/PaletteColours/modal-border",
"text": "Modal border"
},
"$:/language/Docs/PaletteColours/modal-footer-background": {
"title": "$:/language/Docs/PaletteColours/modal-footer-background",
"text": "Modal footer background"
},
"$:/language/Docs/PaletteColours/modal-footer-border": {
"title": "$:/language/Docs/PaletteColours/modal-footer-border",
"text": "Modal footer border"
},
"$:/language/Docs/PaletteColours/modal-header-border": {
"title": "$:/language/Docs/PaletteColours/modal-header-border",
"text": "Modal header border"
},
"$:/language/Docs/PaletteColours/muted-foreground": {
"title": "$:/language/Docs/PaletteColours/muted-foreground",
"text": "General muted foreground"
},
"$:/language/Docs/PaletteColours/notification-background": {
"title": "$:/language/Docs/PaletteColours/notification-background",
"text": "Notification background"
},
"$:/language/Docs/PaletteColours/notification-border": {
"title": "$:/language/Docs/PaletteColours/notification-border",
"text": "Notification border"
},
"$:/language/Docs/PaletteColours/page-background": {
"title": "$:/language/Docs/PaletteColours/page-background",
"text": "Page background"
},
"$:/language/Docs/PaletteColours/pre-background": {
"title": "$:/language/Docs/PaletteColours/pre-background",
"text": "Preformatted code background"
},
"$:/language/Docs/PaletteColours/pre-border": {
"title": "$:/language/Docs/PaletteColours/pre-border",
"text": "Preformatted code border"
},
"$:/language/Docs/PaletteColours/primary": {
"title": "$:/language/Docs/PaletteColours/primary",
"text": "General primary"
},
"$:/language/Docs/PaletteColours/select-tag-background": {
"title": "$:/language/Docs/PaletteColours/select-tag-background",
"text": "`<select>` element background"
},
"$:/language/Docs/PaletteColours/select-tag-foreground": {
"title": "$:/language/Docs/PaletteColours/select-tag-foreground",
"text": "`<select>` element text"
},
"$:/language/Docs/PaletteColours/sidebar-button-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-button-foreground",
"text": "Sidebar button foreground"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover",
"text": "Sidebar controls foreground hover"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground",
"text": "Sidebar controls foreground"
},
"$:/language/Docs/PaletteColours/sidebar-foreground-shadow": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground-shadow",
"text": "Sidebar foreground shadow"
},
"$:/language/Docs/PaletteColours/sidebar-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground",
"text": "Sidebar foreground"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover",
"text": "Sidebar muted foreground hover"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground",
"text": "Sidebar muted foreground"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background-selected",
"text": "Sidebar tab background for selected tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background",
"text": "Sidebar tab background"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border-selected",
"text": "Sidebar tab border for selected tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border",
"text": "Sidebar tab border"
},
"$:/language/Docs/PaletteColours/sidebar-tab-divider": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-divider",
"text": "Sidebar tab divider"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected",
"text": "Sidebar tab foreground for selected tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground",
"text": "Sidebar tab foreground"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover",
"text": "Sidebar tiddler link foreground hover"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground",
"text": "Sidebar tiddler link foreground"
},
"$:/language/Docs/PaletteColours/site-title-foreground": {
"title": "$:/language/Docs/PaletteColours/site-title-foreground",
"text": "Site title foreground"
},
"$:/language/Docs/PaletteColours/static-alert-foreground": {
"title": "$:/language/Docs/PaletteColours/static-alert-foreground",
"text": "Static alert foreground"
},
"$:/language/Docs/PaletteColours/tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/tab-background-selected",
"text": "Tab background for selected tabs"
},
"$:/language/Docs/PaletteColours/tab-background": {
"title": "$:/language/Docs/PaletteColours/tab-background",
"text": "Tab background"
},
"$:/language/Docs/PaletteColours/tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/tab-border-selected",
"text": "Tab border for selected tabs"
},
"$:/language/Docs/PaletteColours/tab-border": {
"title": "$:/language/Docs/PaletteColours/tab-border",
"text": "Tab border"
},
"$:/language/Docs/PaletteColours/tab-divider": {
"title": "$:/language/Docs/PaletteColours/tab-divider",
"text": "Tab divider"
},
"$:/language/Docs/PaletteColours/tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tab-foreground-selected",
"text": "Tab foreground for selected tabs"
},
"$:/language/Docs/PaletteColours/tab-foreground": {
"title": "$:/language/Docs/PaletteColours/tab-foreground",
"text": "Tab foreground"
},
"$:/language/Docs/PaletteColours/table-border": {
"title": "$:/language/Docs/PaletteColours/table-border",
"text": "Table border"
},
"$:/language/Docs/PaletteColours/table-footer-background": {
"title": "$:/language/Docs/PaletteColours/table-footer-background",
"text": "Table footer background"
},
"$:/language/Docs/PaletteColours/table-header-background": {
"title": "$:/language/Docs/PaletteColours/table-header-background",
"text": "Table header background"
},
"$:/language/Docs/PaletteColours/tag-background": {
"title": "$:/language/Docs/PaletteColours/tag-background",
"text": "Tag background"
},
"$:/language/Docs/PaletteColours/tag-foreground": {
"title": "$:/language/Docs/PaletteColours/tag-foreground",
"text": "Tag foreground"
},
"$:/language/Docs/PaletteColours/tiddler-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-background",
"text": "Tiddler background"
},
"$:/language/Docs/PaletteColours/tiddler-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-border",
"text": "Tiddler border"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover",
"text": "Tiddler controls foreground hover"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected",
"text": "Tiddler controls foreground for selected controls"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground",
"text": "Tiddler controls foreground"
},
"$:/language/Docs/PaletteColours/tiddler-editor-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-background",
"text": "Tiddler editor background"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border-image": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border-image",
"text": "Tiddler editor border image"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border",
"text": "Tiddler editor border"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-even": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-even",
"text": "Tiddler editor background for even fields"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-odd": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-odd",
"text": "Tiddler editor background for odd fields"
},
"$:/language/Docs/PaletteColours/tiddler-info-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-background",
"text": "Tiddler info panel background"
},
"$:/language/Docs/PaletteColours/tiddler-info-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-border",
"text": "Tiddler info panel border"
},
"$:/language/Docs/PaletteColours/tiddler-info-tab-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-tab-background",
"text": "Tiddler info panel tab background"
},
"$:/language/Docs/PaletteColours/tiddler-link-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-background",
"text": "Tiddler link background"
},
"$:/language/Docs/PaletteColours/tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-foreground",
"text": "Tiddler link foreground"
},
"$:/language/Docs/PaletteColours/tiddler-subtitle-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-subtitle-foreground",
"text": "Tiddler subtitle foreground"
},
"$:/language/Docs/PaletteColours/tiddler-title-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-title-foreground",
"text": "Tiddler title foreground"
},
"$:/language/Docs/PaletteColours/toolbar-new-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-new-button",
"text": "Toolbar 'new tiddler' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-options-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-options-button",
"text": "Toolbar 'options' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-save-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-save-button",
"text": "Toolbar 'save' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-info-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-info-button",
"text": "Toolbar 'info' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-edit-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-edit-button",
"text": "Toolbar 'edit' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-close-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-close-button",
"text": "Toolbar 'close' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-delete-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-delete-button",
"text": "Toolbar 'delete' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-cancel-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-cancel-button",
"text": "Toolbar 'cancel' button foreground"
},
"$:/language/Docs/PaletteColours/toolbar-done-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-done-button",
"text": "Toolbar 'done' button foreground"
},
"$:/language/Docs/PaletteColours/untagged-background": {
"title": "$:/language/Docs/PaletteColours/untagged-background",
"text": "Untagged pill background"
},
"$:/language/Docs/PaletteColours/very-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/very-muted-foreground",
"text": "Very muted foreground"
},
"$:/language/EditTemplate/Body/External/Hint": {
"title": "$:/language/EditTemplate/Body/External/Hint",
"text": "This tiddler shows content stored outside of the main TiddlyWiki file. You can edit the tags and fields but cannot directly edit the content itself"
},
"$:/language/EditTemplate/Body/Placeholder": {
"title": "$:/language/EditTemplate/Body/Placeholder",
"text": "Type the text for this tiddler"
},
"$:/language/EditTemplate/Body/Preview/Type/Output": {
"title": "$:/language/EditTemplate/Body/Preview/Type/Output",
"text": "output"
},
"$:/language/EditTemplate/Field/Remove/Caption": {
"title": "$:/language/EditTemplate/Field/Remove/Caption",
"text": "remove field"
},
"$:/language/EditTemplate/Field/Remove/Hint": {
"title": "$:/language/EditTemplate/Field/Remove/Hint",
"text": "Remove field"
},
"$:/language/EditTemplate/Field/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Field/Dropdown/Caption",
"text": "field list"
},
"$:/language/EditTemplate/Field/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Field/Dropdown/Hint",
"text": "Show field list"
},
"$:/language/EditTemplate/Fields/Add/Button": {
"title": "$:/language/EditTemplate/Fields/Add/Button",
"text": "add"
},
"$:/language/EditTemplate/Fields/Add/Button/Hint": {
"title": "$:/language/EditTemplate/Fields/Add/Button/Hint",
"text": "Add the new field to the tiddler"
},
"$:/language/EditTemplate/Fields/Add/Name/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Name/Placeholder",
"text": "field name"
},
"$:/language/EditTemplate/Fields/Add/Prompt": {
"title": "$:/language/EditTemplate/Fields/Add/Prompt",
"text": "Add a new field:"
},
"$:/language/EditTemplate/Fields/Add/Value/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Value/Placeholder",
"text": "field value"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/System": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/System",
"text": "System fields"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/User": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/User",
"text": "User fields"
},
"$:/language/EditTemplate/Shadow/Warning": {
"title": "$:/language/EditTemplate/Shadow/Warning",
"text": "This is a shadow tiddler. Any changes you make will override the default version from the plugin <<pluginLink>>"
},
"$:/language/EditTemplate/Shadow/OverriddenWarning": {
"title": "$:/language/EditTemplate/Shadow/OverriddenWarning",
"text": "This is a modified shadow tiddler. You can revert to the default version in the plugin <<pluginLink>> by deleting this tiddler"
},
"$:/language/EditTemplate/Tags/Add/Button": {
"title": "$:/language/EditTemplate/Tags/Add/Button",
"text": "add"
},
"$:/language/EditTemplate/Tags/Add/Button/Hint": {
"title": "$:/language/EditTemplate/Tags/Add/Button/Hint",
"text": "add tag"
},
"$:/language/EditTemplate/Tags/Add/Placeholder": {
"title": "$:/language/EditTemplate/Tags/Add/Placeholder",
"text": "tag name"
},
"$:/language/EditTemplate/Tags/ClearInput/Caption": {
"title": "$:/language/EditTemplate/Tags/ClearInput/Caption",
"text": "clear input"
},
"$:/language/EditTemplate/Tags/ClearInput/Hint": {
"title": "$:/language/EditTemplate/Tags/ClearInput/Hint",
"text": "Clear tag input"
},
"$:/language/EditTemplate/Tags/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Caption",
"text": "tag list"
},
"$:/language/EditTemplate/Tags/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Hint",
"text": "Show tag list"
},
"$:/language/EditTemplate/Title/BadCharacterWarning": {
"title": "$:/language/EditTemplate/Title/BadCharacterWarning",
"text": "Warning: avoid using any of the characters <<bad-chars>> in tiddler titles"
},
"$:/language/EditTemplate/Title/Exists/Prompt": {
"title": "$:/language/EditTemplate/Title/Exists/Prompt",
"text": "Target tiddler already exists"
},
"$:/language/EditTemplate/Title/Relink/Prompt": {
"title": "$:/language/EditTemplate/Title/Relink/Prompt",
"text": "Update ''<$text text=<<fromTitle>>/>'' to ''<$text text=<<toTitle>>/>'' in the //tags// and //list// fields of other tiddlers"
},
"$:/language/EditTemplate/Title/References/Prompt": {
"title": "$:/language/EditTemplate/Title/References/Prompt",
"text": "The following references to this tiddler will not be automatically updated:"
},
"$:/language/EditTemplate/Type/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Type/Dropdown/Caption",
"text": "content type list"
},
"$:/language/EditTemplate/Type/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Type/Dropdown/Hint",
"text": "Show content type list"
},
"$:/language/EditTemplate/Type/Delete/Caption": {
"title": "$:/language/EditTemplate/Type/Delete/Caption",
"text": "delete content type"
},
"$:/language/EditTemplate/Type/Delete/Hint": {
"title": "$:/language/EditTemplate/Type/Delete/Hint",
"text": "Delete content type"
},
"$:/language/EditTemplate/Type/Placeholder": {
"title": "$:/language/EditTemplate/Type/Placeholder",
"text": "content type"
},
"$:/language/EditTemplate/Type/Prompt": {
"title": "$:/language/EditTemplate/Type/Prompt",
"text": "Type:"
},
"$:/language/Exporters/StaticRiver": {
"title": "$:/language/Exporters/StaticRiver",
"text": "Static HTML"
},
"$:/language/Exporters/JsonFile": {
"title": "$:/language/Exporters/JsonFile",
"text": "JSON file"
},
"$:/language/Exporters/CsvFile": {
"title": "$:/language/Exporters/CsvFile",
"text": "CSV file"
},
"$:/language/Exporters/TidFile": {
"title": "$:/language/Exporters/TidFile",
"text": "\".tid\" file"
},
"$:/language/Docs/Fields/_canonical_uri": {
"title": "$:/language/Docs/Fields/_canonical_uri",
"text": "The full URI of an external image tiddler"
},
"$:/language/Docs/Fields/bag": {
"title": "$:/language/Docs/Fields/bag",
"text": "The name of the bag from which a tiddler came"
},
"$:/language/Docs/Fields/caption": {
"title": "$:/language/Docs/Fields/caption",
"text": "The text to be displayed on a tab or button"
},
"$:/language/Docs/Fields/color": {
"title": "$:/language/Docs/Fields/color",
"text": "The CSS color value associated with a tiddler"
},
"$:/language/Docs/Fields/component": {
"title": "$:/language/Docs/Fields/component",
"text": "The name of the component responsible for an [[alert tiddler|AlertMechanism]]"
},
"$:/language/Docs/Fields/current-tiddler": {
"title": "$:/language/Docs/Fields/current-tiddler",
"text": "Used to cache the top tiddler in a [[history list|HistoryMechanism]]"
},
"$:/language/Docs/Fields/created": {
"title": "$:/language/Docs/Fields/created",
"text": "The date a tiddler was created"
},
"$:/language/Docs/Fields/creator": {
"title": "$:/language/Docs/Fields/creator",
"text": "The name of the person who created a tiddler"
},
"$:/language/Docs/Fields/dependents": {
"title": "$:/language/Docs/Fields/dependents",
"text": "For a plugin, lists the dependent plugin titles"
},
"$:/language/Docs/Fields/description": {
"title": "$:/language/Docs/Fields/description",
"text": "The descriptive text for a plugin, or a modal dialogue"
},
"$:/language/Docs/Fields/draft.of": {
"title": "$:/language/Docs/Fields/draft.of",
"text": "For draft tiddlers, contains the title of the tiddler of which this is a draft"
},
"$:/language/Docs/Fields/draft.title": {
"title": "$:/language/Docs/Fields/draft.title",
"text": "For draft tiddlers, contains the proposed new title of the tiddler"
},
"$:/language/Docs/Fields/footer": {
"title": "$:/language/Docs/Fields/footer",
"text": "The footer text for a wizard"
},
"$:/language/Docs/Fields/hide-body": {
"title": "$:/language/Docs/Fields/hide-body",
"text": "The view template will hide bodies of tiddlers if set to: ''yes''"
},
"$:/language/Docs/Fields/icon": {
"title": "$:/language/Docs/Fields/icon",
"text": "The title of the tiddler containing the icon associated with a tiddler"
},
"$:/language/Docs/Fields/library": {
"title": "$:/language/Docs/Fields/library",
"text": "Indicates that a tiddler should be saved as a JavaScript library if set to: ''yes''"
},
"$:/language/Docs/Fields/list": {
"title": "$:/language/Docs/Fields/list",
"text": "An ordered list of tiddler titles associated with a tiddler"
},
"$:/language/Docs/Fields/list-before": {
"title": "$:/language/Docs/Fields/list-before",
"text": "If set, the title of a tiddler before which this tiddler should be added to the ordered list of tiddler titles, or at the start of the list if this field is present but empty"
},
"$:/language/Docs/Fields/list-after": {
"title": "$:/language/Docs/Fields/list-after",
"text": "If set, the title of the tiddler after which this tiddler should be added to the ordered list of tiddler titles, or at the end of the list if this field is present but empty"
},
"$:/language/Docs/Fields/modified": {
"title": "$:/language/Docs/Fields/modified",
"text": "The date and time at which a tiddler was last modified"
},
"$:/language/Docs/Fields/modifier": {
"title": "$:/language/Docs/Fields/modifier",
"text": "The tiddler title associated with the person who last modified a tiddler"
},
"$:/language/Docs/Fields/name": {
"title": "$:/language/Docs/Fields/name",
"text": "The human readable name associated with a plugin tiddler"
},
"$:/language/Docs/Fields/plugin-priority": {
"title": "$:/language/Docs/Fields/plugin-priority",
"text": "A numerical value indicating the priority of a plugin tiddler"
},
"$:/language/Docs/Fields/plugin-type": {
"title": "$:/language/Docs/Fields/plugin-type",
"text": "The type of plugin in a plugin tiddler"
},
"$:/language/Docs/Fields/revision": {
"title": "$:/language/Docs/Fields/revision",
"text": "The revision of the tiddler held at the server"
},
"$:/language/Docs/Fields/released": {
"title": "$:/language/Docs/Fields/released",
"text": "Date of a TiddlyWiki release"
},
"$:/language/Docs/Fields/source": {
"title": "$:/language/Docs/Fields/source",
"text": "The source URL associated with a tiddler"
},
"$:/language/Docs/Fields/subtitle": {
"title": "$:/language/Docs/Fields/subtitle",
"text": "The subtitle text for a wizard"
},
"$:/language/Docs/Fields/tags": {
"title": "$:/language/Docs/Fields/tags",
"text": "A list of tags associated with a tiddler"
},
"$:/language/Docs/Fields/text": {
"title": "$:/language/Docs/Fields/text",
"text": "The body text of a tiddler"
},
"$:/language/Docs/Fields/throttle.refresh": {
"title": "$:/language/Docs/Fields/throttle.refresh",
"text": "If present, throttles refreshes of this tiddler"
},
"$:/language/Docs/Fields/title": {
"title": "$:/language/Docs/Fields/title",
"text": "The unique name of a tiddler"
},
"$:/language/Docs/Fields/toc-link": {
"title": "$:/language/Docs/Fields/toc-link",
"text": "Suppresses the tiddler's link in a Table of Contents tree if set to: ''no''"
},
"$:/language/Docs/Fields/type": {
"title": "$:/language/Docs/Fields/type",
"text": "The content type of a tiddler"
},
"$:/language/Docs/Fields/version": {
"title": "$:/language/Docs/Fields/version",
"text": "Version information for a plugin"
},
"$:/language/Docs/Fields/_is_skinny": {
"title": "$:/language/Docs/Fields/_is_skinny",
"text": "If present, indicates that the tiddler text field must be loaded from the server"
},
"$:/language/Filters/AllTiddlers": {
"title": "$:/language/Filters/AllTiddlers",
"text": "All tiddlers except system tiddlers"
},
"$:/language/Filters/RecentSystemTiddlers": {
"title": "$:/language/Filters/RecentSystemTiddlers",
"text": "Recently modified tiddlers, including system tiddlers"
},
"$:/language/Filters/RecentTiddlers": {
"title": "$:/language/Filters/RecentTiddlers",
"text": "Recently modified tiddlers"
},
"$:/language/Filters/AllTags": {
"title": "$:/language/Filters/AllTags",
"text": "All tags except system tags"
},
"$:/language/Filters/Missing": {
"title": "$:/language/Filters/Missing",
"text": "Missing tiddlers"
},
"$:/language/Filters/Drafts": {
"title": "$:/language/Filters/Drafts",
"text": "Draft tiddlers"
},
"$:/language/Filters/Orphans": {
"title": "$:/language/Filters/Orphans",
"text": "Orphan tiddlers"
},
"$:/language/Filters/SystemTiddlers": {
"title": "$:/language/Filters/SystemTiddlers",
"text": "System tiddlers"
},
"$:/language/Filters/ShadowTiddlers": {
"title": "$:/language/Filters/ShadowTiddlers",
"text": "Shadow tiddlers"
},
"$:/language/Filters/OverriddenShadowTiddlers": {
"title": "$:/language/Filters/OverriddenShadowTiddlers",
"text": "Overridden shadow tiddlers"
},
"$:/language/Filters/SessionTiddlers": {
"title": "$:/language/Filters/SessionTiddlers",
"text": "Tiddlers modified since the wiki was loaded"
},
"$:/language/Filters/SystemTags": {
"title": "$:/language/Filters/SystemTags",
"text": "System tags"
},
"$:/language/Filters/StoryList": {
"title": "$:/language/Filters/StoryList",
"text": "Tiddlers in the story river, excluding <$text text=\"$:/AdvancedSearch\"/>"
},
"$:/language/Filters/TypedTiddlers": {
"title": "$:/language/Filters/TypedTiddlers",
"text": "Non wiki-text tiddlers"
},
"GettingStarted": {
"title": "GettingStarted",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\nWelcome to ~TiddlyWiki and the ~TiddlyWiki community\n\nBefore you start storing important information in ~TiddlyWiki it is vital to make sure that you can reliably save changes. See https://tiddlywiki.com/#GettingStarted for details\n\n!! Set up this ~TiddlyWiki\n\n<div class=\"tc-control-panel\">\n\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n</div>\n\nSee the [[control panel|$:/ControlPanel]] for more options.\n"
},
"$:/language/Help/build": {
"title": "$:/language/Help/build",
"description": "Automatically run configured commands",
"text": "Build the specified build targets for the current wiki. If no build targets are specified then all available targets will be built.\n\n```\n--build <target> [<target> ...]\n```\n\nBuild targets are defined in the `tiddlywiki.info` file of a wiki folder.\n\n"
},
"$:/language/Help/clearpassword": {
"title": "$:/language/Help/clearpassword",
"description": "Clear a password for subsequent crypto operations",
"text": "Clear the password for subsequent crypto operations\n\n```\n--clearpassword\n```\n"
},
"$:/language/Help/default": {
"title": "$:/language/Help/default",
"text": "\\define commandTitle()\n$:/language/Help/$(command)$\n\\end\n```\nusage: tiddlywiki [<wikifolder>] [--<command> [<args>...]...]\n```\n\nAvailable commands:\n\n<ul>\n<$list filter=\"[commands[]sort[title]]\" variable=\"command\">\n<li><$link to=<<commandTitle>>><$macrocall $name=\"command\" $type=\"text/plain\" $output=\"text/plain\"/></$link>: <$transclude tiddler=<<commandTitle>> field=\"description\"/></li>\n</$list>\n</ul>\n\nTo get detailed help on a command:\n\n```\ntiddlywiki --help <command>\n```\n"
},
"$:/language/Help/deletetiddlers": {
"title": "$:/language/Help/deletetiddlers",
"description": "Deletes a group of tiddlers",
"text": "<<.from-version \"5.1.20\">> Deletes a group of tiddlers identified by a filter.\n\n```\n--deletetiddlers <filter>\n```\n"
},
"$:/language/Help/editions": {
"title": "$:/language/Help/editions",
"description": "Lists the available editions of TiddlyWiki",
"text": "Lists the names and descriptions of the available editions. You can create a new wiki of a specified edition with the `--init` command.\n\n```\n--editions\n```\n"
},
"$:/language/Help/fetch": {
"title": "$:/language/Help/fetch",
"description": "Fetch tiddlers from wiki by URL",
"text": "Fetch one or more files over HTTP/HTTPS, and import the tiddlers matching a filter, optionally transforming the incoming titles.\n\n```\n--fetch file <url> <import-filter> <transform-filter>\n--fetch files <url-filter> <import-filter> <transform-filter>\n--fetch raw-file <url> <transform-filter>\n--fetch raw-files <url-filter> <transform-filter>\n```\n\nThe \"file\" and \"files\" variants fetch the specified files and attempt to import the tiddlers within them (the same processing as if the files were dragged into the browser window). The \"raw-file\" and \"raw-files\" variants fetch the specified files and then store the raw file data in tiddlers, without applying the import logic.\n\nWith the \"file\" and \"raw-file\" variants only a single file is fetched and the first parameter is the URL of the file to read.\n\nWith the \"files\" and \"raw-files\" variants, multiple files are fetched and the first parameter is a filter yielding a list of URLs of the files to read. For example, given a set of tiddlers tagged \"remote-server\" that have a field \"url\" the filter `[tag[remote-server]get[url]]` will retrieve all the available URLs.\n\nFor the \"file\" and \"files\" variants, the `<import-filter>` parameter specifies a filter determining which tiddlers are imported. It defaults to `[all[tiddlers]]` if not provided.\n\nFor all variants, the `<transform-filter>` parameter specifies an optional filter that transforms the titles of the imported tiddlers. For example, `[addprefix[$:/myimports/]]` would add the prefix `$:/myimports/` to each title.\n\nPreceding the `--fetch` command with `--verbose` will output progress information during the import.\n\nNote that TiddlyWiki will not fetch an older version of an already loaded plugin.\n\nThe following example retrieves all the non-system tiddlers from https://tiddlywiki.com and saves them to a JSON file:\n\n```\ntiddlywiki --verbose --fetch file \"https://tiddlywiki.com/\" \"[!is[system]]\" \"\" --rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[!is[system]]\"\n```\n\nThe following example retrieves the \"favicon\" file from tiddlywiki.com and saves it in a file called \"output.ico\". Note that the intermediate tiddler \"Icon Tiddler\" is quoted in the \"--fetch\" command because it is being used as a transformation filter to replace the default title, while there are no quotes for the \"--savetiddler\" command because it is being used directly as a title.\n\n```\ntiddlywiki --verbose --fetch raw-file \"https://tiddlywiki.com/favicon.ico\" \"[[Icon Tiddler]]\" --savetiddler \"Icon Tiddler\" output.ico\n```\n\n"
},
"$:/language/Help/help": {
"title": "$:/language/Help/help",
"description": "Display help for TiddlyWiki commands",
"text": "Displays help text for a command:\n\n```\n--help [<command>]\n```\n\nIf the command name is omitted then a list of available commands is displayed.\n"
},
"$:/language/Help/import": {
"title": "$:/language/Help/import",
"description": "Import tiddlers from a file",
"text": "Import tiddlers from TiddlyWiki (`.html`), `.tiddler`, `.tid`, `.json` or other local files. The deserializer must be explicitly specified, unlike the `load` command which infers the deserializer from the file extension.\n\n```\n--import <filepath> <deserializer> [<title>] [<encoding>]\n```\n\nThe deserializers in the core include:\n\n* application/javascript\n* application/json\n* application/x-tiddler\n* application/x-tiddler-html-div\n* application/x-tiddlers\n* text/html\n* text/plain\n\nThe title of the imported tiddler defaults to the filename.\n\nThe encoding defaults to \"utf8\", but can be \"base64\" for importing binary files.\n\nNote that TiddlyWiki will not import an older version of an already loaded plugin.\n"
},
"$:/language/Help/init": {
"title": "$:/language/Help/init",
"description": "Initialise a new wiki folder",
"text": "Initialise an empty [[WikiFolder|WikiFolders]] with a copy of the specified edition.\n\n```\n--init <edition> [<edition> ...]\n```\n\nFor example:\n\n```\ntiddlywiki ./MyWikiFolder --init empty\n```\n\nNote:\n\n* The wiki folder directory will be created if necessary\n* The \"edition\" defaults to ''empty''\n* The init command will fail if the wiki folder is not empty\n* The init command removes any `includeWikis` definitions in the edition's `tiddlywiki.info` file\n* When multiple editions are specified, editions initialised later will overwrite any files shared with earlier editions (so, the final `tiddlywiki.info` file will be copied from the last edition)\n* `--editions` returns a list of available editions\n"
},
"$:/language/Help/listen": {
"title": "$:/language/Help/listen",
"description": "Provides an HTTP server interface to TiddlyWiki",
"text": "Serves a wiki over HTTP.\n\nThe listen command uses NamedCommandParameters:\n\n```\n--listen [<name>=<value>]...\n```\n\nAll parameters are optional with safe defaults, and can be specified in any order. The recognised parameters are:\n\n* ''host'' - optional hostname to serve from (defaults to \"127.0.0.1\" aka \"localhost\")\n* ''path-prefix'' - optional prefix for paths\n* ''port'' - port number on which to listen; non-numeric values are interpreted as a system environment variable from which the port number is extracted (defaults to \"8080\")\n* ''credentials'' - pathname of credentials CSV file (relative to wiki folder)\n* ''anon-username'' - the username for signing edits for anonymous users\n* ''username'' - optional username for basic authentication\n* ''password'' - optional password for basic authentication\n* ''authenticated-user-header'' - optional name of header to be used for trusted authentication\n* ''readers'' - comma separated list of principals allowed to read from this wiki\n* ''writers'' - comma separated list of principals allowed to write to this wiki\n* ''csrf-disable'' - set to \"yes\" to disable CSRF checks (defaults to \"no\")\n* ''sse-enabled'' - set to \"yes\" to enable Server-sent events (defaults to \"no\")\n* ''root-tiddler'' - the tiddler to serve at the root (defaults to \"$:/core/save/all\")\n* ''root-render-type'' - the content type to which the root tiddler should be rendered (defaults to \"text/plain\")\n* ''root-serve-type'' - the content type with which the root tiddler should be served (defaults to \"text/html\")\n* ''tls-cert'' - pathname of TLS certificate file (relative to wiki folder)\n* ''tls-key'' - pathname of TLS key file (relative to wiki folder)\n* ''debug-level'' - optional debug level; set to \"debug\" to view request details (defaults to \"none\")\n* ''gzip'' - set to \"yes\" to enable gzip compression for some http endpoints (defaults to \"no\")\n\nFor information on opening up your instance to the entire local network, and possible security concerns, see the WebServer tiddler at TiddlyWiki.com.\n\n"
},
"$:/language/Help/load": {
"title": "$:/language/Help/load",
"description": "Load tiddlers from a file",
"text": "Load tiddlers from TiddlyWiki (`.html`), `.tiddler`, `.tid`, `.json` or other local files. The processing applied to incoming files is determined by the file extension. Use the alternative `import` command if you need to specify the deserializer and encoding explicitly.\n\n```\n--load <filepath> [noerror]\n--load <dirpath> [noerror]\n```\n\nBy default, the load command raises an error if no tiddlers are found. The error can be suppressed by providing the optional \"noerror\" parameter.\n\nTo load tiddlers from an encrypted TiddlyWiki file you should first specify the password with the PasswordCommand. For example:\n\n```\ntiddlywiki ./MyWiki --password pa55w0rd --load my_encrypted_wiki.html\n```\n\nNote that TiddlyWiki will not load an older version of an already loaded plugin.\n"
},
"$:/language/Help/makelibrary": {
"title": "$:/language/Help/makelibrary",
"description": "Construct library plugin required by upgrade process",
"text": "Constructs the `$:/UpgradeLibrary` tiddler for the upgrade process.\n\nThe upgrade library is formatted as an ordinary plugin tiddler with the plugin type `library`. It contains a copy of each of the plugins, themes and language packs available within the TiddlyWiki5 repository.\n\nThis command is intended for internal use; it is only relevant to users constructing a custom upgrade procedure.\n\n```\n--makelibrary <title>\n```\n\nThe title argument defaults to `$:/UpgradeLibrary`.\n"
},
"$:/language/Help/notfound": {
"title": "$:/language/Help/notfound",
"text": "No such help item"
},
"$:/language/Help/output": {
"title": "$:/language/Help/output",
"description": "Set the base output directory for subsequent commands",
"text": "Sets the base output directory for subsequent commands. The default output directory is the `output` subdirectory of the edition directory.\n\n```\n--output <pathname>\n```\n\nIf the specified pathname is relative then it is resolved relative to the current working directory. For example `--output .` sets the output directory to the current working directory.\n\n"
},
"$:/language/Help/password": {
"title": "$:/language/Help/password",
"description": "Set a password for subsequent crypto operations",
"text": "Set a password for subsequent crypto operations\n\n```\n--password <password>\n```\n\n''Note'': This should not be used for serving TiddlyWiki with password protection. Instead, see the password option under the [[ServerCommand]].\n"
},
"$:/language/Help/render": {
"title": "$:/language/Help/render",
"description": "Renders individual tiddlers to files",
"text": "Render individual tiddlers identified by a filter and save the results to the specified files.\n\nOptionally, the title of a template tiddler can be specified. In this case, instead of directly rendering each tiddler, the template tiddler is rendered with the \"currentTiddler\" variable set to the title of the tiddler that is being rendered.\n\nA name and value for an additional variable may optionally also be specified.\n\n```\n--render <tiddler-filter> [<filename-filter>] [<render-type>] [<template>] [ [<name>] [<value>] ]*\n```\n\n* ''tiddler-filter'': A filter identifying the tiddler(s) to be rendered\n* ''filename-filter'': Optional filter transforming tiddler titles into pathnames. If omitted, defaults to `[is[tiddler]addsuffix[.html]]`, which uses the unchanged tiddler title as the filename\n* ''render-type'': Optional render type: `text/html` (the default) returns the full HTML text and `text/plain` just returns the text content (ie it ignores HTML tags and other unprintable material)\n* ''template'': Optional template through which each tiddler is rendered\n* ''name'': Name of optional variables\n* ''value'': Value of optional variables\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nNotes:\n\n* The output directory is not cleared of any existing files\n* Any missing directories in the path to the filename are automatically created.\n* When referring to a tiddler with spaces in its title, take care to use both the quotes required by your shell and also TiddlyWiki's double square brackets : `--render \"[[Motovun Jack.jpg]]\"`\n* The filename filter is evaluated with the selected items being set to the title of the tiddler currently being rendered, allowing the title to be used as the basis for computing the filename. For example `[encodeuricomponent[]addprefix[static/]]` applies URI encoding to each title, and then adds the prefix `static/`\n* Multiple ''name''/''value'' pairs can be used to pass more than one variable\n* The `--render` command is a more flexible replacement for both the `--rendertiddler` and `--rendertiddlers` commands, which are deprecated\n\nExamples:\n\n* `--render \"[!is[system]]\" \"[encodeuricomponent[]addprefix[tiddlers/]addsuffix[.html]]\"` -- renders all non-system tiddlers as files in the subdirectory \"tiddlers\" with URL-encoded titles and the extension HTML\n\n"
},
"$:/language/Help/rendertiddler": {
"title": "$:/language/Help/rendertiddler",
"description": "Render an individual tiddler as a specified ContentType",
"text": "(Note: The `--rendertiddler` command is deprecated in favour of the new, more flexible `--render` command)\n\nRender an individual tiddler as a specified ContentType, defaulting to `text/html` and save it to the specified filename.\n\nOptionally the title of a template tiddler can be specified, in which case the template tiddler is rendered with the \"currentTiddler\" variable set to the tiddler that is being rendered (the first parameter value).\n\nA name and value for an additional variable may optionally also be specified.\n\n```\n--rendertiddler <title> <filename> [<type>] [<template>] [<name>] [<value>]\n```\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nAny missing directories in the path to the filename are automatically created.\n\nFor example, the following command saves all tiddlers matching the filter `[tag[done]]` to a JSON file titled `output.json` by employing the core template `$:/core/templates/exporters/JsonFile`.\n\n```\n--rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[tag[done]]\"\n```\n"
},
"$:/language/Help/rendertiddlers": {
"title": "$:/language/Help/rendertiddlers",
"description": "Render tiddlers matching a filter to a specified ContentType",
"text": "(Note: The `--rendertiddlers` command is deprecated in favour of the new, more flexible `--render` command)\n\nRender a set of tiddlers matching a filter to separate files of a specified ContentType (defaults to `text/html`) and extension (defaults to `.html`).\n\n```\n--rendertiddlers '<filter>' <template> <pathname> [<type>] [<extension>] [\"noclean\"]\n```\n\nFor example:\n\n```\n--rendertiddlers '[!is[system]]' $:/core/templates/static.tiddler.html ./static text/plain\n```\n\nBy default, the pathname is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nAny files in the target directory are deleted unless the ''noclean'' flag is specified. The target directory is recursively created if it is missing.\n"
},
"$:/language/Help/save": {
"title": "$:/language/Help/save",
"description": "Saves individual raw tiddlers to files",
"text": "Saves individual tiddlers identified by a filter in their raw text or binary format to the specified files.\n\n```\n--save <tiddler-filter> <filename-filter>\n```\n\n* ''tiddler-filter'': A filter identifying the tiddler(s) to be saved\n* ''filename-filter'': Optional filter transforming tiddler titles into pathnames. If omitted, defaults to `[is[tiddler]]`, which uses the unchanged tiddler title as the filename\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nNotes:\n\n* The output directory is not cleared of any existing files\n* Any missing directories in the path to the filename are automatically created.\n* When saving a tiddler with spaces in its title, take care to use both the quotes required by your shell and also TiddlyWiki's double square brackets : `--save \"[[Motovun Jack.jpg]]\"`\n* The filename filter is evaluated with the selected items being set to the title of the tiddler currently being saved, allowing the title to be used as the basis for computing the filename. For example `[encodeuricomponent[]addprefix[static/]]` applies URI encoding to each title, and then adds the prefix `static/`\n* The `--save` command is a more flexible replacement for both the `--savetiddler` and `--savetiddlers` commands, which are deprecated\n\nExamples:\n\n* `--save \"[!is[system]is[image]]\" \"[encodeuricomponent[]addprefix[tiddlers/]]\"` -- saves all non-system image tiddlers as files in the subdirectory \"tiddlers\" with URL-encoded titles\n"
},
"$:/language/Help/savetiddler": {
"title": "$:/language/Help/savetiddler",
"description": "Saves a raw tiddler to a file",
"text": "(Note: The `--savetiddler` command is deprecated in favour of the new, more flexible `--save` command)\n\nSaves an individual tiddler in its raw text or binary format to the specified filename.\n\n```\n--savetiddler <title> <filename>\n```\n\nBy default, the filename is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nAny missing directories in the path to the filename are automatically created.\n"
},
"$:/language/Help/savetiddlers": {
"title": "$:/language/Help/savetiddlers",
"description": "Saves a group of raw tiddlers to a directory",
"text": "(Note: The `--savetiddlers` command is deprecated in favour of the new, more flexible `--save` command)\n\nSaves a group of tiddlers in their raw text or binary format to the specified directory.\n\n```\n--savetiddlers <filter> <pathname> [\"noclean\"]\n```\n\nBy default, the pathname is resolved relative to the `output` subdirectory of the edition directory. The `--output` command can be used to direct output to a different directory.\n\nThe output directory is cleared of existing files before saving the specified files. The deletion can be disabled by specifying the ''noclean'' flag.\n\nAny missing directories in the pathname are automatically created.\n"
},
"$:/language/Help/savewikifolder": {
"title": "$:/language/Help/savewikifolder",
"description": "Saves a wiki to a new wiki folder",
"text": "<<.from-version \"5.1.20\">> Saves the current wiki as a wiki folder, including tiddlers, plugins and configuration:\n\n```\n--savewikifolder <wikifolderpath> [<filter>]\n```\n\n* The target wiki folder must be empty or non-existent\n* The filter specifies which tiddlers should be included. It is optional, defaulting to `[all[tiddlers]]`\n* Plugins from the official plugin library are replaced with references to those plugins in the `tiddlywiki.info` file\n* Custom plugins are unpacked into their own folder\n\nA common usage is to convert a TiddlyWiki HTML file into a wiki folder:\n\n```\ntiddlywiki --load ./mywiki.html --savewikifolder ./mywikifolder\n```\n"
},
"$:/language/Help/server": {
"title": "$:/language/Help/server",
"description": "Provides an HTTP server interface to TiddlyWiki (deprecated in favour of the new listen command)",
"text": "Legacy command to serve a wiki over HTTP.\n\n```\n--server <port> <root-tiddler> <root-render-type> <root-serve-type> <username> <password> <host> <path-prefix> <debug-level>\n```\n\nThe parameters are:\n\n* ''port'' - port number on which to listen; non-numeric values are interpreted as a system environment variable from which the port number is extracted (defaults to \"8080\")\n* ''root-tiddler'' - the tiddler to serve at the root (defaults to \"$:/core/save/all\")\n* ''root-render-type'' - the content type to which the root tiddler should be rendered (defaults to \"text/plain\")\n* ''root-serve-type'' - the content type with which the root tiddler should be served (defaults to \"text/html\")\n* ''username'' - the default username for signing edits\n* ''password'' - optional password for basic authentication\n* ''host'' - optional hostname to serve from (defaults to \"127.0.0.1\" aka \"localhost\")\n* ''path-prefix'' - optional prefix for paths\n* ''debug-level'' - optional debug level; set to \"debug\" to view request details (defaults to \"none\")\n\nIf the password parameter is specified then the browser will prompt the user for the username and password. Note that the password is transmitted in plain text so this implementation should only be used on a trusted network or over HTTPS.\n\nFor example:\n\n```\n--server 8080 $:/core/save/all text/plain text/html MyUserName passw0rd\n```\n\nThe username and password can be specified as empty strings if you need to set the hostname or pathprefix and don't want to require a password.\n\n\n```\n--server 8080 $:/core/save/all text/plain text/html \"\" \"\" 192.168.0.245\n```\n\nUsing an address like this exposes your system to the local network. For information on opening up your instance to the entire local network, and possible security concerns, see the WebServer tiddler at TiddlyWiki.com.\n\nTo run multiple TiddlyWiki servers at the same time you'll need to put each one on a different port. It can be useful to use an environment variable to pass the port number to the Node.js process. This example references an environment variable called \"MY_PORT_NUMBER\":\n\n```\n--server MY_PORT_NUMBER $:/core/save/all text/plain text/html MyUserName passw0rd\n```\n"
},
"$:/language/Help/setfield": {
"title": "$:/language/Help/setfield",
"description": "Prepares external tiddlers for use",
"text": "//Note that this command is experimental and may change or be replaced before being finalised//\n\nSets the specified field of a group of tiddlers to the result of wikifying a template tiddler with the `currentTiddler` variable set to the tiddler.\n\n```\n--setfield <filter> <fieldname> <templatetitle> <rendertype>\n```\n\nThe parameters are:\n\n* ''filter'' - filter identifying the tiddlers to be affected\n* ''fieldname'' - the field to modify (defaults to \"text\")\n* ''templatetitle'' - the tiddler to wikify into the specified field. If blank or missing then the specified field is deleted\n* ''rendertype'' - the text type to render (defaults to \"text/plain\"; \"text/html\" can be used to include HTML tags)\n"
},
"$:/language/Help/unpackplugin": {
"title": "$:/language/Help/unpackplugin",
"description": "Unpack the payload tiddlers from a plugin",
"text": "Extract the payload tiddlers from a plugin, creating them as ordinary tiddlers:\n\n```\n--unpackplugin <title>\n```\n"
},
"$:/language/Help/verbose": {
"title": "$:/language/Help/verbose",
"description": "Triggers verbose output mode",
"text": "Triggers verbose output, useful for debugging\n\n```\n--verbose\n```\n"
},
"$:/language/Help/version": {
"title": "$:/language/Help/version",
"description": "Displays the version number of TiddlyWiki",
"text": "Displays the version number of TiddlyWiki.\n\n```\n--version\n```\n"
},
"$:/language/Import/Imported/Hint": {
"title": "$:/language/Import/Imported/Hint",
"text": "The following tiddlers were imported:"
},
"$:/language/Import/Listing/Cancel/Caption": {
"title": "$:/language/Import/Listing/Cancel/Caption",
"text": "Cancel"
},
"$:/language/Import/Listing/Hint": {
"title": "$:/language/Import/Listing/Hint",
"text": "These tiddlers are ready to import:"
},
"$:/language/Import/Listing/Import/Caption": {
"title": "$:/language/Import/Listing/Import/Caption",
"text": "Import"
},
"$:/language/Import/Listing/Select/Caption": {
"title": "$:/language/Import/Listing/Select/Caption",
"text": "Select"
},
"$:/language/Import/Listing/Status/Caption": {
"title": "$:/language/Import/Listing/Status/Caption",
"text": "Status"
},
"$:/language/Import/Listing/Title/Caption": {
"title": "$:/language/Import/Listing/Title/Caption",
"text": "Title"
},
"$:/language/Import/Listing/Preview": {
"title": "$:/language/Import/Listing/Preview",
"text": "Preview:"
},
"$:/language/Import/Listing/Preview/Text": {
"title": "$:/language/Import/Listing/Preview/Text",
"text": "Text"
},
"$:/language/Import/Listing/Preview/TextRaw": {
"title": "$:/language/Import/Listing/Preview/TextRaw",
"text": "Text (Raw)"
},
"$:/language/Import/Listing/Preview/Fields": {
"title": "$:/language/Import/Listing/Preview/Fields",
"text": "Fields"
},
"$:/language/Import/Listing/Preview/Diff": {
"title": "$:/language/Import/Listing/Preview/Diff",
"text": "Diff"
},
"$:/language/Import/Listing/Preview/DiffFields": {
"title": "$:/language/Import/Listing/Preview/DiffFields",
"text": "Diff (Fields)"
},
"$:/language/Import/Listing/Rename/Tooltip": {
"title": "$:/language/Import/Listing/Rename/Tooltip",
"text": "Rename tiddler before importing"
},
"$:/language/Import/Listing/Rename/Prompt": {
"title": "$:/language/Import/Listing/Rename/Prompt",
"text": "Rename to:"
},
"$:/language/Import/Listing/Rename/ConfirmRename": {
"title": "$:/language/Import/Listing/Rename/ConfirmRename",
"text": "Rename tiddler"
},
"$:/language/Import/Listing/Rename/CancelRename": {
"title": "$:/language/Import/Listing/Rename/CancelRename",
"text": "Cancel"
},
"$:/language/Import/Listing/Rename/OverwriteWarning": {
"title": "$:/language/Import/Listing/Rename/OverwriteWarning",
"text": "A tiddler with this title already exists."
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible",
"text": "Blocked incompatible or obsolete plugin."
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Version": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Version",
"text": "Blocked plugin (due to incoming <<incoming>> not being newer than existing <<existing>>)."
},
"$:/language/Import/Upgrader/Plugins/Upgraded": {
"title": "$:/language/Import/Upgrader/Plugins/Upgraded",
"text": "Upgraded plugin from <<incoming>> to <<upgraded>>."
},
"$:/language/Import/Upgrader/State/Suppressed": {
"title": "$:/language/Import/Upgrader/State/Suppressed",
"text": "Blocked temporary state tiddler."
},
"$:/language/Import/Upgrader/System/Suppressed": {
"title": "$:/language/Import/Upgrader/System/Suppressed",
"text": "Blocked system tiddler."
},
"$:/language/Import/Upgrader/System/Warning": {
"title": "$:/language/Import/Upgrader/System/Warning",
"text": "Core module tiddler."
},
"$:/language/Import/Upgrader/System/Alert": {
"title": "$:/language/Import/Upgrader/System/Alert",
"text": "You are about to import a tiddler that will overwrite a core module tiddler. This is not recommended as it may make the system unstable."
},
"$:/language/Import/Upgrader/ThemeTweaks/Created": {
"title": "$:/language/Import/Upgrader/ThemeTweaks/Created",
"text": "Migrated theme tweak from <$text text=<<from>>/>."
},
"$:/language/AboveStory/ClassicPlugin/Warning": {
"title": "$:/language/AboveStory/ClassicPlugin/Warning",
"text": "It looks like you are trying to load a plugin designed for ~TiddlyWiki Classic. Please note that [[these plugins do not work with TiddlyWiki version 5.x.x|https://tiddlywiki.com/#TiddlyWikiClassic]]. ~TiddlyWiki Classic plugins detected:"
},
"$:/language/BinaryWarning/Prompt": {
"title": "$:/language/BinaryWarning/Prompt",
"text": "This tiddler contains binary data"
},
"$:/language/ClassicWarning/Hint": {
"title": "$:/language/ClassicWarning/Hint",
"text": "This tiddler is written in TiddlyWiki Classic wiki text format, which is not fully compatible with TiddlyWiki version 5. See https://tiddlywiki.com/static/Upgrading.html for more details."
},
"$:/language/ClassicWarning/Upgrade/Caption": {
"title": "$:/language/ClassicWarning/Upgrade/Caption",
"text": "upgrade"
},
"$:/language/CloseAll/Button": {
"title": "$:/language/CloseAll/Button",
"text": "close all"
},
"$:/language/ColourPicker/Recent": {
"title": "$:/language/ColourPicker/Recent",
"text": "Recent:"
},
"$:/language/ConfirmCancelTiddler": {
"title": "$:/language/ConfirmCancelTiddler",
"text": "Do you wish to discard changes to the tiddler \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmDeleteTiddler": {
"title": "$:/language/ConfirmDeleteTiddler",
"text": "Do you wish to delete the tiddler \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmOverwriteTiddler": {
"title": "$:/language/ConfirmOverwriteTiddler",
"text": "Do you wish to overwrite the tiddler \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmEditShadowTiddler": {
"title": "$:/language/ConfirmEditShadowTiddler",
"text": "You are about to edit a ShadowTiddler. Any changes will override the default system making future upgrades non-trivial. Are you sure you want to edit \"<$text text=<<title>>/>\"?"
},
"$:/language/ConfirmAction": {
"title": "$:/language/ConfirmAction",
"text": "Do you wish to proceed?"
},
"$:/language/Count": {
"title": "$:/language/Count",
"text": "count"
},
"$:/language/DefaultNewTiddlerTitle": {
"title": "$:/language/DefaultNewTiddlerTitle",
"text": "New Tiddler"
},
"$:/language/Diffs/CountMessage": {
"title": "$:/language/Diffs/CountMessage",
"text": "<<diff-count>> differences"
},
"$:/language/DropMessage": {
"title": "$:/language/DropMessage",
"text": "Drop here (or use the 'Escape' key to cancel)"
},
"$:/language/Encryption/Cancel": {
"title": "$:/language/Encryption/Cancel",
"text": "Cancel"
},
"$:/language/Encryption/ConfirmClearPassword": {
"title": "$:/language/Encryption/ConfirmClearPassword",
"text": "Do you wish to clear the password? This will remove the encryption applied when saving this wiki"
},
"$:/language/Encryption/PromptSetPassword": {
"title": "$:/language/Encryption/PromptSetPassword",
"text": "Set a new password for this TiddlyWiki"
},
"$:/language/Encryption/Username": {
"title": "$:/language/Encryption/Username",
"text": "Username"
},
"$:/language/Encryption/Password": {
"title": "$:/language/Encryption/Password",
"text": "Password"
},
"$:/language/Encryption/RepeatPassword": {
"title": "$:/language/Encryption/RepeatPassword",
"text": "Repeat password"
},
"$:/language/Encryption/PasswordNoMatch": {
"title": "$:/language/Encryption/PasswordNoMatch",
"text": "Passwords do not match"
},
"$:/language/Encryption/SetPassword": {
"title": "$:/language/Encryption/SetPassword",
"text": "Set password"
},
"$:/language/Error/Caption": {
"title": "$:/language/Error/Caption",
"text": "Error"
},
"$:/language/Error/EditConflict": {
"title": "$:/language/Error/EditConflict",
"text": "File changed on server"
},
"$:/language/Error/Filter": {
"title": "$:/language/Error/Filter",
"text": "Filter error"
},
"$:/language/Error/FilterSyntax": {
"title": "$:/language/Error/FilterSyntax",
"text": "Syntax error in filter expression"
},
"$:/language/Error/FilterRunPrefix": {
"title": "$:/language/Error/FilterRunPrefix",
"text": "Filter Error: Unknown prefix for filter run"
},
"$:/language/Error/IsFilterOperator": {
"title": "$:/language/Error/IsFilterOperator",
"text": "Filter Error: Unknown operand for the 'is' filter operator"
},
"$:/language/Error/FormatFilterOperator": {
"title": "$:/language/Error/FormatFilterOperator",
"text": "Filter Error: Unknown suffix for the 'format' filter operator"
},
"$:/language/Error/LoadingPluginLibrary": {
"title": "$:/language/Error/LoadingPluginLibrary",
"text": "Error loading plugin library"
},
"$:/language/Error/NetworkErrorAlert": {
"title": "$:/language/Error/NetworkErrorAlert",
"text": "`<h2>''Network Error''</h2>It looks like the connection to the server has been lost. This may indicate a problem with your network connection. Please attempt to restore network connectivity before continuing.<br><br>''Any unsaved changes will be automatically synchronised when connectivity is restored''.`"
},
"$:/language/Error/RecursiveTransclusion": {
"title": "$:/language/Error/RecursiveTransclusion",
"text": "Recursive transclusion error in transclude widget"
},
"$:/language/Error/RetrievingSkinny": {
"title": "$:/language/Error/RetrievingSkinny",
"text": "Error retrieving skinny tiddler list"
},
"$:/language/Error/SavingToTWEdit": {
"title": "$:/language/Error/SavingToTWEdit",
"text": "Error saving to TWEdit"
},
"$:/language/Error/WhileSaving": {
"title": "$:/language/Error/WhileSaving",
"text": "Error while saving"
},
"$:/language/Error/XMLHttpRequest": {
"title": "$:/language/Error/XMLHttpRequest",
"text": "XMLHttpRequest error code"
},
"$:/language/InternalJavaScriptError/Title": {
"title": "$:/language/InternalJavaScriptError/Title",
"text": "Internal JavaScript Error"
},
"$:/language/InternalJavaScriptError/Hint": {
"title": "$:/language/InternalJavaScriptError/Hint",
"text": "Well, this is embarrassing. It is recommended that you restart TiddlyWiki by refreshing your browser"
},
"$:/language/InvalidFieldName": {
"title": "$:/language/InvalidFieldName",
"text": "Illegal characters in field name \"<$text text=<<fieldName>>/>\". Fields can only contain lowercase letters, digits and the characters underscore (`_`), hyphen (`-`) and period (`.`)"
},
"$:/language/LayoutSwitcher/Description": {
"title": "$:/language/LayoutSwitcher/Description",
"text": "Open the layout switcher"
},
"$:/language/LazyLoadingWarning": {
"title": "$:/language/LazyLoadingWarning",
"text": "<p>Trying to load external content from ''<$text text={{!!_canonical_uri}}/>''</p><p>If this message doesn't disappear, either the tiddler content type doesn't match the type of the external content, or you may be using a browser that doesn't support external content for wikis loaded as standalone files. See https://tiddlywiki.com/#ExternalText</p>"
},
"$:/language/LoginToTiddlySpace": {
"title": "$:/language/LoginToTiddlySpace",
"text": "Login to TiddlySpace"
},
"$:/language/Manager/Controls/FilterByTag/None": {
"title": "$:/language/Manager/Controls/FilterByTag/None",
"text": "(none)"
},
"$:/language/Manager/Controls/FilterByTag/Prompt": {
"title": "$:/language/Manager/Controls/FilterByTag/Prompt",
"text": "Filter by tag:"
},
"$:/language/Manager/Controls/Order/Prompt": {
"title": "$:/language/Manager/Controls/Order/Prompt",
"text": "Reverse order"
},
"$:/language/Manager/Controls/Search/Placeholder": {
"title": "$:/language/Manager/Controls/Search/Placeholder",
"text": "Search"
},
"$:/language/Manager/Controls/Search/Prompt": {
"title": "$:/language/Manager/Controls/Search/Prompt",
"text": "Search:"
},
"$:/language/Manager/Controls/Show/Option/Tags": {
"title": "$:/language/Manager/Controls/Show/Option/Tags",
"text": "tags"
},
"$:/language/Manager/Controls/Show/Option/Tiddlers": {
"title": "$:/language/Manager/Controls/Show/Option/Tiddlers",
"text": "tiddlers"
},
"$:/language/Manager/Controls/Show/Prompt": {
"title": "$:/language/Manager/Controls/Show/Prompt",
"text": "Show:"
},
"$:/language/Manager/Controls/Sort/Prompt": {
"title": "$:/language/Manager/Controls/Sort/Prompt",
"text": "Sort by:"
},
"$:/language/Manager/Item/Colour": {
"title": "$:/language/Manager/Item/Colour",
"text": "Colour"
},
"$:/language/Manager/Item/Fields": {
"title": "$:/language/Manager/Item/Fields",
"text": "Fields"
},
"$:/language/Manager/Item/Icon/None": {
"title": "$:/language/Manager/Item/Icon/None",
"text": "(none)"
},
"$:/language/Manager/Item/Icon": {
"title": "$:/language/Manager/Item/Icon",
"text": "Icon"
},
"$:/language/Manager/Item/RawText": {
"title": "$:/language/Manager/Item/RawText",
"text": "Raw text"
},
"$:/language/Manager/Item/Tags": {
"title": "$:/language/Manager/Item/Tags",
"text": "Tags"
},
"$:/language/Manager/Item/Tools": {
"title": "$:/language/Manager/Item/Tools",
"text": "Tools"
},
"$:/language/Manager/Item/WikifiedText": {
"title": "$:/language/Manager/Item/WikifiedText",
"text": "Wikified text"
},
"$:/language/MissingTiddler/Hint": {
"title": "$:/language/MissingTiddler/Hint",
"text": "Missing tiddler \"<$text text=<<currentTiddler>>/>\" -- click {{||$:/core/ui/Buttons/edit}} to create"
},
"$:/language/No": {
"title": "$:/language/No",
"text": "No"
},
"$:/language/OfficialPluginLibrary": {
"title": "$:/language/OfficialPluginLibrary",
"text": "Official ~TiddlyWiki Plugin Library"
},
"$:/language/OfficialPluginLibrary/Hint": {
"title": "$:/language/OfficialPluginLibrary/Hint",
"text": "The official ~TiddlyWiki plugin library at tiddlywiki.com. Plugins, themes and language packs are maintained by the core team."
},
"$:/language/PageTemplate/Description": {
"title": "$:/language/PageTemplate/Description",
"text": "the default ~TiddlyWiki layout"
},
"$:/language/PageTemplate/Name": {
"title": "$:/language/PageTemplate/Name",
"text": "Default ~PageTemplate"
},
"$:/language/PluginReloadWarning": {
"title": "$:/language/PluginReloadWarning",
"text": "Please save {{$:/core/ui/Buttons/save-wiki}} and reload {{$:/core/ui/Buttons/refresh}} to allow changes to ~JavaScript plugins to take effect"
},
"$:/language/RecentChanges/DateFormat": {
"title": "$:/language/RecentChanges/DateFormat",
"text": "DDth MMM YYYY"
},
"$:/language/Shortcuts/Input/AdvancedSearch/Hint": {
"title": "$:/language/Shortcuts/Input/AdvancedSearch/Hint",
"text": "Open the ~AdvancedSearch panel from within the sidebar search field"
},
"$:/language/Shortcuts/Input/Accept/Hint": {
"title": "$:/language/Shortcuts/Input/Accept/Hint",
"text": "Accept the selected item"
},
"$:/language/Shortcuts/Input/AcceptVariant/Hint": {
"title": "$:/language/Shortcuts/Input/AcceptVariant/Hint",
"text": "Accept the selected item (variant)"
},
"$:/language/Shortcuts/Input/Cancel/Hint": {
"title": "$:/language/Shortcuts/Input/Cancel/Hint",
"text": "Clear the input field"
},
"$:/language/Shortcuts/Input/Down/Hint": {
"title": "$:/language/Shortcuts/Input/Down/Hint",
"text": "Select the next item"
},
"$:/language/Shortcuts/Input/Tab-Left/Hint": {
"title": "$:/language/Shortcuts/Input/Tab-Left/Hint",
"text": "Select the previous Tab"
},
"$:/language/Shortcuts/Input/Tab-Right/Hint": {
"title": "$:/language/Shortcuts/Input/Tab-Right/Hint",
"text": "Select the next Tab"
},
"$:/language/Shortcuts/Input/Up/Hint": {
"title": "$:/language/Shortcuts/Input/Up/Hint",
"text": "Select the previous item"
},
"$:/language/Shortcuts/SidebarLayout/Hint": {
"title": "$:/language/Shortcuts/SidebarLayout/Hint",
"text": "Change the sidebar layout"
},
"$:/language/Switcher/Subtitle/theme": {
"title": "$:/language/Switcher/Subtitle/theme",
"text": "Switch Theme"
},
"$:/language/Switcher/Subtitle/layout": {
"title": "$:/language/Switcher/Subtitle/layout",
"text": "Switch Layout"
},
"$:/language/Switcher/Subtitle/language": {
"title": "$:/language/Switcher/Subtitle/language",
"text": "Switch Language"
},
"$:/language/Switcher/Subtitle/palette": {
"title": "$:/language/Switcher/Subtitle/palette",
"text": "Switch Palette"
},
"$:/language/SystemTiddler/Tooltip": {
"title": "$:/language/SystemTiddler/Tooltip",
"text": "This is a system tiddler"
},
"$:/language/SystemTiddlers/Include/Prompt": {
"title": "$:/language/SystemTiddlers/Include/Prompt",
"text": "Include system tiddlers"
},
"$:/language/TagManager/Colour/Heading": {
"title": "$:/language/TagManager/Colour/Heading",
"text": "Colour"
},
"$:/language/TagManager/Count/Heading": {
"title": "$:/language/TagManager/Count/Heading",
"text": "Count"
},
"$:/language/TagManager/Icon/Heading": {
"title": "$:/language/TagManager/Icon/Heading",
"text": "Icon"
},
"$:/language/TagManager/Icons/None": {
"title": "$:/language/TagManager/Icons/None",
"text": "None"
},
"$:/language/TagManager/Info/Heading": {
"title": "$:/language/TagManager/Info/Heading",
"text": "Info"
},
"$:/language/TagManager/Tag/Heading": {
"title": "$:/language/TagManager/Tag/Heading",
"text": "Tag"
},
"$:/language/Tiddler/DateFormat": {
"title": "$:/language/Tiddler/DateFormat",
"text": "DDth MMM YYYY at hh12:0mmam"
},
"$:/language/UnsavedChangesWarning": {
"title": "$:/language/UnsavedChangesWarning",
"text": "You have unsaved changes in TiddlyWiki"
},
"$:/language/Yes": {
"title": "$:/language/Yes",
"text": "Yes"
},
"$:/language/Modals/Download": {
"title": "$:/language/Modals/Download",
"subtitle": "Download changes",
"footer": "<$button message=\"tm-close-tiddler\">Close</$button>",
"help": "https://tiddlywiki.com/static/DownloadingChanges.html",
"text": "Your browser only supports manual saving.\n\nTo save your modified wiki, right click on the download link below and select \"Download file\" or \"Save file\", and then choose the folder and filename.\n\n//You can marginally speed things up by clicking the link with the control key (Windows) or the options/alt key (Mac OS X). You will not be prompted for the folder or filename, but your browser is likely to give it an unrecognisable name -- you may need to rename the file to include an `.html` extension before you can do anything useful with it.//\n\nOn smartphones that do not allow files to be downloaded you can instead bookmark the link, and then sync your bookmarks to a desktop computer from where the wiki can be saved normally.\n"
},
"$:/language/Modals/SaveInstructions": {
"title": "$:/language/Modals/SaveInstructions",
"subtitle": "Save your work",
"footer": "<$button message=\"tm-close-tiddler\">Close</$button>",
"help": "https://tiddlywiki.com/static/SavingChanges.html",
"text": "Your changes to this wiki need to be saved as a ~TiddlyWiki HTML file.\n\n!!! Desktop browsers\n\n# Select ''Save As'' from the ''File'' menu\n# Choose a filename and location\n#* Some browsers also require you to explicitly specify the file saving format as ''Webpage, HTML only'' or similar\n# Close this tab\n\n!!! Smartphone browsers\n\n# Create a bookmark to this page\n#* If you've got iCloud or Google Sync set up then the bookmark will automatically sync to your desktop where you can open it and save it as above\n# Close this tab\n\n//If you open the bookmark again in Mobile Safari you will see this message again. If you want to go ahead and use the file, just click the ''close'' button below//\n"
},
"$:/config/NewJournal/Title": {
"title": "$:/config/NewJournal/Title",
"text": "DDth MMM YYYY"
},
"$:/config/NewJournal/Text": {
"title": "$:/config/NewJournal/Text",
"text": ""
},
"$:/config/NewJournal/Tags": {
"title": "$:/config/NewJournal/Tags",
"text": "Journal\n"
},
"$:/language/Notifications/Save/Done": {
"title": "$:/language/Notifications/Save/Done",
"text": "Saved wiki"
},
"$:/language/Notifications/Save/Starting": {
"title": "$:/language/Notifications/Save/Starting",
"text": "Starting to save wiki"
},
"$:/language/Notifications/CopiedToClipboard/Succeeded": {
"title": "$:/language/Notifications/CopiedToClipboard/Succeeded",
"text": "Copied to clipboard!"
},
"$:/language/Notifications/CopiedToClipboard/Failed": {
"title": "$:/language/Notifications/CopiedToClipboard/Failed",
"text": "Failed to copy to clipboard!"
},
"$:/language/Search/DefaultResults/Caption": {
"title": "$:/language/Search/DefaultResults/Caption",
"text": "List"
},
"$:/language/Search/Filter/Caption": {
"title": "$:/language/Search/Filter/Caption",
"text": "Filter"
},
"$:/language/Search/Filter/Hint": {
"title": "$:/language/Search/Filter/Hint",
"text": "Search via a [[filter expression|https://tiddlywiki.com/static/Filters.html]]"
},
"$:/language/Search/Filter/Matches": {
"title": "$:/language/Search/Filter/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/Matches": {
"title": "$:/language/Search/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/Matches/All": {
"title": "$:/language/Search/Matches/All",
"text": "All matches:"
},
"$:/language/Search/Matches/Title": {
"title": "$:/language/Search/Matches/Title",
"text": "Title matches:"
},
"$:/language/Search/Search": {
"title": "$:/language/Search/Search",
"text": "Search"
},
"$:/language/Search/Search/TooShort": {
"title": "$:/language/Search/Search/TooShort",
"text": "Search text too short"
},
"$:/language/Search/Shadows/Caption": {
"title": "$:/language/Search/Shadows/Caption",
"text": "Shadows"
},
"$:/language/Search/Shadows/Hint": {
"title": "$:/language/Search/Shadows/Hint",
"text": "Search for shadow tiddlers"
},
"$:/language/Search/Shadows/Matches": {
"title": "$:/language/Search/Shadows/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/Standard/Caption": {
"title": "$:/language/Search/Standard/Caption",
"text": "Standard"
},
"$:/language/Search/Standard/Hint": {
"title": "$:/language/Search/Standard/Hint",
"text": "Search for standard tiddlers"
},
"$:/language/Search/Standard/Matches": {
"title": "$:/language/Search/Standard/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/Search/System/Caption": {
"title": "$:/language/Search/System/Caption",
"text": "System"
},
"$:/language/Search/System/Hint": {
"title": "$:/language/Search/System/Hint",
"text": "Search for system tiddlers"
},
"$:/language/Search/System/Matches": {
"title": "$:/language/Search/System/Matches",
"text": "//<small><<resultCount>> matches</small>//"
},
"$:/language/SideBar/All/Caption": {
"title": "$:/language/SideBar/All/Caption",
"text": "All"
},
"$:/language/SideBar/Contents/Caption": {
"title": "$:/language/SideBar/Contents/Caption",
"text": "Contents"
},
"$:/language/SideBar/Drafts/Caption": {
"title": "$:/language/SideBar/Drafts/Caption",
"text": "Drafts"
},
"$:/language/SideBar/Explorer/Caption": {
"title": "$:/language/SideBar/Explorer/Caption",
"text": "Explorer"
},
"$:/language/SideBar/Missing/Caption": {
"title": "$:/language/SideBar/Missing/Caption",
"text": "Missing"
},
"$:/language/SideBar/More/Caption": {
"title": "$:/language/SideBar/More/Caption",
"text": "More"
},
"$:/language/SideBar/Open/Caption": {
"title": "$:/language/SideBar/Open/Caption",
"text": "Open"
},
"$:/language/SideBar/Orphans/Caption": {
"title": "$:/language/SideBar/Orphans/Caption",
"text": "Orphans"
},
"$:/language/SideBar/Recent/Caption": {
"title": "$:/language/SideBar/Recent/Caption",
"text": "Recent"
},
"$:/language/SideBar/Shadows/Caption": {
"title": "$:/language/SideBar/Shadows/Caption",
"text": "Shadows"
},
"$:/language/SideBar/System/Caption": {
"title": "$:/language/SideBar/System/Caption",
"text": "System"
},
"$:/language/SideBar/Tags/Caption": {
"title": "$:/language/SideBar/Tags/Caption",
"text": "Tags"
},
"$:/language/SideBar/Tags/Untagged/Caption": {
"title": "$:/language/SideBar/Tags/Untagged/Caption",
"text": "untagged"
},
"$:/language/SideBar/Tools/Caption": {
"title": "$:/language/SideBar/Tools/Caption",
"text": "Tools"
},
"$:/language/SideBar/Types/Caption": {
"title": "$:/language/SideBar/Types/Caption",
"text": "Types"
},
"$:/SiteSubtitle": {
"title": "$:/SiteSubtitle",
"text": "a non-linear personal web notebook"
},
"$:/SiteTitle": {
"title": "$:/SiteTitle",
"text": "My ~TiddlyWiki"
},
"$:/language/Snippets/ListByTag": {
"title": "$:/language/Snippets/ListByTag",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "List of tiddlers by tag",
"text": "<<list-links \"[tag[task]sort[title]]\">>\n"
},
"$:/language/Snippets/MacroDefinition": {
"title": "$:/language/Snippets/MacroDefinition",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Macro definition",
"text": "\\define macroName(param1:\"default value\",param2)\nText of the macro\n\\end\n"
},
"$:/language/Snippets/Table4x3": {
"title": "$:/language/Snippets/Table4x3",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Table with 4 columns by 3 rows",
"text": "|! |!Alpha |!Beta |!Gamma |!Delta |\n|!One | | | | |\n|!Two | | | | |\n|!Three | | | | |\n"
},
"$:/language/Snippets/TableOfContents": {
"title": "$:/language/Snippets/TableOfContents",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Table of Contents",
"text": "<div class=\"tc-table-of-contents\">\n\n<<toc-selective-expandable 'TableOfContents'>>\n\n</div>"
},
"$:/language/ThemeTweaks/ThemeTweaks": {
"title": "$:/language/ThemeTweaks/ThemeTweaks",
"text": "Theme Tweaks"
},
"$:/language/ThemeTweaks/ThemeTweaks/Hint": {
"title": "$:/language/ThemeTweaks/ThemeTweaks/Hint",
"text": "You can tweak certain aspects of the ''Vanilla'' theme."
},
"$:/language/ThemeTweaks/Options": {
"title": "$:/language/ThemeTweaks/Options",
"text": "Options"
},
"$:/language/ThemeTweaks/Options/SidebarLayout": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout",
"text": "Sidebar layout"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid",
"text": "Fixed story, fluid sidebar"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed",
"text": "Fluid story, fixed sidebar"
},
"$:/language/ThemeTweaks/Options/StickyTitles": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles",
"text": "Sticky titles"
},
"$:/language/ThemeTweaks/Options/StickyTitles/Hint": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles/Hint",
"text": "Causes tiddler titles to \"stick\" to the top of the browser window"
},
"$:/language/ThemeTweaks/Options/CodeWrapping": {
"title": "$:/language/ThemeTweaks/Options/CodeWrapping",
"text": "Wrap long lines in code blocks"
},
"$:/language/ThemeTweaks/Settings": {
"title": "$:/language/ThemeTweaks/Settings",
"text": "Settings"
},
"$:/language/ThemeTweaks/Settings/FontFamily": {
"title": "$:/language/ThemeTweaks/Settings/FontFamily",
"text": "Font family"
},
"$:/language/ThemeTweaks/Settings/CodeFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/CodeFontFamily",
"text": "Code font family"
},
"$:/language/ThemeTweaks/Settings/EditorFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/EditorFontFamily",
"text": "Editor font family"
},
"$:/language/ThemeTweaks/Settings/BackgroundImage": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImage",
"text": "Page background image"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment",
"text": "Page background image attachment"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll",
"text": "Scroll with tiddlers"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed",
"text": "Fixed to window"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize",
"text": "Page background image size"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Auto",
"text": "Auto"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover",
"text": "Cover"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain",
"text": "Contain"
},
"$:/language/ThemeTweaks/Metrics": {
"title": "$:/language/ThemeTweaks/Metrics",
"text": "Sizes"
},
"$:/language/ThemeTweaks/Metrics/FontSize": {
"title": "$:/language/ThemeTweaks/Metrics/FontSize",
"text": "Font size"
},
"$:/language/ThemeTweaks/Metrics/LineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/LineHeight",
"text": "Line height"
},
"$:/language/ThemeTweaks/Metrics/BodyFontSize": {
"title": "$:/language/ThemeTweaks/Metrics/BodyFontSize",
"text": "Font size for tiddler body"
},
"$:/language/ThemeTweaks/Metrics/BodyLineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/BodyLineHeight",
"text": "Line height for tiddler body"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft",
"text": "Story left position"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft/Hint",
"text": "how far the left margin of the story river<br>(tiddler area) is from the left of the page"
},
"$:/language/ThemeTweaks/Metrics/StoryTop": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop",
"text": "Story top position"
},
"$:/language/ThemeTweaks/Metrics/StoryTop/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop/Hint",
"text": "how far the top margin of the story river<br>is from the top of the page"
},
"$:/language/ThemeTweaks/Metrics/StoryRight": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight",
"text": "Story right"
},
"$:/language/ThemeTweaks/Metrics/StoryRight/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight/Hint",
"text": "how far the left margin of the sidebar <br>is from the left of the page"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth",
"text": "Story width"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth/Hint",
"text": "the overall width of the story river"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth",
"text": "Tiddler width"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint",
"text": "within the story river"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint",
"text": "Sidebar breakpoint"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint",
"text": "the minimum page width at which the story<br>river and sidebar will appear side by side"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth",
"text": "Sidebar width"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint",
"text": "the width of the sidebar in fluid-fixed layout"
},
"$:/language/TiddlerInfo/Advanced/Caption": {
"title": "$:/language/TiddlerInfo/Advanced/Caption",
"text": "Advanced"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint",
"text": "none"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Heading",
"text": "Plugin Details"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Hint",
"text": "This plugin contains the following shadow tiddlers:"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading",
"text": "Shadow Status"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint",
"text": "The tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> is not a shadow tiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint",
"text": "The tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> is a shadow tiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source",
"text": "It is defined in the plugin <$link to=<<pluginTiddler>>><$text text=<<pluginTiddler>>/></$link>"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint",
"text": "It is overridden by an ordinary tiddler"
},
"$:/language/TiddlerInfo/Fields/Caption": {
"title": "$:/language/TiddlerInfo/Fields/Caption",
"text": "Fields"
},
"$:/language/TiddlerInfo/List/Caption": {
"title": "$:/language/TiddlerInfo/List/Caption",
"text": "List"
},
"$:/language/TiddlerInfo/List/Empty": {
"title": "$:/language/TiddlerInfo/List/Empty",
"text": "This tiddler does not have a list"
},
"$:/language/TiddlerInfo/Listed/Caption": {
"title": "$:/language/TiddlerInfo/Listed/Caption",
"text": "Listed"
},
"$:/language/TiddlerInfo/Listed/Empty": {
"title": "$:/language/TiddlerInfo/Listed/Empty",
"text": "This tiddler is not listed by any others"
},
"$:/language/TiddlerInfo/References/Caption": {
"title": "$:/language/TiddlerInfo/References/Caption",
"text": "Backlinks"
},
"$:/language/TiddlerInfo/References/Empty": {
"title": "$:/language/TiddlerInfo/References/Empty",
"text": "No tiddlers link to this one"
},
"$:/language/TiddlerInfo/Tagging/Caption": {
"title": "$:/language/TiddlerInfo/Tagging/Caption",
"text": "Tagging"
},
"$:/language/TiddlerInfo/Tagging/Empty": {
"title": "$:/language/TiddlerInfo/Tagging/Empty",
"text": "No tiddlers are tagged with this one"
},
"$:/language/TiddlerInfo/Tools/Caption": {
"title": "$:/language/TiddlerInfo/Tools/Caption",
"text": "Tools"
},
"$:/language/Docs/Types/application/javascript": {
"title": "$:/language/Docs/Types/application/javascript",
"description": "JavaScript code",
"name": "application/javascript",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/application/json": {
"title": "$:/language/Docs/Types/application/json",
"description": "JSON data",
"name": "application/json",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/application/x-tiddler-dictionary": {
"title": "$:/language/Docs/Types/application/x-tiddler-dictionary",
"description": "Data dictionary",
"name": "application/x-tiddler-dictionary",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/image/gif": {
"title": "$:/language/Docs/Types/image/gif",
"description": "GIF image",
"name": "image/gif",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/jpeg": {
"title": "$:/language/Docs/Types/image/jpeg",
"description": "JPEG image",
"name": "image/jpeg",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/png": {
"title": "$:/language/Docs/Types/image/png",
"description": "PNG image",
"name": "image/png",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/svg+xml": {
"title": "$:/language/Docs/Types/image/svg+xml",
"description": "Structured Vector Graphics image",
"name": "image/svg+xml",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/image/x-icon": {
"title": "$:/language/Docs/Types/image/x-icon",
"description": "ICO format icon file",
"name": "image/x-icon",
"group": "Image",
"group-sort": "1"
},
"$:/language/Docs/Types/text/css": {
"title": "$:/language/Docs/Types/text/css",
"description": "Static stylesheet",
"name": "text/css",
"group": "Developer",
"group-sort": "2"
},
"$:/language/Docs/Types/text/html": {
"title": "$:/language/Docs/Types/text/html",
"description": "HTML markup",
"name": "text/html",
"group": "Text",
"group-sort": "0"
},
"$:/language/Docs/Types/text/plain": {
"title": "$:/language/Docs/Types/text/plain",
"description": "Plain text",
"name": "text/plain",
"group": "Text",
"group-sort": "0"
},
"$:/language/Docs/Types/text/vnd.tiddlywiki": {
"title": "$:/language/Docs/Types/text/vnd.tiddlywiki",
"description": "TiddlyWiki 5",
"name": "text/vnd.tiddlywiki",
"group": "Text",
"group-sort": "0"
},
"$:/language/Docs/Types/text/x-tiddlywiki": {
"title": "$:/language/Docs/Types/text/x-tiddlywiki",
"description": "TiddlyWiki Classic",
"name": "text/x-tiddlywiki",
"group": "Text",
"group-sort": "0"
},
"$:/languages/en-GB/icon": {
"title": "$:/languages/en-GB/icon",
"type": "image/svg+xml",
"text": "<svg xmlns=\"http://www.w3.org/2000/svg\" viewBox=\"0 0 60 30\" width=\"1200\" height=\"600\">\n<clipPath id=\"t\">\n\t<path d=\"M30,15 h30 v15 z v15 h-30 z h-30 v-15 z v-15 h30 z\"/>\n</clipPath>\n<path d=\"M0,0 v30 h60 v-30 z\" fill=\"#00247d\"/>\n<path d=\"M0,0 L60,30 M60,0 L0,30\" stroke=\"#fff\" stroke-width=\"6\"/>\n<path d=\"M0,0 L60,30 M60,0 L0,30\" clip-path=\"url(#t)\" stroke=\"#cf142b\" stroke-width=\"4\"/>\n<path d=\"M30,0 v30 M0,15 h60\" stroke=\"#fff\" stroke-width=\"10\"/>\n<path d=\"M30,0 v30 M0,15 h60\" stroke=\"#cf142b\" stroke-width=\"6\"/>\n</svg>\n"
},
"$:/languages/en-GB": {
"title": "$:/languages/en-GB",
"name": "en-GB",
"description": "English (British)",
"author": "JeremyRuston",
"core-version": ">=5.0.0\"",
"text": "Stub pseudo-plugin for the default language"
},
"$:/core/modules/commander.js": {
"title": "$:/core/modules/commander.js",
"text": "/*\\\ntitle: $:/core/modules/commander.js\ntype: application/javascript\nmodule-type: global\n\nThe $tw.Commander class is a command interpreter\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nParse a sequence of commands\n\tcommandTokens: an array of command string tokens\n\twiki: reference to the wiki store object\n\tstreams: {output:, error:}, each of which has a write(string) method\n\tcallback: a callback invoked as callback(err) where err is null if there was no error\n*/\nvar Commander = function(commandTokens,callback,wiki,streams) {\n\tvar path = require(\"path\");\n\tthis.commandTokens = commandTokens;\n\tthis.nextToken = 0;\n\tthis.callback = callback;\n\tthis.wiki = wiki;\n\tthis.streams = streams;\n\tthis.outputPath = path.resolve($tw.boot.wikiPath,$tw.config.wikiOutputSubDir);\n};\n\n/*\nLog a string if verbose flag is set\n*/\nCommander.prototype.log = function(str) {\n\tif(this.verbose) {\n\t\tthis.streams.output.write(str + \"\\n\");\n\t}\n};\n\n/*\nWrite a string if verbose flag is set\n*/\nCommander.prototype.write = function(str) {\n\tif(this.verbose) {\n\t\tthis.streams.output.write(str);\n\t}\n};\n\n/*\nAdd a string of tokens to the command queue\n*/\nCommander.prototype.addCommandTokens = function(commandTokens) {\n\tvar params = commandTokens.slice(0);\n\tparams.unshift(0);\n\tparams.unshift(this.nextToken);\n\tArray.prototype.splice.apply(this.commandTokens,params);\n};\n\n/*\nExecute the sequence of commands and invoke a callback on completion\n*/\nCommander.prototype.execute = function() {\n\tthis.executeNextCommand();\n};\n\n/*\nExecute the next command in the sequence\n*/\nCommander.prototype.executeNextCommand = function() {\n\tvar self = this;\n\t// Invoke the callback if there are no more commands\n\tif(this.nextToken >= this.commandTokens.length) {\n\t\tthis.callback(null);\n\t} else {\n\t\t// Get and check the command token\n\t\tvar commandName = this.commandTokens[this.nextToken++];\n\t\tif(commandName.substr(0,2) !== \"--\") {\n\t\t\tthis.callback(\"Missing command: \" + commandName);\n\t\t} else {\n\t\t\tcommandName = commandName.substr(2); // Trim off the --\n\t\t\t// Accumulate the parameters to the command\n\t\t\tvar params = [];\n\t\t\twhile(this.nextToken < this.commandTokens.length && \n\t\t\t\tthis.commandTokens[this.nextToken].substr(0,2) !== \"--\") {\n\t\t\t\tparams.push(this.commandTokens[this.nextToken++]);\n\t\t\t}\n\t\t\t// Get the command info\n\t\t\tvar command = $tw.commands[commandName],\n\t\t\t\tc,err;\n\t\t\tif(!command) {\n\t\t\t\tthis.callback(\"Unknown command: \" + commandName);\n\t\t\t} else {\n\t\t\t\tif(this.verbose) {\n\t\t\t\t\tthis.streams.output.write(\"Executing command: \" + commandName + \" \" + params.join(\" \") + \"\\n\");\n\t\t\t\t}\n\t\t\t\t// Parse named parameters if required\n\t\t\t\tif(command.info.namedParameterMode) {\n\t\t\t\t\tparams = this.extractNamedParameters(params,command.info.mandatoryParameters);\n\t\t\t\t\tif(typeof params === \"string\") {\n\t\t\t\t\t\treturn this.callback(params);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tif(command.info.synchronous) {\n\t\t\t\t\t// Synchronous command\n\t\t\t\t\tc = new command.Command(params,this);\n\t\t\t\t\terr = c.execute();\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\tthis.callback(err);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tthis.executeNextCommand();\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\t// Asynchronous command\n\t\t\t\t\tc = new command.Command(params,this,function(err) {\n\t\t\t\t\t\tif(err) {\n\t\t\t\t\t\t\tself.callback(err);\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\tself.executeNextCommand();\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\t\terr = c.execute();\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\tthis.callback(err);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n};\n\n/*\nGiven an array of parameter strings `params` in name:value format, and an array of mandatory parameter names in `mandatoryParameters`, returns a hashmap of values or a string if error\n*/\nCommander.prototype.extractNamedParameters = function(params,mandatoryParameters) {\n\tmandatoryParameters = mandatoryParameters || [];\n\tvar errors = [],\n\t\tparamsByName = Object.create(null);\n\t// Extract the parameters\n\t$tw.utils.each(params,function(param) {\n\t\tvar index = param.indexOf(\"=\");\n\t\tif(index < 1) {\n\t\t\terrors.push(\"malformed named parameter: '\" + param + \"'\");\n\t\t}\n\t\tparamsByName[param.slice(0,index)] = $tw.utils.trim(param.slice(index+1));\n\t});\n\t// Check the mandatory parameters are present\n\t$tw.utils.each(mandatoryParameters,function(mandatoryParameter) {\n\t\tif(!$tw.utils.hop(paramsByName,mandatoryParameter)) {\n\t\t\terrors.push(\"missing mandatory parameter: '\" + mandatoryParameter + \"'\");\n\t\t}\n\t});\n\t// Return any errors\n\tif(errors.length > 0) {\n\t\treturn errors.join(\" and\\n\");\n\t} else {\n\t\treturn paramsByName;\t\t\n\t}\n};\n\nCommander.initCommands = function(moduleType) {\n\tmoduleType = moduleType || \"command\";\n\t$tw.commands = {};\n\t$tw.modules.forEachModuleOfType(moduleType,function(title,module) {\n\t\tvar c = $tw.commands[module.info.name] = {};\n\t\t// Add the methods defined by the module\n\t\tfor(var f in module) {\n\t\t\tif($tw.utils.hop(module,f)) {\n\t\t\t\tc[f] = module[f];\n\t\t\t}\n\t\t}\n\t});\n};\n\nexports.Commander = Commander;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/commands/build.js": {
"title": "$:/core/modules/commands/build.js",
"text": "/*\\\ntitle: $:/core/modules/commands/build.js\ntype: application/javascript\nmodule-type: command\n\nCommand to build a build target\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"build\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\t// Get the build targets defined in the wiki\n\tvar buildTargets = $tw.boot.wikiInfo.build;\n\tif(!buildTargets) {\n\t\treturn \"No build targets defined\";\n\t}\n\t// Loop through each of the specified targets\n\tvar targets;\n\tif(this.params.length > 0) {\n\t\ttargets = this.params;\n\t} else {\n\t\ttargets = Object.keys(buildTargets);\n\t}\n\tfor(var targetIndex=0; targetIndex<targets.length; targetIndex++) {\n\t\tvar target = targets[targetIndex],\n\t\t\tcommands = buildTargets[target];\n\t\tif(!commands) {\n\t\t\treturn \"Build target '\" + target + \"' not found\";\n\t\t}\n\t\t// Add the commands to the queue\n\t\tthis.commander.addCommandTokens(commands);\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/clearpassword.js": {
"title": "$:/core/modules/commands/clearpassword.js",
"text": "/*\\\ntitle: $:/core/modules/commands/clearpassword.js\ntype: application/javascript\nmodule-type: command\n\nClear password for crypto operations\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"clearpassword\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\t$tw.crypto.setPassword(null);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/deletetiddlers.js": {
"title": "$:/core/modules/commands/deletetiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/deletetiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to delete tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"deletetiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filter\";\n\t}\n\tvar self = this,\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\twiki.deleteTiddler(title);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/editions.js": {
"title": "$:/core/modules/commands/editions.js",
"text": "/*\\\ntitle: $:/core/modules/commands/editions.js\ntype: application/javascript\nmodule-type: command\n\nCommand to list the available editions\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"editions\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this;\n\t// Output the list\n\tthis.commander.streams.output.write(\"Available editions:\\n\\n\");\n\tvar editionInfo = $tw.utils.getEditionInfo();\n\t$tw.utils.each(editionInfo,function(info,name) {\n\t\tself.commander.streams.output.write(\" \" + name + \": \" + info.description + \"\\n\");\n\t});\n\tthis.commander.streams.output.write(\"\\n\");\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/fetch.js": {
"title": "$:/core/modules/commands/fetch.js",
"text": "/*\\\ntitle: $:/core/modules/commands/fetch.js\ntype: application/javascript\nmodule-type: command\n\nCommands to fetch external tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"fetch\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing subcommand and url\";\n\t}\n\tswitch(this.params[0]) {\n\t\tcase \"raw-file\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\traw: true,\n\t\t\t\turl: this.params[1],\n\t\t\t\ttransformFilter: this.params[2] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t\tcase \"file\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\turl: this.params[1],\n\t\t\t\timportFilter: this.params[2],\n\t\t\t\ttransformFilter: this.params[3] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t\tcase \"raw-files\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\traw: true,\n\t\t\t\turlFilter: this.params[1],\n\t\t\t\ttransformFilter: this.params[2] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t\tcase \"files\":\n\t\t\treturn this.fetchFiles({\n\t\t\t\turlFilter: this.params[1],\n\t\t\t\timportFilter: this.params[2],\n\t\t\t\ttransformFilter: this.params[3] || \"\",\n\t\t\t\tcallback: this.callback\n\t\t\t});\n\t\t\tbreak;\n\t}\n\treturn null;\n};\n\nCommand.prototype.fetchFiles = function(options) {\n\tvar self = this;\n\t// Get the list of URLs\n\tvar urls;\n\tif(options.url) {\n\t\turls = [options.url]\n\t} else if(options.urlFilter) {\n\t\turls = this.commander.wiki.filterTiddlers(options.urlFilter);\n\t} else {\n\t\treturn \"Missing URL\";\n\t}\n\t// Process each URL in turn\n\tvar next = 0;\n\tvar getNextFile = function(err) {\n\t\tif(err) {\n\t\t\treturn options.callback(err);\n\t\t}\n\t\tif(next < urls.length) {\n\t\t\tself.fetchFile(urls[next++],options,getNextFile);\n\t\t} else {\n\t\t\toptions.callback(null);\n\t\t}\n\t};\n\tgetNextFile(null);\n\t// Success\n\treturn null;\n};\n\nCommand.prototype.fetchFile = function(url,options,callback,redirectCount) {\n\tif(redirectCount > 10) {\n\t\treturn callback(\"Error too many redirects retrieving \" + url);\n\t}\n\tvar self = this,\n\t\tlib = url.substr(0,8) === \"https://\" ? require(\"https\") : require(\"http\");\n\tlib.get(url).on(\"response\",function(response) {\n\t var type = (response.headers[\"content-type\"] || \"\").split(\";\")[0],\n\t \tdata = [];\n\t self.commander.write(\"Reading \" + url + \": \");\n\t response.on(\"data\",function(chunk) {\n\t data.push(chunk);\n\t self.commander.write(\".\");\n\t });\n\t response.on(\"end\",function() {\n\t self.commander.write(\"\\n\");\n\t if(response.statusCode === 200) {\n\t\t self.processBody(Buffer.concat(data),type,options,url);\n\t\t callback(null);\n\t } else {\n\t \tif(response.statusCode === 302 || response.statusCode === 303 || response.statusCode === 307) {\n\t \t\treturn self.fetchFile(response.headers.location,options,callback,redirectCount + 1);\n\t \t} else {\n\t\t \treturn callback(\"Error \" + response.statusCode + \" retrieving \" + url)\t \t\t\n\t \t}\n\t }\n\t \t});\n\t \tresponse.on(\"error\",function(e) {\n\t\t\tconsole.log(\"Error on GET request: \" + e);\n\t\t\tcallback(e);\n\t \t});\n\t});\n\treturn null;\n};\n\nCommand.prototype.processBody = function(body,type,options,url) {\n\tvar self = this;\n\t// Collect the tiddlers in a wiki\n\tvar incomingWiki = new $tw.Wiki();\n\tif(options.raw) {\n\t\tvar typeInfo = type ? $tw.config.contentTypeInfo[type] : null,\n\t\t\tencoding = typeInfo ? typeInfo.encoding : \"utf8\";\n\t\tincomingWiki.addTiddler(new $tw.Tiddler({\n\t\t\ttitle: url,\n\t\t\ttype: type,\n\t\t\ttext: body.toString(encoding)\n\t\t}));\n\t} else {\n\t\t// Deserialise the file to extract the tiddlers\n\t\tvar tiddlers = this.commander.wiki.deserializeTiddlers(type || \"text/html\",body.toString(\"utf8\"),{});\n\t\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\t\tincomingWiki.addTiddler(new $tw.Tiddler(tiddler));\n\t\t});\n\t}\n\t// Filter the tiddlers to select the ones we want\n\tvar filteredTitles = incomingWiki.filterTiddlers(options.importFilter || \"[all[tiddlers]]\");\n\t// Import the selected tiddlers\n\tvar count = 0;\n\tincomingWiki.each(function(tiddler,title) {\n\t\tif(filteredTitles.indexOf(title) !== -1) {\n\t\t\tvar newTiddler;\n\t\t\tif(options.transformFilter) {\n\t\t\t\tvar transformedTitle = (incomingWiki.filterTiddlers(options.transformFilter,null,self.commander.wiki.makeTiddlerIterator([title])) || [\"\"])[0];\n\t\t\t\tif(transformedTitle) {\n\t\t\t\t\tself.commander.log(\"Importing \" + title + \" as \" + transformedTitle)\n\t\t\t\t\tnewTiddler = new $tw.Tiddler(tiddler,{title: transformedTitle});\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tself.commander.log(\"Importing \" + title)\n\t\t\t\tnewTiddler = tiddler;\n\t\t\t}\n\t\t\tself.commander.wiki.importTiddler(newTiddler);\n\t\t\tcount++;\n\t\t}\n\t});\n\tself.commander.log(\"Imported \" + count + \" tiddlers\")\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/help.js": {
"title": "$:/core/modules/commands/help.js",
"text": "/*\\\ntitle: $:/core/modules/commands/help.js\ntype: application/javascript\nmodule-type: command\n\nHelp command\n\n\\*/\n(function(){\n\n/*jshint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"help\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tvar subhelp = this.params[0] || \"default\",\n\t\thelpBase = \"$:/language/Help/\",\n\t\ttext;\n\tif(!this.commander.wiki.getTiddler(helpBase + subhelp)) {\n\t\tsubhelp = \"notfound\";\n\t}\n\t// Wikify the help as formatted text (ie block elements generate newlines)\n\ttext = this.commander.wiki.renderTiddler(\"text/plain-formatted\",helpBase + subhelp);\n\t// Remove any leading linebreaks\n\ttext = text.replace(/^(\\r?\\n)*/g,\"\");\n\tthis.commander.streams.output.write(text);\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/import.js": {
"title": "$:/core/modules/commands/import.js",
"text": "/*\\\ntitle: $:/core/modules/commands/import.js\ntype: application/javascript\nmodule-type: command\n\nCommand to import tiddlers from a file\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"import\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\tif(this.params.length < 2) {\n\t\treturn \"Missing parameters\";\n\t}\n\tvar filename = self.params[0],\n\t\tdeserializer = self.params[1],\n\t\ttitle = self.params[2] || filename,\n\t\tencoding = self.params[3] || \"utf8\",\n\t\ttext = fs.readFileSync(filename,encoding),\n\t\ttiddlers = this.commander.wiki.deserializeTiddlers(null,text,{title: title},{deserializer: deserializer});\n\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\tself.commander.wiki.importTiddler(new $tw.Tiddler(tiddler));\n\t});\n\tthis.commander.log(tiddlers.length + \" tiddler(s) imported\");\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/init.js": {
"title": "$:/core/modules/commands/init.js",
"text": "/*\\\ntitle: $:/core/modules/commands/init.js\ntype: application/javascript\nmodule-type: command\n\nCommand to initialise an empty wiki folder\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"init\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tvar fs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\t// Check that we don't already have a valid wiki folder\n\tif($tw.boot.wikiTiddlersPath || ($tw.utils.isDirectory($tw.boot.wikiPath) && !$tw.utils.isDirectoryEmpty($tw.boot.wikiPath))) {\n\t\treturn \"Wiki folder is not empty\";\n\t}\n\t// Loop through each of the specified editions\n\tvar editions = this.params.length > 0 ? this.params : [\"empty\"];\n\tfor(var editionIndex=0; editionIndex<editions.length; editionIndex++) {\n\t\tvar editionName = editions[editionIndex];\n\t\t// Check the edition exists\n\t\tvar editionPath = $tw.findLibraryItem(editionName,$tw.getLibraryItemSearchPaths($tw.config.editionsPath,$tw.config.editionsEnvVar));\n\t\tif(!$tw.utils.isDirectory(editionPath)) {\n\t\t\treturn \"Edition '\" + editionName + \"' not found\";\n\t\t}\n\t\t// Copy the edition content\n\t\tvar err = $tw.utils.copyDirectory(editionPath,$tw.boot.wikiPath);\n\t\tif(!err) {\n\t\t\tthis.commander.streams.output.write(\"Copied edition '\" + editionName + \"' to \" + $tw.boot.wikiPath + \"\\n\");\n\t\t} else {\n\t\t\treturn err;\n\t\t}\n\t}\n\t// Tweak the tiddlywiki.info to remove any included wikis\n\tvar packagePath = $tw.boot.wikiPath + \"/tiddlywiki.info\",\n\t\tpackageJson = JSON.parse(fs.readFileSync(packagePath));\n\tdelete packageJson.includeWikis;\n\tfs.writeFileSync(packagePath,JSON.stringify(packageJson,null,$tw.config.preferences.jsonSpaces));\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/listen.js": {
"title": "$:/core/modules/commands/listen.js",
"text": "/*\\\ntitle: $:/core/modules/commands/listen.js\ntype: application/javascript\nmodule-type: command\n\nListen for HTTP requests and serve tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Server = require(\"$:/core/modules/server/server.js\").Server;\n\nexports.info = {\n\tname: \"listen\",\n\tsynchronous: true,\n\tnamedParameterMode: true,\n\tmandatoryParameters: [],\n};\n\nvar Command = function(params,commander,callback) {\n\tvar self = this;\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this;\n\tif(!$tw.boot.wikiTiddlersPath) {\n\t\t$tw.utils.warning(\"Warning: Wiki folder '\" + $tw.boot.wikiPath + \"' does not exist or is missing a tiddlywiki.info file\");\n\t}\n\t// Set up server\n\tthis.server = new Server({\n\t\twiki: this.commander.wiki,\n\t\tvariables: self.params\n\t});\n\tvar nodeServer = this.server.listen();\n\t$tw.hooks.invokeHook(\"th-server-command-post-start\",this.server,nodeServer,\"tiddlywiki\");\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/load.js": {
"title": "$:/core/modules/commands/load.js",
"text": "/*\\\ntitle: $:/core/modules/commands/load.js\ntype: application/javascript\nmodule-type: command\n\nCommand to load tiddlers from a file or directory\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"load\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar tiddlers = $tw.loadTiddlersFromPath(self.params[0]),\n\t\tcount = 0;\n\t$tw.utils.each(tiddlers,function(tiddlerInfo) {\n\t\t$tw.utils.each(tiddlerInfo.tiddlers,function(tiddler) {\n\t\t\tself.commander.wiki.importTiddler(new $tw.Tiddler(tiddler));\n\t\t\tcount++;\n\t\t});\n\t});\n\tif(!count && self.params[1] !== \"noerror\") {\n\t\tself.callback(\"No tiddlers found in file \\\"\" + self.params[0] + \"\\\"\");\n\t} else {\n\t\tself.callback(null);\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/makelibrary.js": {
"title": "$:/core/modules/commands/makelibrary.js",
"text": "/*\\\ntitle: $:/core/modules/commands/makelibrary.js\ntype: application/javascript\nmodule-type: command\n\nCommand to pack all of the plugins in the library into a plugin tiddler of type \"library\"\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"makelibrary\",\n\tsynchronous: true\n};\n\nvar UPGRADE_LIBRARY_TITLE = \"$:/UpgradeLibrary\";\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar wiki = this.commander.wiki,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\tupgradeLibraryTitle = this.params[0] || UPGRADE_LIBRARY_TITLE,\n\t\ttiddlers = {};\n\t// Collect up the library plugins\n\tvar collectPlugins = function(folder) {\n\t\t\tvar pluginFolders = $tw.utils.getSubdirectories(folder) || [];\n\t\t\tfor(var p=0; p<pluginFolders.length; p++) {\n\t\t\t\tif(!$tw.boot.excludeRegExp.test(pluginFolders[p])) {\n\t\t\t\t\tpluginFields = $tw.loadPluginFolder(path.resolve(folder,\"./\" + pluginFolders[p]));\n\t\t\t\t\tif(pluginFields && pluginFields.title) {\n\t\t\t\t\t\ttiddlers[pluginFields.title] = pluginFields;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t},\n\t\tcollectPublisherPlugins = function(folder) {\n\t\t\tvar publisherFolders = $tw.utils.getSubdirectories(folder) || [];\n\t\t\tfor(var t=0; t<publisherFolders.length; t++) {\n\t\t\t\tif(!$tw.boot.excludeRegExp.test(publisherFolders[t])) {\n\t\t\t\t\tcollectPlugins(path.resolve(folder,\"./\" + publisherFolders[t]));\n\t\t\t\t}\n\t\t\t}\n\t\t};\n\t$tw.utils.each($tw.getLibraryItemSearchPaths($tw.config.pluginsPath,$tw.config.pluginsEnvVar),collectPublisherPlugins);\n\t$tw.utils.each($tw.getLibraryItemSearchPaths($tw.config.themesPath,$tw.config.themesEnvVar),collectPublisherPlugins);\n\t$tw.utils.each($tw.getLibraryItemSearchPaths($tw.config.languagesPath,$tw.config.languagesEnvVar),collectPlugins);\n\t// Save the upgrade library tiddler\n\tvar pluginFields = {\n\t\ttitle: upgradeLibraryTitle,\n\t\ttype: \"application/json\",\n\t\t\"plugin-type\": \"library\",\n\t\t\"text\": JSON.stringify({tiddlers: tiddlers})\n\t};\n\twiki.addTiddler(new $tw.Tiddler(pluginFields));\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/output.js": {
"title": "$:/core/modules/commands/output.js",
"text": "/*\\\ntitle: $:/core/modules/commands/output.js\ntype: application/javascript\nmodule-type: command\n\nCommand to set the default output location (defaults to current working directory)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"output\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tvar fs = require(\"fs\"),\n\t\tpath = require(\"path\");\n\tif(this.params.length < 1) {\n\t\treturn \"Missing output path\";\n\t}\n\tthis.commander.outputPath = path.resolve(process.cwd(),this.params[0]);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/password.js": {
"title": "$:/core/modules/commands/password.js",
"text": "/*\\\ntitle: $:/core/modules/commands/password.js\ntype: application/javascript\nmodule-type: command\n\nSave password for crypto operations\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"password\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing password\";\n\t}\n\t$tw.crypto.setPassword(this.params[0]);\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/render.js": {
"title": "$:/core/modules/commands/render.js",
"text": "/*\\\ntitle: $:/core/modules/commands/render.js\ntype: application/javascript\nmodule-type: command\n\nRender individual tiddlers and save the results to the specified files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"render\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing tiddler filter\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\ttiddlerFilter = this.params[0],\n\t\tfilenameFilter = this.params[1] || \"[is[tiddler]addsuffix[.html]]\",\n\t\ttype = this.params[2] || \"text/html\",\n\t\ttemplate = this.params[3],\n\t\tvariableList = this.params.slice(4),\n\t\ttiddlers = wiki.filterTiddlers(tiddlerFilter),\n\t\tvariables = Object.create(null);\n\t\twhile(variableList.length >= 2) {\n\t\t\tvariables[variableList[0]] = variableList[1];\n\t\t\tvariableList = variableList.slice(2);\n\t\t}\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar parser = wiki.parseTiddler(template || title);\n\t\tvar widgetNode = wiki.makeWidget(parser,{variables: $tw.utils.extend({},variables,{currentTiddler: title})}),\n\t\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\t\twidgetNode.render(container,null);\n\t\tvar text = type === \"text/html\" ? container.innerHTML : container.textContent,\n\t\t\tfilepath = path.resolve(self.commander.outputPath,wiki.filterTiddlers(filenameFilter,$tw.rootWidget,wiki.makeTiddlerIterator([title]))[0]);\n\t\tif(self.commander.verbose) {\n\t\t\tconsole.log(\"Rendering \\\"\" + title + \"\\\" to \\\"\" + filepath + \"\\\"\");\n\t\t}\n\t\t$tw.utils.createFileDirectories(filepath);\n\t\tfs.writeFileSync(filepath,text,\"utf8\");\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/rendertiddler.js": {
"title": "$:/core/modules/commands/rendertiddler.js",
"text": "/*\\\ntitle: $:/core/modules/commands/rendertiddler.js\ntype: application/javascript\nmodule-type: command\n\nCommand to render a tiddler and save it to a file\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"rendertiddler\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\ttitle = this.params[0],\n\t\tfilename = path.resolve(this.commander.outputPath,this.params[1]),\n\t\ttype = this.params[2] || \"text/html\",\n\t\ttemplate = this.params[3],\n\t\tname = this.params[4],\n\t\tvalue = this.params[5],\n\t\tvariables = {};\n\t$tw.utils.createFileDirectories(filename);\n\tif(template) {\n\t\tvariables.currentTiddler = title;\n\t\ttitle = template;\n\t}\n\tif(name && value) {\n\t\tvariables[name] = value;\n\t}\n\tfs.writeFile(filename,this.commander.wiki.renderTiddler(type,title,{variables: variables}),\"utf8\",function(err) {\n\t\tself.callback(err);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/rendertiddlers.js": {
"title": "$:/core/modules/commands/rendertiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/rendertiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to render several tiddlers to a folder of files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"rendertiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\ttemplate = this.params[1],\n\t\toutputPath = this.commander.outputPath,\n\t\tpathname = path.resolve(outputPath,this.params[2]),\t\t\n\t\ttype = this.params[3] || \"text/html\",\n\t\textension = this.params[4] || \".html\",\n\t\tdeleteDirectory = (this.params[5] || \"\").toLowerCase() !== \"noclean\",\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\tif(deleteDirectory) {\n\t\t$tw.utils.deleteDirectory(pathname);\n\t}\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar parser = wiki.parseTiddler(template),\n\t\t\twidgetNode = wiki.makeWidget(parser,{variables: {currentTiddler: title}}),\n\t\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\t\twidgetNode.render(container,null);\n\t\tvar text = type === \"text/html\" ? container.innerHTML : container.textContent,\n\t\t\texportPath = null;\n\t\tif($tw.utils.hop($tw.macros,\"tv-get-export-path\")) {\n\t\t\tvar macroPath = $tw.macros[\"tv-get-export-path\"].run.apply(self,[title]);\n\t\t\tif(macroPath) {\n\t\t\t\texportPath = path.resolve(outputPath,macroPath + extension);\n\t\t\t}\n\t\t}\n\t\tvar finalPath = exportPath || path.resolve(pathname,encodeURIComponent(title) + extension);\n\t\t$tw.utils.createFileDirectories(finalPath);\n\t\tfs.writeFileSync(finalPath,text,\"utf8\");\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/save.js": {
"title": "$:/core/modules/commands/save.js",
"text": "/*\\\ntitle: $:/core/modules/commands/save.js\ntype: application/javascript\nmodule-type: command\n\nSaves individual tiddlers in their raw text or binary format to the specified files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"save\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filename filter\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\ttiddlerFilter = this.params[0],\n\t\tfilenameFilter = this.params[1] || \"[is[tiddler]]\",\n\t\ttiddlers = wiki.filterTiddlers(tiddlerFilter);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.commander.wiki.getTiddler(title),\n\t\t\ttype = tiddler.fields.type || \"text/vnd.tiddlywiki\",\n\t\t\tcontentTypeInfo = $tw.config.contentTypeInfo[type] || {encoding: \"utf8\"},\n\t\t\tfilepath = path.resolve(self.commander.outputPath,wiki.filterTiddlers(filenameFilter,$tw.rootWidget,wiki.makeTiddlerIterator([title]))[0]);\n\t\tif(self.commander.verbose) {\n\t\t\tconsole.log(\"Saving \\\"\" + title + \"\\\" to \\\"\" + filepath + \"\\\"\");\n\t\t}\n\t\t$tw.utils.createFileDirectories(filepath);\n\t\tfs.writeFileSync(filepath,tiddler.fields.text,contentTypeInfo.encoding);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savelibrarytiddlers.js": {
"title": "$:/core/modules/commands/savelibrarytiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savelibrarytiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save the subtiddlers of a bundle tiddler as a series of JSON files\n\n--savelibrarytiddlers <tiddler> <tiddler-filter> <pathname> <skinnylisting>\n\nThe tiddler identifies the bundle tiddler that contains the subtiddlers.\n\nThe tiddler filter specifies the plugins to be included.\n\nThe pathname specifies the pathname to the folder in which the JSON files should be saved. The filename is the URL encoded title of the subtiddler.\n\nThe skinnylisting specifies the title of the tiddler to which a JSON catalogue of the subtiddlers will be saved. The JSON file contains the same data as the bundle tiddler but with the `text` field removed.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"savelibrarytiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\tcontainerTitle = this.params[0],\n\t\tfilter = this.params[1],\n\t\tbasepath = this.params[2],\n\t\tskinnyListTitle = this.params[3];\n\t// Get the container tiddler as data\n\tvar containerData = self.commander.wiki.getTiddlerDataCached(containerTitle,undefined);\n\tif(!containerData) {\n\t\treturn \"'\" + containerTitle + \"' is not a tiddler bundle\";\n\t}\n\t// Filter the list of plugins\n\tvar pluginList = [];\n\t$tw.utils.each(containerData.tiddlers,function(tiddler,title) {\n\t\tpluginList.push(title);\n\t});\n\tvar filteredPluginList;\n\tif(filter) {\n\t\tfilteredPluginList = self.commander.wiki.filterTiddlers(filter,null,self.commander.wiki.makeTiddlerIterator(pluginList));\n\t} else {\n\t\tfilteredPluginList = pluginList;\n\t}\n\t// Iterate through the plugins\n\tvar skinnyList = [];\n\t$tw.utils.each(filteredPluginList,function(title) {\n\t\tvar tiddler = containerData.tiddlers[title];\n\t\t// Save each JSON file and collect the skinny data\n\t\tvar pathname = path.resolve(self.commander.outputPath,basepath + encodeURIComponent(title) + \".json\");\n\t\t$tw.utils.createFileDirectories(pathname);\n\t\tfs.writeFileSync(pathname,JSON.stringify(tiddler),\"utf8\");\n\t\t// Collect the skinny list data\n\t\tvar pluginTiddlers = JSON.parse(tiddler.text),\n\t\t\treadmeContent = (pluginTiddlers.tiddlers[title + \"/readme\"] || {}).text,\n\t\t\tdoesRequireReload = !!self.commander.wiki.doesPluginInfoRequireReload(pluginTiddlers),\n\t\t\ticonTiddler = pluginTiddlers.tiddlers[title + \"/icon\"] || {},\n\t\t\ticonType = iconTiddler.type,\n\t\t\ticonText = iconTiddler.text,\n\t\t\ticonContent;\n\t\tif(iconType && iconText) {\n\t\t\ticonContent = $tw.utils.makeDataUri(iconText,iconType);\n\t\t}\n\t\tskinnyList.push($tw.utils.extend({},tiddler,{\n\t\t\ttext: undefined,\n\t\t\treadme: readmeContent,\n\t\t\t\"requires-reload\": doesRequireReload ? \"yes\" : \"no\",\n\t\t\ticon: iconContent\n\t\t}));\n\t});\n\t// Save the catalogue tiddler\n\tif(skinnyListTitle) {\n\t\tself.commander.wiki.setTiddlerData(skinnyListTitle,skinnyList);\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savetiddler.js": {
"title": "$:/core/modules/commands/savetiddler.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savetiddler.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save the content of a tiddler to a file\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"savetiddler\",\n\tsynchronous: false\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 2) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\ttitle = this.params[0],\n\t\tfilename = path.resolve(this.commander.outputPath,this.params[1]),\n\t\ttiddler = this.commander.wiki.getTiddler(title);\n\tif(tiddler) {\n\t\tvar type = tiddler.fields.type || \"text/vnd.tiddlywiki\",\n\t\t\tcontentTypeInfo = $tw.config.contentTypeInfo[type] || {encoding: \"utf8\"};\n\t\t$tw.utils.createFileDirectories(filename);\n\t\tfs.writeFile(filename,tiddler.fields.text,contentTypeInfo.encoding,function(err) {\n\t\t\tself.callback(err);\n\t\t});\n\t} else {\n\t\treturn \"Missing tiddler: \" + title;\n\t}\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savetiddlers.js": {
"title": "$:/core/modules/commands/savetiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savetiddlers.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save several tiddlers to a folder of files\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"savetiddlers\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing filename\";\n\t}\n\tvar self = this,\n\t\tfs = require(\"fs\"),\n\t\tpath = require(\"path\"),\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\tpathname = path.resolve(this.commander.outputPath,this.params[1]),\n\t\tdeleteDirectory = (this.params[2] || \"\").toLowerCase() !== \"noclean\",\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\tif(deleteDirectory) {\n\t\t$tw.utils.deleteDirectory(pathname);\n\t}\n\t$tw.utils.createDirectory(pathname);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.commander.wiki.getTiddler(title),\n\t\t\ttype = tiddler.fields.type || \"text/vnd.tiddlywiki\",\n\t\t\tcontentTypeInfo = $tw.config.contentTypeInfo[type] || {encoding: \"utf8\"},\n\t\t\tfilename = path.resolve(pathname,encodeURIComponent(title));\n\t\tfs.writeFileSync(filename,tiddler.fields.text,contentTypeInfo.encoding);\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/savewikifolder.js": {
"title": "$:/core/modules/commands/savewikifolder.js",
"text": "/*\\\ntitle: $:/core/modules/commands/savewikifolder.js\ntype: application/javascript\nmodule-type: command\n\nCommand to save the current wiki as a wiki folder\n\n--savewikifolder <wikifolderpath> [<filter>]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"savewikifolder\",\n\tsynchronous: true\n};\n\nvar fs,path;\nif($tw.node) {\n\tfs = require(\"fs\");\n\tpath = require(\"path\");\n}\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing wiki folder path\";\n\t}\n\tvar wikifoldermaker = new WikiFolderMaker(this.params[0],this.params[1],this.commander);\n\treturn wikifoldermaker.save();\n};\n\nfunction WikiFolderMaker(wikiFolderPath,wikiFilter,commander) {\n\tthis.wikiFolderPath = wikiFolderPath;\n\tthis.wikiFilter = wikiFilter || \"[all[tiddlers]]\";\n\tthis.commander = commander;\n\tthis.wiki = commander.wiki;\n\tthis.savedPaths = []; // So that we can detect filename clashes\n}\n\nWikiFolderMaker.prototype.log = function(str) {\n\tif(this.commander.verbose) {\n\t\tconsole.log(str);\n\t}\n};\n\nWikiFolderMaker.prototype.tiddlersToIgnore = [\n\t\"$:/boot/boot.css\",\n\t\"$:/boot/boot.js\",\n\t\"$:/boot/bootprefix.js\",\n\t\"$:/core\",\n\t\"$:/library/sjcl.js\",\n\t\"$:/temp/info-plugin\"\n];\n\n/*\nReturns null if successful, or an error string if there was an error\n*/\nWikiFolderMaker.prototype.save = function() {\n\tvar self = this;\n\t// Check that the output directory doesn't exist\n\tif(fs.existsSync(this.wikiFolderPath) && !$tw.utils.isDirectoryEmpty(this.wikiFolderPath)) {\n\t\treturn \"The unpackwiki command requires that the output wiki folder be empty\";\n\t}\n\t// Get the tiddlers from the source wiki\n\tvar tiddlerTitles = this.wiki.filterTiddlers(this.wikiFilter);\n\t// Initialise a new tiddlwiki.info file\n\tvar newWikiInfo = {};\n\t// Process each incoming tiddler in turn\n\t$tw.utils.each(tiddlerTitles,function(title) {\n\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\tif(tiddler) {\n\t\t\tif(self.tiddlersToIgnore.indexOf(title) !== -1) {\n\t\t\t\t// Ignore the core plugin and the ephemeral info plugin\n\t\t\t\tself.log(\"Ignoring tiddler: \" + title);\n\t\t\t} else {\n\t\t\t\tvar type = tiddler.fields.type,\n\t\t\t\t\tpluginType = tiddler.fields[\"plugin-type\"];\n\t\t\t\tif(type === \"application/json\" && pluginType) {\n\t\t\t\t\t// Plugin tiddler\n\t\t\t\t\tvar libraryDetails = self.findPluginInLibrary(title);\n\t\t\t\t\tif(libraryDetails) {\n\t\t\t\t\t\t// A plugin from the core library\n\t\t\t\t\t\tself.log(\"Adding built-in plugin: \" + libraryDetails.name);\n\t\t\t\t\t\tnewWikiInfo[libraryDetails.type] = newWikiInfo[libraryDetails.type] || [];\n\t\t\t\t\t\t$tw.utils.pushTop(newWikiInfo[libraryDetails.type],libraryDetails.name);\n\t\t\t\t\t} else {\n\t\t\t\t\t\t// A custom plugin\n\t\t\t\t\t\tself.log(\"Processing custom plugin: \" + title);\n\t\t\t\t\t\tself.saveCustomPlugin(tiddler);\n\t\t\t\t\t}\t\t\t\t\n\t\t\t\t} else {\n\t\t\t\t\t// Ordinary tiddler\n\t\t\t\t\tself.saveTiddler(\"tiddlers\",tiddler);\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\t// Save the tiddlywiki.info file\n\tthis.saveJSONFile(\"tiddlywiki.info\",newWikiInfo);\n\tself.log(\"Writing tiddlywiki.info: \" + JSON.stringify(newWikiInfo,null,$tw.config.preferences.jsonSpaces));\n\treturn null;\n};\n\n/*\nTest whether the specified tiddler is a plugin in the plugin library\n*/\nWikiFolderMaker.prototype.findPluginInLibrary = function(title) {\n\tvar parts = title.split(\"/\"),\n\t\tpluginPath, type, name;\n\tif(parts[0] === \"$:\") {\n\t\tif(parts[1] === \"languages\" && parts.length === 3) {\n\t\t\tpluginPath = \"languages\" + path.sep + parts[2];\n\t\t\ttype = parts[1];\n\t\t\tname = parts[2];\n\t\t} else if(parts[1] === \"plugins\" || parts[1] === \"themes\" && parts.length === 4) {\n\t\t\tpluginPath = parts[1] + path.sep + parts[2] + path.sep + parts[3];\n\t\t\ttype = parts[1];\n\t\t\tname = parts[2] + \"/\" + parts[3];\n\t\t}\n\t}\n\tif(pluginPath && type && name) {\n\t\tpluginPath = path.resolve($tw.boot.bootPath,\"..\",pluginPath);\n\t\tif(fs.existsSync(pluginPath)) {\n\t\t\treturn {\n\t\t\t\tpluginPath: pluginPath,\n\t\t\t\ttype: type,\n\t\t\t\tname: name\n\t\t\t};\n\t\t}\n\t}\n\treturn false;\n};\n\nWikiFolderMaker.prototype.saveCustomPlugin = function(pluginTiddler) {\n\tvar self = this,\n\t\tpluginTitle = pluginTiddler.fields.title,\n\t\ttitleParts = pluginTitle.split(\"/\"),\n\t\tdirectory = $tw.utils.generateTiddlerFilepath(titleParts[titleParts.length - 1],{\n\t\t\tdirectory: path.resolve(this.wikiFolderPath,pluginTiddler.fields[\"plugin-type\"] + \"s\")\n\t\t}),\n\t\tpluginInfo = pluginTiddler.getFieldStrings({exclude: [\"text\",\"type\"]});\n\tthis.saveJSONFile(directory + path.sep + \"plugin.info\",pluginInfo);\n\tself.log(\"Writing \" + directory + path.sep + \"plugin.info: \" + JSON.stringify(pluginInfo,null,$tw.config.preferences.jsonSpaces));\n\tvar pluginTiddlers = JSON.parse(pluginTiddler.fields.text).tiddlers; // A hashmap of tiddlers in the plugin\n\t$tw.utils.each(pluginTiddlers,function(tiddler) {\n\t\tself.saveTiddler(directory,new $tw.Tiddler(tiddler));\n\t});\n};\n\nWikiFolderMaker.prototype.saveTiddler = function(directory,tiddler) {\n\tvar title = tiddler.fields.title, fileInfo, pathFilters, extFilters;\n\tif(this.wiki.tiddlerExists(\"$:/config/FileSystemPaths\")) {\n\t\tpathFilters = this.wiki.getTiddlerText(\"$:/config/FileSystemPaths\",\"\").split(\"\\n\");\n\t}\n\tif(this.wiki.tiddlerExists(\"$:/config/FileSystemExtensions\")) {\n\t\textFilters = this.wiki.getTiddlerText(\"$:/config/FileSystemExtensions\",\"\").split(\"\\n\");\n\t}\n\tvar fileInfo = $tw.utils.generateTiddlerFileInfo(tiddler,{\n\t\tdirectory: path.resolve(this.wikiFolderPath,directory),\n\t\twiki: this.wiki,\n\t\tpathFilters: pathFilters,\n\t\textFilters: extFilters,\n\t\toriginalpath: this.wiki.extractTiddlerDataItem(\"$:/config/OriginalTiddlerPaths\",title, \"\")\n\t});\n\ttry {\n\t\t$tw.utils.saveTiddlerToFileSync(tiddler,fileInfo);\n\t} catch (err) {\n\t\tconsole.log(\"SaveWikiFolder: Error saving file '\" + fileInfo.filepath + \"', tiddler: '\" + tiddler.fields.title);\n\t}\n};\n\nWikiFolderMaker.prototype.saveJSONFile = function(filename,json) {\n\tthis.saveTextFile(filename,JSON.stringify(json,null,$tw.config.preferences.jsonSpaces));\n};\n\nWikiFolderMaker.prototype.saveTextFile = function(filename,data) {\n\tthis.saveFile(filename,\"utf8\",data);\n};\n\nWikiFolderMaker.prototype.saveFile = function(filename,encoding,data) {\n\tvar filepath = path.resolve(this.wikiFolderPath,filename);\n\t$tw.utils.createFileDirectories(filepath);\n\tfs.writeFileSync(filepath,data,encoding);\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/server.js": {
"title": "$:/core/modules/commands/server.js",
"text": "/*\\\ntitle: $:/core/modules/commands/server.js\ntype: application/javascript\nmodule-type: command\n\nDeprecated legacy command for serving tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Server = require(\"$:/core/modules/server/server.js\").Server;\n\nexports.info = {\n\tname: \"server\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tvar self = this;\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(!$tw.boot.wikiTiddlersPath) {\n\t\t$tw.utils.warning(\"Warning: Wiki folder '\" + $tw.boot.wikiPath + \"' does not exist or is missing a tiddlywiki.info file\");\n\t}\n\t// Set up server\n\tthis.server = new Server({\n\t\twiki: this.commander.wiki,\n\t\tvariables: {\n\t\t\tport: this.params[0],\n\t\t\thost: this.params[6],\n\t\t\t\"root-tiddler\": this.params[1],\n\t\t\t\"root-render-type\": this.params[2],\n\t\t\t\"root-serve-type\": this.params[3],\n\t\t\tusername: this.params[4],\n\t\t\tpassword: this.params[5],\n\t\t\t\"path-prefix\": this.params[7],\n\t\t\t\"debug-level\": this.params[8]\n\t\t}\n\t});\n\tvar nodeServer = this.server.listen();\n\t$tw.hooks.invokeHook(\"th-server-command-post-start\",this.server,nodeServer,\"tiddlywiki\");\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/setfield.js": {
"title": "$:/core/modules/commands/setfield.js",
"text": "/*\\\ntitle: $:/core/modules/commands/setfield.js\ntype: application/javascript\nmodule-type: command\n\nCommand to modify selected tiddlers to set a field to the text of a template tiddler that has been wikified with the selected tiddler as the current tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.info = {\n\tname: \"setfield\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 4) {\n\t\treturn \"Missing parameters\";\n\t}\n\tvar self = this,\n\t\twiki = this.commander.wiki,\n\t\tfilter = this.params[0],\n\t\tfieldname = this.params[1] || \"text\",\n\t\ttemplatetitle = this.params[2],\n\t\trendertype = this.params[3] || \"text/plain\",\n\t\ttiddlers = wiki.filterTiddlers(filter);\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar parser = wiki.parseTiddler(templatetitle),\n\t\t\tnewFields = {},\n\t\t\ttiddler = wiki.getTiddler(title);\n\t\tif(parser) {\n\t\t\tvar widgetNode = wiki.makeWidget(parser,{variables: {currentTiddler: title}});\n\t\t\tvar container = $tw.fakeDocument.createElement(\"div\");\n\t\t\twidgetNode.render(container,null);\n\t\t\tnewFields[fieldname] = rendertype === \"text/html\" ? container.innerHTML : container.textContent;\n\t\t} else {\n\t\t\tnewFields[fieldname] = undefined;\n\t\t}\n\t\twiki.addTiddler(new $tw.Tiddler(tiddler,newFields));\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/unpackplugin.js": {
"title": "$:/core/modules/commands/unpackplugin.js",
"text": "/*\\\ntitle: $:/core/modules/commands/unpackplugin.js\ntype: application/javascript\nmodule-type: command\n\nCommand to extract the shadow tiddlers from within a plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"unpackplugin\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander,callback) {\n\tthis.params = params;\n\tthis.commander = commander;\n\tthis.callback = callback;\n};\n\nCommand.prototype.execute = function() {\n\tif(this.params.length < 1) {\n\t\treturn \"Missing plugin name\";\n\t}\n\tvar self = this,\n\t\ttitle = this.params[0],\n\t\tpluginData = this.commander.wiki.getTiddlerDataCached(title);\n\tif(!pluginData) {\n\t\treturn \"Plugin '\" + title + \"' not found\";\n\t}\n\t$tw.utils.each(pluginData.tiddlers,function(tiddler) {\n\t\tself.commander.wiki.addTiddler(new $tw.Tiddler(tiddler));\n\t});\n\treturn null;\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/verbose.js": {
"title": "$:/core/modules/commands/verbose.js",
"text": "/*\\\ntitle: $:/core/modules/commands/verbose.js\ntype: application/javascript\nmodule-type: command\n\nVerbose command\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"verbose\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tthis.commander.verbose = true;\n\t// Output the boot message log\n\tthis.commander.streams.output.write(\"Boot log:\\n \" + $tw.boot.logMessages.join(\"\\n \") + \"\\n\");\n\treturn null; // No error\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/commands/version.js": {
"title": "$:/core/modules/commands/version.js",
"text": "/*\\\ntitle: $:/core/modules/commands/version.js\ntype: application/javascript\nmodule-type: command\n\nVersion command\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.info = {\n\tname: \"version\",\n\tsynchronous: true\n};\n\nvar Command = function(params,commander) {\n\tthis.params = params;\n\tthis.commander = commander;\n};\n\nCommand.prototype.execute = function() {\n\tthis.commander.streams.output.write($tw.version + \"\\n\");\n\treturn null; // No error\n};\n\nexports.Command = Command;\n\n})();\n",
"type": "application/javascript",
"module-type": "command"
},
"$:/core/modules/config.js": {
"title": "$:/core/modules/config.js",
"text": "/*\\\ntitle: $:/core/modules/config.js\ntype: application/javascript\nmodule-type: config\n\nCore configuration constants\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.preferences = {};\n\nexports.preferences.notificationDuration = 3 * 1000;\nexports.preferences.jsonSpaces = 4;\n\nexports.textPrimitives = {\n\tupperLetter: \"[A-Z\\u00c0-\\u00d6\\u00d8-\\u00de\\u0150\\u0170]\",\n\tlowerLetter: \"[a-z\\u00df-\\u00f6\\u00f8-\\u00ff\\u0151\\u0171]\",\n\tanyLetter: \"[A-Za-z0-9\\u00c0-\\u00d6\\u00d8-\\u00de\\u00df-\\u00f6\\u00f8-\\u00ff\\u0150\\u0170\\u0151\\u0171]\",\n\tblockPrefixLetters:\t\"[A-Za-z0-9-_\\u00c0-\\u00d6\\u00d8-\\u00de\\u00df-\\u00f6\\u00f8-\\u00ff\\u0150\\u0170\\u0151\\u0171]\"\n};\n\nexports.textPrimitives.unWikiLink = \"~\";\nexports.textPrimitives.wikiLink = exports.textPrimitives.upperLetter + \"+\" +\n\texports.textPrimitives.lowerLetter + \"+\" +\n\texports.textPrimitives.upperLetter +\n\texports.textPrimitives.anyLetter + \"*\";\n\nexports.htmlEntities = {quot:34, amp:38, apos:39, lt:60, gt:62, nbsp:160, iexcl:161, cent:162, pound:163, curren:164, yen:165, brvbar:166, sect:167, uml:168, copy:169, ordf:170, laquo:171, not:172, shy:173, reg:174, macr:175, deg:176, plusmn:177, sup2:178, sup3:179, acute:180, micro:181, para:182, middot:183, cedil:184, sup1:185, ordm:186, raquo:187, frac14:188, frac12:189, frac34:190, iquest:191, Agrave:192, Aacute:193, Acirc:194, Atilde:195, Auml:196, Aring:197, AElig:198, Ccedil:199, Egrave:200, Eacute:201, Ecirc:202, Euml:203, Igrave:204, Iacute:205, Icirc:206, Iuml:207, ETH:208, Ntilde:209, Ograve:210, Oacute:211, Ocirc:212, Otilde:213, Ouml:214, times:215, Oslash:216, Ugrave:217, Uacute:218, Ucirc:219, Uuml:220, Yacute:221, THORN:222, szlig:223, agrave:224, aacute:225, acirc:226, atilde:227, auml:228, aring:229, aelig:230, ccedil:231, egrave:232, eacute:233, ecirc:234, euml:235, igrave:236, iacute:237, icirc:238, iuml:239, eth:240, ntilde:241, ograve:242, oacute:243, ocirc:244, otilde:245, ouml:246, divide:247, oslash:248, ugrave:249, uacute:250, ucirc:251, uuml:252, yacute:253, thorn:254, yuml:255, OElig:338, oelig:339, Scaron:352, scaron:353, Yuml:376, fnof:402, circ:710, tilde:732, Alpha:913, Beta:914, Gamma:915, Delta:916, Epsilon:917, Zeta:918, Eta:919, Theta:920, Iota:921, Kappa:922, Lambda:923, Mu:924, Nu:925, Xi:926, Omicron:927, Pi:928, Rho:929, Sigma:931, Tau:932, Upsilon:933, Phi:934, Chi:935, Psi:936, Omega:937, alpha:945, beta:946, gamma:947, delta:948, epsilon:949, zeta:950, eta:951, theta:952, iota:953, kappa:954, lambda:955, mu:956, nu:957, xi:958, omicron:959, pi:960, rho:961, sigmaf:962, sigma:963, tau:964, upsilon:965, phi:966, chi:967, psi:968, omega:969, thetasym:977, upsih:978, piv:982, ensp:8194, emsp:8195, thinsp:8201, zwnj:8204, zwj:8205, lrm:8206, rlm:8207, ndash:8211, mdash:8212, lsquo:8216, rsquo:8217, sbquo:8218, ldquo:8220, rdquo:8221, bdquo:8222, dagger:8224, Dagger:8225, bull:8226, hellip:8230, permil:8240, prime:8242, Prime:8243, lsaquo:8249, rsaquo:8250, oline:8254, frasl:8260, euro:8364, image:8465, weierp:8472, real:8476, trade:8482, alefsym:8501, larr:8592, uarr:8593, rarr:8594, darr:8595, harr:8596, crarr:8629, lArr:8656, uArr:8657, rArr:8658, dArr:8659, hArr:8660, forall:8704, part:8706, exist:8707, empty:8709, nabla:8711, isin:8712, notin:8713, ni:8715, prod:8719, sum:8721, minus:8722, lowast:8727, radic:8730, prop:8733, infin:8734, ang:8736, and:8743, or:8744, cap:8745, cup:8746, int:8747, there4:8756, sim:8764, cong:8773, asymp:8776, ne:8800, equiv:8801, le:8804, ge:8805, sub:8834, sup:8835, nsub:8836, sube:8838, supe:8839, oplus:8853, otimes:8855, perp:8869, sdot:8901, lceil:8968, rceil:8969, lfloor:8970, rfloor:8971, lang:9001, rang:9002, loz:9674, spades:9824, clubs:9827, hearts:9829, diams:9830 };\n\nexports.htmlVoidElements = \"area,base,br,col,command,embed,hr,img,input,keygen,link,meta,param,source,track,wbr\".split(\",\");\n\nexports.htmlBlockElements = \"address,article,aside,audio,blockquote,canvas,dd,div,dl,fieldset,figcaption,figure,footer,form,h1,h2,h3,h4,h5,h6,header,hgroup,hr,li,noscript,ol,output,p,pre,section,table,tfoot,ul,video\".split(\",\");\n\nexports.htmlUnsafeElements = \"script\".split(\",\");\n\n})();\n",
"type": "application/javascript",
"module-type": "config"
},
"$:/core/modules/deserializers.js": {
"title": "$:/core/modules/deserializers.js",
"text": "/*\\\ntitle: $:/core/modules/deserializers.js\ntype: application/javascript\nmodule-type: tiddlerdeserializer\n\nFunctions to deserialise tiddlers from a block of text\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nUtility function to parse an old-style tiddler DIV in a *.tid file. It looks like this:\n\n<div title=\"Title\" creator=\"JoeBloggs\" modifier=\"JoeBloggs\" created=\"201102111106\" modified=\"201102111310\" tags=\"myTag [[my long tag]]\">\n<pre>The text of the tiddler (without the expected HTML encoding).\n</pre>\n</div>\n\nNote that the field attributes are HTML encoded, but that the body of the <PRE> tag is not encoded.\n\nWhen these tiddler DIVs are encountered within a TiddlyWiki HTML file then the body is encoded in the usual way.\n*/\nvar parseTiddlerDiv = function(text /* [,fields] */) {\n\t// Slot together the default results\n\tvar result = {};\n\tif(arguments.length > 1) {\n\t\tfor(var f=1; f<arguments.length; f++) {\n\t\t\tvar fields = arguments[f];\n\t\t\tfor(var t in fields) {\n\t\t\t\tresult[t] = fields[t];\t\t\n\t\t\t}\n\t\t}\n\t}\n\t// Parse the DIV body\n\tvar startRegExp = /^\\s*<div\\s+([^>]*)>(\\s*<pre>)?/gi,\n\t\tendRegExp,\n\t\tmatch = startRegExp.exec(text);\n\tif(match) {\n\t\t// Old-style DIVs don't have the <pre> tag\n\t\tif(match[2]) {\n\t\t\tendRegExp = /<\\/pre>\\s*<\\/div>\\s*$/gi;\n\t\t} else {\n\t\t\tendRegExp = /<\\/div>\\s*$/gi;\n\t\t}\n\t\tvar endMatch = endRegExp.exec(text);\n\t\tif(endMatch) {\n\t\t\t// Extract the text\n\t\t\tresult.text = text.substring(match.index + match[0].length,endMatch.index);\n\t\t\t// Process the attributes\n\t\t\tvar attrRegExp = /\\s*([^=\\s]+)\\s*=\\s*(?:\"([^\"]*)\"|'([^']*)')/gi,\n\t\t\t\tattrMatch;\n\t\t\tdo {\n\t\t\t\tattrMatch = attrRegExp.exec(match[1]);\n\t\t\t\tif(attrMatch) {\n\t\t\t\t\tvar name = attrMatch[1];\n\t\t\t\t\tvar value = attrMatch[2] !== undefined ? attrMatch[2] : attrMatch[3];\n\t\t\t\t\tresult[name] = value;\n\t\t\t\t}\n\t\t\t} while(attrMatch);\n\t\t\treturn result;\n\t\t}\n\t}\n\treturn undefined;\n};\n\nexports[\"application/x-tiddler-html-div\"] = function(text,fields) {\n\treturn [parseTiddlerDiv(text,fields)];\n};\n\nexports[\"application/json\"] = function(text,fields) {\n\tvar incoming,\n\t\tresults = [];\n\ttry {\n\t\tincoming = JSON.parse(text);\n\t} catch(e) {\n\t\tincoming = [{\n\t\t\ttitle: \"JSON error: \" + e,\n\t\t\ttext: \"\"\n\t\t}]\n\t}\n\tif(!$tw.utils.isArray(incoming)) {\n\t\tincoming = [incoming];\n\t}\n\tfor(var t=0; t<incoming.length; t++) {\n\t\tvar incomingFields = incoming[t],\n\t\t\tfields = {};\n\t\tfor(var f in incomingFields) {\n\t\t\tif(typeof incomingFields[f] === \"string\") {\n\t\t\t\tfields[f] = incomingFields[f];\n\t\t\t}\n\t\t}\n\t\tresults.push(fields);\n\t}\n\treturn results;\n};\n\n/*\nParse an HTML file into tiddlers. There are three possibilities:\n# A TiddlyWiki classic HTML file containing `text/x-tiddlywiki` tiddlers\n# A TiddlyWiki5 HTML file containing `text/vnd.tiddlywiki` tiddlers\n# An ordinary HTML file\n*/\nexports[\"text/html\"] = function(text,fields) {\n\t// Check if we've got a store area\n\tvar storeAreaMarkerRegExp = /<div id=[\"']?storeArea['\"]?( style=[\"']?display:none;[\"']?)?>/gi,\n\t\tmatch = storeAreaMarkerRegExp.exec(text);\n\tif(match) {\n\t\t// If so, it's either a classic TiddlyWiki file or an unencrypted TW5 file\n\t\t// First read the normal tiddlers\n\t\tvar results = deserializeTiddlyWikiFile(text,storeAreaMarkerRegExp.lastIndex,!!match[1],fields);\n\t\t// Then any system tiddlers\n\t\tvar systemAreaMarkerRegExp = /<div id=[\"']?systemArea['\"]?( style=[\"']?display:none;[\"']?)?>/gi,\n\t\t\tsysMatch = systemAreaMarkerRegExp.exec(text);\n\t\tif(sysMatch) {\n\t\t\tresults.push.apply(results,deserializeTiddlyWikiFile(text,systemAreaMarkerRegExp.lastIndex,!!sysMatch[1],fields));\n\t\t}\n\t\treturn results;\n\t} else {\n\t\t// Check whether we've got an encrypted file\n\t\tvar encryptedStoreArea = $tw.utils.extractEncryptedStoreArea(text);\n\t\tif(encryptedStoreArea) {\n\t\t\t// If so, attempt to decrypt it using the current password\n\t\t\treturn $tw.utils.decryptStoreArea(encryptedStoreArea);\n\t\t} else {\n\t\t\t// It's not a TiddlyWiki so we'll return the entire HTML file as a tiddler\n\t\t\treturn deserializeHtmlFile(text,fields);\n\t\t}\n\t}\n};\n\nfunction deserializeHtmlFile(text,fields) {\n\tvar result = {};\n\t$tw.utils.each(fields,function(value,name) {\n\t\tresult[name] = value;\n\t});\n\tresult.text = text;\n\tresult.type = \"text/html\";\n\treturn [result];\n}\n\nfunction deserializeTiddlyWikiFile(text,storeAreaEnd,isTiddlyWiki5,fields) {\n\tvar results = [],\n\t\tendOfDivRegExp = /(<\\/div>\\s*)/gi,\n\t\tstartPos = storeAreaEnd,\n\t\tdefaultType = isTiddlyWiki5 ? undefined : \"text/x-tiddlywiki\";\n\tendOfDivRegExp.lastIndex = startPos;\n\tvar match = endOfDivRegExp.exec(text);\n\twhile(match) {\n\t\tvar endPos = endOfDivRegExp.lastIndex,\n\t\t\ttiddlerFields = parseTiddlerDiv(text.substring(startPos,endPos),fields,{type: defaultType});\n\t\tif(!tiddlerFields) {\n\t\t\tbreak;\n\t\t}\n\t\t$tw.utils.each(tiddlerFields,function(value,name) {\n\t\t\tif(typeof value === \"string\") {\n\t\t\t\ttiddlerFields[name] = $tw.utils.htmlDecode(value);\n\t\t\t}\n\t\t});\n\t\tif(tiddlerFields.text !== null) {\n\t\t\tresults.push(tiddlerFields);\n\t\t}\n\t\tstartPos = endPos;\n\t\tmatch = endOfDivRegExp.exec(text);\n\t}\n\treturn results;\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "tiddlerdeserializer"
},
"$:/core/modules/editor/engines/framed.js": {
"title": "$:/core/modules/editor/engines/framed.js",
"text": "/*\\\ntitle: $:/core/modules/editor/engines/framed.js\ntype: application/javascript\nmodule-type: library\n\nText editor engine based on a simple input or textarea within an iframe. This is done so that the selection is preserved even when clicking away from the textarea\n\n\\*/\n(function(){\n\n/*jslint node: true,browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HEIGHT_VALUE_TITLE = \"$:/config/TextEditor/EditorHeight/Height\";\n\nfunction FramedEngine(options) {\n\t// Save our options\n\toptions = options || {};\n\tthis.widget = options.widget;\n\tthis.value = options.value;\n\tthis.parentNode = options.parentNode;\n\tthis.nextSibling = options.nextSibling;\n\t// Create our hidden dummy text area for reading styles\n\tthis.dummyTextArea = this.widget.document.createElement(\"textarea\");\n\tif(this.widget.editClass) {\n\t\tthis.dummyTextArea.className = this.widget.editClass;\n\t}\n\tthis.dummyTextArea.setAttribute(\"hidden\",\"true\");\n\tthis.parentNode.insertBefore(this.dummyTextArea,this.nextSibling);\n\tthis.widget.domNodes.push(this.dummyTextArea);\n\t// Create the iframe\n\tthis.iframeNode = this.widget.document.createElement(\"iframe\");\n\tthis.parentNode.insertBefore(this.iframeNode,this.nextSibling);\n\tthis.iframeDoc = this.iframeNode.contentWindow.document;\n\t// (Firefox requires us to put some empty content in the iframe)\n\tthis.iframeDoc.open();\n\tthis.iframeDoc.write(\"\");\n\tthis.iframeDoc.close();\n\t// Style the iframe\n\tthis.iframeNode.className = this.dummyTextArea.className;\n\tthis.iframeNode.style.border = \"none\";\n\tthis.iframeNode.style.padding = \"0\";\n\tthis.iframeNode.style.resize = \"none\";\n\tthis.iframeDoc.body.style.margin = \"0\";\n\tthis.iframeDoc.body.style.padding = \"0\";\n\tthis.widget.domNodes.push(this.iframeNode);\n\t// Construct the textarea or input node\n\tvar tag = this.widget.editTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"input\";\n\t}\n\tthis.domNode = this.iframeDoc.createElement(tag);\n\t// Set the text\n\tif(this.widget.editTag === \"textarea\") {\n\t\tthis.domNode.appendChild(this.iframeDoc.createTextNode(this.value));\n\t} else {\n\t\tthis.domNode.value = this.value;\n\t}\n\t// Set the attributes\n\tif(this.widget.editType) {\n\t\tthis.domNode.setAttribute(\"type\",this.widget.editType);\n\t}\n\tif(this.widget.editPlaceholder) {\n\t\tthis.domNode.setAttribute(\"placeholder\",this.widget.editPlaceholder);\n\t}\n\tif(this.widget.editSize) {\n\t\tthis.domNode.setAttribute(\"size\",this.widget.editSize);\n\t}\n\tif(this.widget.editRows) {\n\t\tthis.domNode.setAttribute(\"rows\",this.widget.editRows);\n\t}\n\tif(this.widget.editTabIndex) {\n\t\tthis.iframeNode.setAttribute(\"tabindex\",this.widget.editTabIndex);\n\t}\n\tif(this.widget.editAutoComplete) {\n\t\tthis.domNode.setAttribute(\"autocomplete\",this.widget.editAutoComplete);\n\t}\n\tif(this.widget.isDisabled === \"yes\") {\n\t\tthis.domNode.setAttribute(\"disabled\",true);\n\t}\t\n\t// Copy the styles from the dummy textarea\n\tthis.copyStyles();\n\t// Add event listeners\n\t$tw.utils.addEventListeners(this.domNode,[\n\t\t{name: \"click\",handlerObject: this,handlerMethod: \"handleClickEvent\"},\n\t\t{name: \"input\",handlerObject: this,handlerMethod: \"handleInputEvent\"},\n\t\t{name: \"keydown\",handlerObject: this.widget,handlerMethod: \"handleKeydownEvent\"},\n\t\t{name: \"focus\",handlerObject: this,handlerMethod: \"handleFocusEvent\"}\n\t]);\n\t// Insert the element into the DOM\n\tthis.iframeDoc.body.appendChild(this.domNode);\n}\n\n/*\nCopy styles from the dummy text area to the textarea in the iframe\n*/\nFramedEngine.prototype.copyStyles = function() {\n\t// Copy all styles\n\t$tw.utils.copyStyles(this.dummyTextArea,this.domNode);\n\t// Override the ones that should not be set the same as the dummy textarea\n\tthis.domNode.style.display = \"block\";\n\tthis.domNode.style.width = \"100%\";\n\tthis.domNode.style.margin = \"0\";\n\t// In Chrome setting -webkit-text-fill-color overrides the placeholder text colour\n\tthis.domNode.style[\"-webkit-text-fill-color\"] = \"currentcolor\";\n};\n\n/*\nSet the text of the engine if it doesn't currently have focus\n*/\nFramedEngine.prototype.setText = function(text,type) {\n\tif(!this.domNode.isTiddlyWikiFakeDom) {\n\t\tif(this.domNode.ownerDocument.activeElement !== this.domNode) {\n\t\t\tthis.updateDomNodeText(text);\n\t\t}\n\t\t// Fix the height if needed\n\t\tthis.fixHeight();\n\t}\n};\n\n/*\nUpdate the DomNode with the new text\n*/\nFramedEngine.prototype.updateDomNodeText = function(text) {\n\tthis.domNode.value = text;\n};\n\n/*\nGet the text of the engine\n*/\nFramedEngine.prototype.getText = function() {\n\treturn this.domNode.value;\n};\n\n/*\nFix the height of textarea to fit content\n*/\nFramedEngine.prototype.fixHeight = function() {\n\t// Make sure styles are updated\n\tthis.copyStyles();\n\t// Adjust height\n\tif(this.widget.editTag === \"textarea\") {\n\t\tif(this.widget.editAutoHeight) {\n\t\t\tif(this.domNode && !this.domNode.isTiddlyWikiFakeDom) {\n\t\t\t\tvar newHeight = $tw.utils.resizeTextAreaToFit(this.domNode,this.widget.editMinHeight);\n\t\t\t\tthis.iframeNode.style.height = (newHeight + 14) + \"px\"; // +14 for the border on the textarea\n\t\t\t}\n\t\t} else {\n\t\t\tvar fixedHeight = parseInt(this.widget.wiki.getTiddlerText(HEIGHT_VALUE_TITLE,\"400px\"),10);\n\t\t\tfixedHeight = Math.max(fixedHeight,20);\n\t\t\tthis.domNode.style.height = fixedHeight + \"px\";\n\t\t\tthis.iframeNode.style.height = (fixedHeight + 14) + \"px\";\n\t\t}\n\t}\n};\n\n/*\nFocus the engine node\n*/\nFramedEngine.prototype.focus = function() {\n\tif(this.domNode.focus && this.domNode.select) {\n\t\tthis.domNode.focus();\n\t\tthis.domNode.select();\n\t}\n};\n\n/*\nHandle a focus event\n*/\nFramedEngine.prototype.handleFocusEvent = function(event) {\n\tif(this.widget.editCancelPopups) {\n\t\t$tw.popup.cancel(0);\t\n\t}\n};\n\n/*\nHandle a click\n*/\nFramedEngine.prototype.handleClickEvent = function(event) {\n\tthis.fixHeight();\n\treturn true;\n};\n\n/*\nHandle a dom \"input\" event which occurs when the text has changed\n*/\nFramedEngine.prototype.handleInputEvent = function(event) {\n\tthis.widget.saveChanges(this.getText());\n\tthis.fixHeight();\n\tif(this.widget.editInputActions) {\n\t\tthis.widget.invokeActionString(this.widget.editInputActions);\n\t}\n\treturn true;\n};\n\n/*\nCreate a blank structure representing a text operation\n*/\nFramedEngine.prototype.createTextOperation = function() {\n\tvar operation = {\n\t\ttext: this.domNode.value,\n\t\tselStart: this.domNode.selectionStart,\n\t\tselEnd: this.domNode.selectionEnd,\n\t\tcutStart: null,\n\t\tcutEnd: null,\n\t\treplacement: null,\n\t\tnewSelStart: null,\n\t\tnewSelEnd: null\n\t};\n\toperation.selection = operation.text.substring(operation.selStart,operation.selEnd);\n\treturn operation;\n};\n\n/*\nExecute a text operation\n*/\nFramedEngine.prototype.executeTextOperation = function(operation) {\n\t// Perform the required changes to the text area and the underlying tiddler\n\tvar newText = operation.text;\n\tif(operation.replacement !== null) {\n\t\tnewText = operation.text.substring(0,operation.cutStart) + operation.replacement + operation.text.substring(operation.cutEnd);\n\t\t// Attempt to use a execCommand to modify the value of the control\n\t\tif(this.iframeDoc.queryCommandSupported(\"insertText\") && this.iframeDoc.queryCommandSupported(\"delete\") && !$tw.browser.isFirefox) {\n\t\t\tthis.domNode.focus();\n\t\t\tthis.domNode.setSelectionRange(operation.cutStart,operation.cutEnd);\n\t\t\tif(operation.replacement === \"\") {\n\t\t\t\tthis.iframeDoc.execCommand(\"delete\",false,\"\");\n\t\t\t} else {\n\t\t\t\tthis.iframeDoc.execCommand(\"insertText\",false,operation.replacement);\n\t\t\t}\n\t\t} else {\n\t\t\tthis.domNode.value = newText;\n\t\t}\n\t\tthis.domNode.focus();\n\t\tthis.domNode.setSelectionRange(operation.newSelStart,operation.newSelEnd);\n\t}\n\tthis.domNode.focus();\n\treturn newText;\n};\n\nexports.FramedEngine = FramedEngine;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/editor/engines/simple.js": {
"title": "$:/core/modules/editor/engines/simple.js",
"text": "/*\\\ntitle: $:/core/modules/editor/engines/simple.js\ntype: application/javascript\nmodule-type: library\n\nText editor engine based on a simple input or textarea tag\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HEIGHT_VALUE_TITLE = \"$:/config/TextEditor/EditorHeight/Height\";\n\nfunction SimpleEngine(options) {\n\t// Save our options\n\toptions = options || {};\n\tthis.widget = options.widget;\n\tthis.value = options.value;\n\tthis.parentNode = options.parentNode;\n\tthis.nextSibling = options.nextSibling;\n\t// Construct the textarea or input node\n\tvar tag = this.widget.editTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"input\";\n\t}\n\tthis.domNode = this.widget.document.createElement(tag);\n\t// Set the text\n\tif(this.widget.editTag === \"textarea\") {\n\t\tthis.domNode.appendChild(this.widget.document.createTextNode(this.value));\n\t} else {\n\t\tthis.domNode.value = this.value;\n\t}\n\t// Set the attributes\n\tif(this.widget.editType) {\n\t\tthis.domNode.setAttribute(\"type\",this.widget.editType);\n\t}\n\tif(this.widget.editPlaceholder) {\n\t\tthis.domNode.setAttribute(\"placeholder\",this.widget.editPlaceholder);\n\t}\n\tif(this.widget.editSize) {\n\t\tthis.domNode.setAttribute(\"size\",this.widget.editSize);\n\t}\n\tif(this.widget.editRows) {\n\t\tthis.domNode.setAttribute(\"rows\",this.widget.editRows);\n\t}\n\tif(this.widget.editClass) {\n\t\tthis.domNode.className = this.widget.editClass;\n\t}\n\tif(this.widget.editTabIndex) {\n\t\tthis.domNode.setAttribute(\"tabindex\",this.widget.editTabIndex);\n\t}\n\tif(this.widget.editAutoComplete) {\n\t\tthis.domNode.setAttribute(\"autocomplete\",this.widget.editAutoComplete);\n\t}\n\tif(this.widget.isDisabled === \"yes\") {\n\t\tthis.domNode.setAttribute(\"disabled\",true);\n\t}\n\t// Add an input event handler\n\t$tw.utils.addEventListeners(this.domNode,[\n\t\t{name: \"focus\", handlerObject: this, handlerMethod: \"handleFocusEvent\"},\n\t\t{name: \"input\", handlerObject: this, handlerMethod: \"handleInputEvent\"}\n\t]);\n\t// Insert the element into the DOM\n\tthis.parentNode.insertBefore(this.domNode,this.nextSibling);\n\tthis.widget.domNodes.push(this.domNode);\n}\n\n/*\nSet the text of the engine if it doesn't currently have focus\n*/\nSimpleEngine.prototype.setText = function(text,type) {\n\tif(!this.domNode.isTiddlyWikiFakeDom) {\n\t\tif(this.domNode.ownerDocument.activeElement !== this.domNode || text === \"\") {\n\t\t\tthis.updateDomNodeText(text);\n\t\t}\n\t\t// Fix the height if needed\n\t\tthis.fixHeight();\n\t}\n};\n\n/*\nUpdate the DomNode with the new text\n*/\nSimpleEngine.prototype.updateDomNodeText = function(text) {\n\tthis.domNode.value = text;\n};\n\n/*\nGet the text of the engine\n*/\nSimpleEngine.prototype.getText = function() {\n\treturn this.domNode.value;\n};\n\n/*\nFix the height of textarea to fit content\n*/\nSimpleEngine.prototype.fixHeight = function() {\n\tif(this.widget.editTag === \"textarea\") {\n\t\tif(this.widget.editAutoHeight) {\n\t\t\tif(this.domNode && !this.domNode.isTiddlyWikiFakeDom) {\n\t\t\t\t$tw.utils.resizeTextAreaToFit(this.domNode,this.widget.editMinHeight);\n\t\t\t}\n\t\t} else {\n\t\t\tvar fixedHeight = parseInt(this.widget.wiki.getTiddlerText(HEIGHT_VALUE_TITLE,\"400px\"),10);\n\t\t\tfixedHeight = Math.max(fixedHeight,20);\n\t\t\tthis.domNode.style.height = fixedHeight + \"px\";\n\t\t}\n\t}\n};\n\n/*\nFocus the engine node\n*/\nSimpleEngine.prototype.focus = function() {\n\tif(this.domNode.focus && this.domNode.select) {\n\t\tthis.domNode.focus();\n\t\tthis.domNode.select();\n\t}\n};\n\n/*\nHandle a dom \"input\" event which occurs when the text has changed\n*/\nSimpleEngine.prototype.handleInputEvent = function(event) {\n\tthis.widget.saveChanges(this.getText());\n\tthis.fixHeight();\n\tif(this.widget.editInputActions) {\n\t\tthis.widget.invokeActionString(this.widget.editInputActions);\n\t}\n\treturn true;\n};\n\n/*\nHandle a dom \"focus\" event\n*/\nSimpleEngine.prototype.handleFocusEvent = function(event) {\n\tif(this.widget.editCancelPopups) {\n\t\t$tw.popup.cancel(0);\n\t}\n\tif(this.widget.editFocusPopup) {\n\t\t$tw.popup.triggerPopup({\n\t\t\tdomNode: this.domNode,\n\t\t\ttitle: this.widget.editFocusPopup,\n\t\t\twiki: this.widget.wiki,\n\t\t\tforce: true\n\t\t});\n\t}\n\treturn true;\n};\n\n/*\nCreate a blank structure representing a text operation\n*/\nSimpleEngine.prototype.createTextOperation = function() {\n\treturn null;\n};\n\n/*\nExecute a text operation\n*/\nSimpleEngine.prototype.executeTextOperation = function(operation) {\n};\n\nexports.SimpleEngine = SimpleEngine;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/editor/factory.js": {
"title": "$:/core/modules/editor/factory.js",
"text": "/*\\\ntitle: $:/core/modules/editor/factory.js\ntype: application/javascript\nmodule-type: library\n\nFactory for constructing text editor widgets with specified engines for the toolbar and non-toolbar cases\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar DEFAULT_MIN_TEXT_AREA_HEIGHT = \"100px\"; // Minimum height of textareas in pixels\n\n// Configuration tiddlers\nvar HEIGHT_MODE_TITLE = \"$:/config/TextEditor/EditorHeight/Mode\";\nvar ENABLE_TOOLBAR_TITLE = \"$:/config/TextEditor/EnableToolbar\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nfunction editTextWidgetFactory(toolbarEngine,nonToolbarEngine) {\n\n\tvar EditTextWidget = function(parseTreeNode,options) {\n\t\t// Initialise the editor operations if they've not been done already\n\t\tif(!this.editorOperations) {\n\t\t\tEditTextWidget.prototype.editorOperations = {};\n\t\t\t$tw.modules.applyMethods(\"texteditoroperation\",this.editorOperations);\n\t\t}\n\t\tthis.initialise(parseTreeNode,options);\n\t};\n\n\t/*\n\tInherit from the base widget class\n\t*/\n\tEditTextWidget.prototype = new Widget();\n\n\t/*\n\tRender this widget into the DOM\n\t*/\n\tEditTextWidget.prototype.render = function(parent,nextSibling) {\n\t\t// Save the parent dom node\n\t\tthis.parentDomNode = parent;\n\t\t// Compute our attributes\n\t\tthis.computeAttributes();\n\t\t// Execute our logic\n\t\tthis.execute();\n\t\t// Create the wrapper for the toolbar and render its content\n\t\tif(this.editShowToolbar) {\n\t\t\tthis.toolbarNode = this.document.createElement(\"div\");\n\t\t\tthis.toolbarNode.className = \"tc-editor-toolbar\";\n\t\t\tparent.insertBefore(this.toolbarNode,nextSibling);\n\t\t\tthis.renderChildren(this.toolbarNode,null);\n\t\t\tthis.domNodes.push(this.toolbarNode);\n\t\t}\n\t\t// Create our element\n\t\tvar editInfo = this.getEditInfo(),\n\t\t\tEngine = this.editShowToolbar ? toolbarEngine : nonToolbarEngine;\n\t\tthis.engine = new Engine({\n\t\t\t\twidget: this,\n\t\t\t\tvalue: editInfo.value,\n\t\t\t\ttype: editInfo.type,\n\t\t\t\tparentNode: parent,\n\t\t\t\tnextSibling: nextSibling\n\t\t\t});\n\t\t// Call the postRender hook\n\t\tif(this.postRender) {\n\t\t\tthis.postRender();\n\t\t}\n\t\t// Fix height\n\t\tthis.engine.fixHeight();\n\t\t// Focus if required\n\t\tif(this.editFocus === \"true\" || this.editFocus === \"yes\") {\n\t\t\tthis.engine.focus();\n\t\t}\n\t\t// Add widget message listeners\n\t\tthis.addEventListeners([\n\t\t\t{type: \"tm-edit-text-operation\", handler: \"handleEditTextOperationMessage\"}\n\t\t]);\n\t};\n\n\t/*\n\tGet the tiddler being edited and current value\n\t*/\n\tEditTextWidget.prototype.getEditInfo = function() {\n\t\t// Get the edit value\n\t\tvar self = this,\n\t\t\tvalue,\n\t\t\ttype = \"text/plain\",\n\t\t\tupdate;\n\t\tif(this.editIndex) {\n\t\t\tvalue = this.wiki.extractTiddlerDataItem(this.editTitle,this.editIndex,this.editDefault);\n\t\t\tupdate = function(value) {\n\t\t\t\tvar data = self.wiki.getTiddlerData(self.editTitle,{});\n\t\t\t\tif(data[self.editIndex] !== value) {\n\t\t\t\t\tdata[self.editIndex] = value;\n\t\t\t\t\tself.wiki.setTiddlerData(self.editTitle,data);\n\t\t\t\t}\n\t\t\t};\n\t\t} else {\n\t\t\t// Get the current tiddler and the field name\n\t\t\tvar tiddler = this.wiki.getTiddler(this.editTitle);\n\t\t\tif(tiddler) {\n\t\t\t\t// If we've got a tiddler, the value to display is the field string value\n\t\t\t\tvalue = tiddler.getFieldString(this.editField);\n\t\t\t\tif(this.editField === \"text\") {\n\t\t\t\t\ttype = tiddler.fields.type || \"text/vnd.tiddlywiki\";\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\t// Otherwise, we need to construct a default value for the editor\n\t\t\t\tswitch(this.editField) {\n\t\t\t\t\tcase \"text\":\n\t\t\t\t\t\tvalue = \"Type the text for the tiddler '\" + this.editTitle + \"'\";\n\t\t\t\t\t\ttype = \"text/vnd.tiddlywiki\";\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tcase \"title\":\n\t\t\t\t\t\tvalue = this.editTitle;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tdefault:\n\t\t\t\t\t\tvalue = \"\";\n\t\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t\tif(this.editDefault !== undefined) {\n\t\t\t\t\tvalue = this.editDefault;\n\t\t\t\t}\n\t\t\t}\n\t\t\tupdate = function(value) {\n\t\t\t\tvar tiddler = self.wiki.getTiddler(self.editTitle),\n\t\t\t\t\tupdateFields = {\n\t\t\t\t\t\ttitle: self.editTitle\n\t\t\t\t\t};\n\t\t\t\tupdateFields[self.editField] = value;\n\t\t\t\tself.wiki.addTiddler(new $tw.Tiddler(self.wiki.getCreationFields(),tiddler,updateFields,self.wiki.getModificationFields()));\n\t\t\t};\n\t\t}\n\t\tif(this.editType) {\n\t\t\ttype = this.editType;\n\t\t}\n\t\treturn {value: value || \"\", type: type, update: update};\n\t};\n\n\t/*\n\tHandle an edit text operation message from the toolbar\n\t*/\n\tEditTextWidget.prototype.handleEditTextOperationMessage = function(event) {\n\t\t// Prepare information about the operation\n\t\tvar operation = this.engine.createTextOperation();\n\t\t// Invoke the handler for the selected operation\n\t\tvar handler = this.editorOperations[event.param];\n\t\tif(handler) {\n\t\t\thandler.call(this,event,operation);\n\t\t}\n\t\t// Execute the operation via the engine\n\t\tvar newText = this.engine.executeTextOperation(operation);\n\t\t// Fix the tiddler height and save changes\n\t\tthis.engine.fixHeight();\n\t\tthis.saveChanges(newText);\n\t};\n\n\t/*\n\tCompute the internal state of the widget\n\t*/\n\tEditTextWidget.prototype.execute = function() {\n\t\t// Get our parameters\n\t\tthis.editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t\tthis.editField = this.getAttribute(\"field\",\"text\");\n\t\tthis.editIndex = this.getAttribute(\"index\");\n\t\tthis.editDefault = this.getAttribute(\"default\");\n\t\tthis.editClass = this.getAttribute(\"class\");\n\t\tthis.editPlaceholder = this.getAttribute(\"placeholder\");\n\t\tthis.editSize = this.getAttribute(\"size\");\n\t\tthis.editRows = this.getAttribute(\"rows\");\n\t\tthis.editAutoHeight = this.wiki.getTiddlerText(HEIGHT_MODE_TITLE,\"auto\");\n\t\tthis.editAutoHeight = this.getAttribute(\"autoHeight\",this.editAutoHeight === \"auto\" ? \"yes\" : \"no\") === \"yes\";\n\t\tthis.editMinHeight = this.getAttribute(\"minHeight\",DEFAULT_MIN_TEXT_AREA_HEIGHT);\n\t\tthis.editFocusPopup = this.getAttribute(\"focusPopup\");\n\t\tthis.editFocus = this.getAttribute(\"focus\");\n\t\tthis.editTabIndex = this.getAttribute(\"tabindex\");\n\t\tthis.editCancelPopups = this.getAttribute(\"cancelPopups\",\"\") === \"yes\";\n\t\tthis.editInputActions = this.getAttribute(\"inputActions\");\n\t\tthis.editRefreshTitle = this.getAttribute(\"refreshTitle\");\n\t\tthis.editAutoComplete = this.getAttribute(\"autocomplete\");\n\t\tthis.isDisabled = this.getAttribute(\"disabled\",\"no\");\n\t\t// Get the default editor element tag and type\n\t\tvar tag,type;\n\t\tif(this.editField === \"text\") {\n\t\t\ttag = \"textarea\";\n\t\t} else {\n\t\t\ttag = \"input\";\n\t\t\tvar fieldModule = $tw.Tiddler.fieldModules[this.editField];\n\t\t\tif(fieldModule && fieldModule.editTag) {\n\t\t\t\ttag = fieldModule.editTag;\n\t\t\t}\n\t\t\tif(fieldModule && fieldModule.editType) {\n\t\t\t\ttype = fieldModule.editType;\n\t\t\t}\n\t\t\ttype = type || \"text\";\n\t\t}\n\t\t// Get the rest of our parameters\n\t\tthis.editTag = this.getAttribute(\"tag\",tag) || \"input\";\n\t\tthis.editType = this.getAttribute(\"type\",type);\n\t\t// Make the child widgets\n\t\tthis.makeChildWidgets();\n\t\t// Determine whether to show the toolbar\n\t\tthis.editShowToolbar = this.wiki.getTiddlerText(ENABLE_TOOLBAR_TITLE,\"yes\");\n\t\tthis.editShowToolbar = (this.editShowToolbar === \"yes\") && !!(this.children && this.children.length > 0) && (!this.document.isTiddlyWikiFakeDom);\n\t};\n\n\t/*\n\tSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n\t*/\n\tEditTextWidget.prototype.refresh = function(changedTiddlers) {\n\t\tvar changedAttributes = this.computeAttributes();\n\t\t// Completely rerender if any of our attributes have changed\n\t\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes[\"default\"] || changedAttributes[\"class\"] || changedAttributes.placeholder || changedAttributes.size || changedAttributes.autoHeight || changedAttributes.minHeight || changedAttributes.focusPopup || changedAttributes.rows || changedAttributes.tabindex || changedAttributes.cancelPopups || changedAttributes.inputActions || changedAttributes.refreshTitle || changedAttributes.autocomplete || changedTiddlers[HEIGHT_MODE_TITLE] || changedTiddlers[ENABLE_TOOLBAR_TITLE] || changedAttributes.disabled) {\n\t\t\tthis.refreshSelf();\n\t\t\treturn true;\n\t\t} else if (changedTiddlers[this.editRefreshTitle]) {\n\t\t\tthis.engine.updateDomNodeText(this.getEditInfo().value);\n\t\t} else if(changedTiddlers[this.editTitle]) {\n\t\t\tvar editInfo = this.getEditInfo();\n\t\t\tthis.updateEditor(editInfo.value,editInfo.type);\n\t\t}\n\t\tthis.engine.fixHeight();\n\t\tif(this.editShowToolbar) {\n\t\t\treturn this.refreshChildren(changedTiddlers);\n\t\t} else {\n\t\t\treturn false;\n\t\t}\n\t};\n\n\t/*\n\tUpdate the editor with new text. This method is separate from updateEditorDomNode()\n\tso that subclasses can override updateEditor() and still use updateEditorDomNode()\n\t*/\n\tEditTextWidget.prototype.updateEditor = function(text,type) {\n\t\tthis.updateEditorDomNode(text,type);\n\t};\n\n\t/*\n\tUpdate the editor dom node with new text\n\t*/\n\tEditTextWidget.prototype.updateEditorDomNode = function(text,type) {\n\t\tthis.engine.setText(text,type);\n\t};\n\n\t/*\n\tSave changes back to the tiddler store\n\t*/\n\tEditTextWidget.prototype.saveChanges = function(text) {\n\t\tvar editInfo = this.getEditInfo();\n\t\tif(text !== editInfo.value) {\n\t\t\teditInfo.update(text);\n\t\t}\n\t};\n\n\t/*\n\tHandle a dom \"keydown\" event, which we'll bubble up to our container for the keyboard widgets benefit\n\t*/\n\tEditTextWidget.prototype.handleKeydownEvent = function(event) {\n\t\t// Check for a keyboard shortcut\n\t\tif(this.toolbarNode) {\n\t\t\tvar shortcutElements = this.toolbarNode.querySelectorAll(\"[data-tw-keyboard-shortcut]\");\n\t\t\tfor(var index=0; index<shortcutElements.length; index++) {\n\t\t\t\tvar el = shortcutElements[index],\n\t\t\t\t\tshortcutData = el.getAttribute(\"data-tw-keyboard-shortcut\"),\n\t\t\t\t\tkeyInfoArray = $tw.keyboardManager.parseKeyDescriptors(shortcutData,{\n\t\t\t\t\t\twiki: this.wiki\n\t\t\t\t\t});\n\t\t\t\tif($tw.keyboardManager.checkKeyDescriptors(event,keyInfoArray)) {\n\t\t\t\t\tvar clickEvent = this.document.createEvent(\"Events\");\n\t\t\t\t clickEvent.initEvent(\"click\",true,false);\n\t\t\t\t el.dispatchEvent(clickEvent);\n\t\t\t\t\tevent.preventDefault();\n\t\t\t\t\tevent.stopPropagation();\n\t\t\t\t\treturn true;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t\t// Propogate the event to the container\n\t\tif(this.propogateKeydownEvent(event)) {\n\t\t\t// Ignore the keydown if it was already handled\n\t\t\tevent.preventDefault();\n\t\t\tevent.stopPropagation();\n\t\t\treturn true;\n\t\t}\n\t\t// Otherwise, process the keydown normally\n\t\treturn false;\n\t};\n\n\t/*\n\tPropogate keydown events to our container for the keyboard widgets benefit\n\t*/\n\tEditTextWidget.prototype.propogateKeydownEvent = function(event) {\n\t\tvar newEvent = this.document.createEventObject ? this.document.createEventObject() : this.document.createEvent(\"Events\");\n\t\tif(newEvent.initEvent) {\n\t\t\tnewEvent.initEvent(\"keydown\", true, true);\n\t\t}\n\t\tnewEvent.keyCode = event.keyCode;\n\t\tnewEvent.which = event.which;\n\t\tnewEvent.metaKey = event.metaKey;\n\t\tnewEvent.ctrlKey = event.ctrlKey;\n\t\tnewEvent.altKey = event.altKey;\n\t\tnewEvent.shiftKey = event.shiftKey;\n\t\treturn !this.parentDomNode.dispatchEvent(newEvent);\n\t};\n\n\treturn EditTextWidget;\n\n}\n\nexports.editTextWidgetFactory = editTextWidgetFactory;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/editor/operations/bitmap/clear.js": {
"title": "$:/core/modules/editor/operations/bitmap/clear.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/bitmap/clear.js\ntype: application/javascript\nmodule-type: bitmapeditoroperation\n\nBitmap editor operation to clear the image\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"clear\"] = function(event) {\n\tvar ctx = this.canvasDomNode.getContext(\"2d\");\n\tctx.globalAlpha = 1;\n\tctx.fillStyle = event.paramObject.colour || \"white\";\n\tctx.fillRect(0,0,this.canvasDomNode.width,this.canvasDomNode.height);\n\t// Save changes\n\tthis.strokeEnd();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "bitmapeditoroperation"
},
"$:/core/modules/editor/operations/bitmap/resize.js": {
"title": "$:/core/modules/editor/operations/bitmap/resize.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/bitmap/resize.js\ntype: application/javascript\nmodule-type: bitmapeditoroperation\n\nBitmap editor operation to resize the image\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"resize\"] = function(event) {\n\t// Get the new width\n\tvar newWidth = parseInt(event.paramObject.width || this.canvasDomNode.width,10),\n\t\tnewHeight = parseInt(event.paramObject.height || this.canvasDomNode.height,10);\n\t// Update if necessary\n\tif(newWidth > 0 && newHeight > 0 && !(newWidth === this.currCanvas.width && newHeight === this.currCanvas.height)) {\n\t\tthis.changeCanvasSize(newWidth,newHeight);\n\t}\n\t// Update the input controls\n\tthis.refreshToolbar();\n\t// Save the image into the tiddler\n\tthis.saveChanges();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "bitmapeditoroperation"
},
"$:/core/modules/editor/operations/bitmap/rotate-left.js": {
"title": "$:/core/modules/editor/operations/bitmap/rotate-left.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/bitmap/rotate-left.js\ntype: application/javascript\nmodule-type: bitmapeditoroperation\n\nBitmap editor operation to rotate the image left by 90 degrees\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"rotate-left\"] = function(event) {\n\t// Rotate the canvas left by 90 degrees\n\tthis.rotateCanvasLeft();\n\t// Update the input controls\n\tthis.refreshToolbar();\n\t// Save the image into the tiddler\n\tthis.saveChanges();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "bitmapeditoroperation"
},
"$:/core/modules/editor/operations/text/excise.js": {
"title": "$:/core/modules/editor/operations/text/excise.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/excise.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to excise the selection to a new tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"excise\"] = function(event,operation) {\n\tvar editTiddler = this.wiki.getTiddler(this.editTitle),\n\t\teditTiddlerTitle = this.editTitle;\n\tif(editTiddler && editTiddler.fields[\"draft.of\"]) {\n\t\teditTiddlerTitle = editTiddler.fields[\"draft.of\"];\n\t}\n\tvar excisionTitle = event.paramObject.title || this.wiki.generateNewTitle(\"New Excision\");\n\tthis.wiki.addTiddler(new $tw.Tiddler(\n\t\tthis.wiki.getCreationFields(),\n\t\tthis.wiki.getModificationFields(),\n\t\t{\n\t\t\ttitle: excisionTitle,\n\t\t\ttext: operation.selection,\n\t\t\ttags: event.paramObject.tagnew === \"yes\" ? [editTiddlerTitle] : []\n\t\t}\n\t));\n\toperation.replacement = excisionTitle;\n\tswitch(event.paramObject.type || \"transclude\") {\n\t\tcase \"transclude\":\n\t\t\toperation.replacement = \"{{\" + operation.replacement+ \"}}\";\n\t\t\tbreak;\n\t\tcase \"link\":\n\t\t\toperation.replacement = \"[[\" + operation.replacement+ \"]]\";\n\t\t\tbreak;\n\t\tcase \"macro\":\n\t\t\toperation.replacement = \"<<\" + (event.paramObject.macro || \"translink\") + \" \\\"\\\"\\\"\" + operation.replacement + \"\\\"\\\"\\\">>\";\n\t\t\tbreak;\n\t}\n\toperation.cutStart = operation.selStart;\n\toperation.cutEnd = operation.selEnd;\n\toperation.newSelStart = operation.selStart;\n\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/make-link.js": {
"title": "$:/core/modules/editor/operations/text/make-link.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/make-link.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to make a link\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"make-link\"] = function(event,operation) {\n\tif(operation.selection) {\n\t\toperation.replacement = \"[[\" + operation.selection + \"|\" + event.paramObject.text + \"]]\";\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t} else {\n\t\toperation.replacement = \"[[\" + event.paramObject.text + \"]]\";\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t}\n\toperation.newSelStart = operation.selStart + operation.replacement.length;\n\toperation.newSelEnd = operation.newSelStart;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/prefix-lines.js": {
"title": "$:/core/modules/editor/operations/text/prefix-lines.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/prefix-lines.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to add a prefix to the selected lines\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"prefix-lines\"] = function(event,operation) {\n\tvar targetCount = parseInt(event.paramObject.count + \"\",10);\n\t// Cut just past the preceding line break, or the start of the text\n\toperation.cutStart = $tw.utils.findPrecedingLineBreak(operation.text,operation.selStart);\n\t// Cut to just past the following line break, or to the end of the text\n\toperation.cutEnd = $tw.utils.findFollowingLineBreak(operation.text,operation.selEnd);\n\t// Compose the required prefix\n\tvar prefix = $tw.utils.repeat(event.paramObject.character,targetCount);\n\t// Process each line\n\tvar lines = operation.text.substring(operation.cutStart,operation.cutEnd).split(/\\r?\\n/mg);\n\t$tw.utils.each(lines,function(line,index) {\n\t\t// Remove and count any existing prefix characters\n\t\tvar count = 0;\n\t\twhile(line.charAt(0) === event.paramObject.character) {\n\t\t\tline = line.substring(1);\n\t\t\tcount++;\n\t\t}\n\t\t// Remove any whitespace\n\t\twhile(line.charAt(0) === \" \") {\n\t\t\tline = line.substring(1);\n\t\t}\n\t\t// We're done if we removed the exact required prefix, otherwise add it\n\t\tif(count !== targetCount) {\n\t\t\t// Apply the prefix\n\t\t\tline = prefix + \" \" + line;\n\t\t}\n\t\t// Save the modified line\n\t\tlines[index] = line;\n\t});\n\t// Stitch the replacement text together and set the selection\n\toperation.replacement = lines.join(\"\\n\");\n\tif(lines.length === 1) {\n\t\toperation.newSelStart = operation.cutStart + operation.replacement.length;\n\t\toperation.newSelEnd = operation.newSelStart;\n\t} else {\n\t\toperation.newSelStart = operation.cutStart;\n\t\toperation.newSelEnd = operation.newSelStart + operation.replacement.length;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/replace-all.js": {
"title": "$:/core/modules/editor/operations/text/replace-all.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/replace-all.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to replace the entire text\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"replace-all\"] = function(event,operation) {\n\toperation.cutStart = 0;\n\toperation.cutEnd = operation.text.length;\n\toperation.replacement = event.paramObject.text;\n\toperation.newSelStart = 0;\n\toperation.newSelEnd = operation.replacement.length;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/replace-selection.js": {
"title": "$:/core/modules/editor/operations/text/replace-selection.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/replace-selection.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to replace the selection\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"replace-selection\"] = function(event,operation) {\n\toperation.replacement = event.paramObject.text;\n\toperation.cutStart = operation.selStart;\n\toperation.cutEnd = operation.selEnd;\n\toperation.newSelStart = operation.selStart;\n\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/save-selection.js": {
"title": "$:/core/modules/editor/operations/text/save-selection.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/save-selection.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to save the current selection in a specified tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"save-selection\"] = function(event,operation) {\n\tvar tiddler = event.paramObject.tiddler,\n\t\tfield = event.paramObject.field || \"text\";\n\tif(tiddler && field) {\n\t\tthis.wiki.setText(tiddler,field,null,operation.text.substring(operation.selStart,operation.selEnd));\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/wrap-lines.js": {
"title": "$:/core/modules/editor/operations/text/wrap-lines.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/wrap-lines.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to wrap the selected lines with a prefix and suffix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"wrap-lines\"] = function(event,operation) {\n\t// Cut just past the preceding line break, or the start of the text\n\toperation.cutStart = $tw.utils.findPrecedingLineBreak(operation.text,operation.selStart);\n\t// Cut to just past the following line break, or to the end of the text\n\toperation.cutEnd = $tw.utils.findFollowingLineBreak(operation.text,operation.selEnd);\n\t// Add the prefix and suffix\n\toperation.replacement = event.paramObject.prefix + \"\\n\" +\n\t\t\t\toperation.text.substring(operation.cutStart,operation.cutEnd) + \"\\n\" +\n\t\t\t\tevent.paramObject.suffix + \"\\n\";\n\toperation.newSelStart = operation.cutStart + event.paramObject.prefix.length + 1;\n\toperation.newSelEnd = operation.newSelStart + (operation.cutEnd - operation.cutStart);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/editor/operations/text/wrap-selection.js": {
"title": "$:/core/modules/editor/operations/text/wrap-selection.js",
"text": "/*\\\ntitle: $:/core/modules/editor/operations/text/wrap-selection.js\ntype: application/javascript\nmodule-type: texteditoroperation\n\nText editor operation to wrap the selection with the specified prefix and suffix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports[\"wrap-selection\"] = function(event,operation) {\n\tif(operation.selStart === operation.selEnd) {\n\t\t// No selection; check if we're within the prefix/suffix\n\t\tif(operation.text.substring(operation.selStart - event.paramObject.prefix.length,operation.selStart + event.paramObject.suffix.length) === event.paramObject.prefix + event.paramObject.suffix) {\n\t\t\t// Remove the prefix and suffix\n\t\t\toperation.cutStart = operation.selStart - event.paramObject.prefix.length;\n\t\t\toperation.cutEnd = operation.selEnd + event.paramObject.suffix.length;\n\t\t\toperation.replacement = \"\";\n\t\t\toperation.newSelStart = operation.cutStart;\n\t\t\toperation.newSelEnd = operation.newSelStart;\n\t\t} else {\n\t\t\t// Wrap the cursor instead\n\t\t\toperation.cutStart = operation.selStart;\n\t\t\toperation.cutEnd = operation.selEnd;\n\t\t\toperation.replacement = event.paramObject.prefix + event.paramObject.suffix;\n\t\t\toperation.newSelStart = operation.selStart + event.paramObject.prefix.length;\n\t\t\toperation.newSelEnd = operation.newSelStart;\n\t\t}\n\t} else if(operation.text.substring(operation.selStart,operation.selStart + event.paramObject.prefix.length) === event.paramObject.prefix && operation.text.substring(operation.selEnd - event.paramObject.suffix.length,operation.selEnd) === event.paramObject.suffix) {\n\t\t// Prefix and suffix are already present, so remove them\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t\toperation.replacement = operation.selection.substring(event.paramObject.prefix.length,operation.selection.length - event.paramObject.suffix.length);\n\t\toperation.newSelStart = operation.selStart;\n\t\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n\t} else {\n\t\t// Add the prefix and suffix\n\t\toperation.cutStart = operation.selStart;\n\t\toperation.cutEnd = operation.selEnd;\n\t\toperation.replacement = event.paramObject.prefix + operation.selection + event.paramObject.suffix;\n\t\toperation.newSelStart = operation.selStart;\n\t\toperation.newSelEnd = operation.selStart + operation.replacement.length;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "texteditoroperation"
},
"$:/core/modules/filterrunprefixes/all.js": {
"title": "$:/core/modules/filterrunprefixes/all.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/all.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\nUnion of sets without de-duplication.\nEquivalent to = filter run prefix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.all = function(operationSubFunction) {\n\treturn function(results,source,widget) {\n\t\tresults.push.apply(results, operationSubFunction(source,widget));\n\t};\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/and.js": {
"title": "$:/core/modules/filterrunprefixes/and.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/and.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\nIntersection of sets.\nEquivalent to + filter run prefix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.and = function(operationSubFunction,options) {\n\treturn function(results,source,widget) {\n\t\t// This replaces all the elements of the array, but keeps the actual array so that references to it are preserved\n\t\tsource = options.wiki.makeTiddlerIterator(results.toArray());\n\t\tresults.clear();\n\t\tresults.pushTop(operationSubFunction(source,widget));\n\t};\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/else.js": {
"title": "$:/core/modules/filterrunprefixes/else.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/else.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\nEquivalent to ~ filter run prefix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.else = function(operationSubFunction) {\n\treturn function(results,source,widget) {\n\t\tif(results.length === 0) {\n\t\t\t// Main result so far is empty\n\t\t\tresults.pushTop(operationSubFunction(source,widget));\n\t\t}\n\t};\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/except.js": {
"title": "$:/core/modules/filterrunprefixes/except.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/except.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\nDifference of sets.\nEquivalent to - filter run prefix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.except = function(operationSubFunction) {\n\treturn function(results,source,widget) {\n\t\tresults.remove(operationSubFunction(source,widget));\n\t};\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/filter.js": {
"title": "$:/core/modules/filterrunprefixes/filter.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/filter.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.filter = function(operationSubFunction,options) {\n\treturn function(results,source,widget) {\n\t\tif(results.length > 0) {\n\t\t\tvar resultsToRemove = [];\n\t\t\tresults.each(function(result) {\n\t\t\t\tvar filtered = operationSubFunction(options.wiki.makeTiddlerIterator([result]),widget);\n\t\t\t\tif(filtered.length === 0) {\n\t\t\t\t\tresultsToRemove.push(result);\n\t\t\t\t}\n\t\t\t});\n\t\t\tresults.remove(resultsToRemove);\n\t\t}\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/intersection.js": {
"title": "$:/core/modules/filterrunprefixes/intersection.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/intersection.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.intersection = function(operationSubFunction) {\n\treturn function(results,source,widget) {\n\t\tif(results.length !== 0) {\n\t\t\tvar secondRunResults = operationSubFunction(source,widget);\n\t\t\tvar firstRunResults = results.toArray();\n\t\t\tresults.clear();\n\t\t\t$tw.utils.each(firstRunResults,function(title) {\n\t\t\t\tif(secondRunResults.indexOf(title) !== -1) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t};\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/or.js": {
"title": "$:/core/modules/filterrunprefixes/or.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/or.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\nEquivalent to a filter run with no prefix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.or = function(operationSubFunction) {\n\treturn function(results,source,widget) {\n\t\tresults.pushTop(operationSubFunction(source,widget));\n\t};\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filterrunprefixes/reduce.js": {
"title": "$:/core/modules/filterrunprefixes/reduce.js",
"text": "/*\\\ntitle: $:/core/modules/filterrunprefixes/reduce.js\ntype: application/javascript\nmodule-type: filterrunprefix\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter prefix function\n*/\nexports.reduce = function(operationSubFunction,options) {\n\treturn function(results,source,widget) {\n\t\tif(results.length > 0) {\n\t\t\tvar accumulator = \"\";\n\t\t\tvar index = 0;\n\t\t\tresults.each(function(title) {\n\t\t\t\tvar list = operationSubFunction(options.wiki.makeTiddlerIterator([title]),{\n\t\t\t\t\t\tgetVariable: function(name) {\n\t\t\t\t\t\t\tswitch(name) {\n\t\t\t\t\t\t\t\tcase \"currentTiddler\":\n\t\t\t\t\t\t\t\t\treturn \"\" + title;\n\t\t\t\t\t\t\t\tcase \"accumulator\":\n\t\t\t\t\t\t\t\t\treturn \"\" + accumulator;\n\t\t\t\t\t\t\t\tcase \"index\":\n\t\t\t\t\t\t\t\t\treturn \"\" + index;\n\t\t\t\t\t\t\t\tcase \"revIndex\":\n\t\t\t\t\t\t\t\t\treturn \"\" + (results.length - 1 - index);\n\t\t\t\t\t\t\t\tcase \"length\":\n\t\t\t\t\t\t\t\t\treturn \"\" + results.length;\n\t\t\t\t\t\t\t\tdefault:\n\t\t\t\t\t\t\t\t\treturn widget.getVariable(name);\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\tif(list.length > 0) {\n\t\t\t\t\taccumulator = \"\" + list[0];\n\t\t\t\t}\n\t\t\t\t++index;\n\t\t\t});\n\t\t\tresults.clear();\n\t\t\tresults.push(accumulator);\t\n\t\t}\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filterrunprefix"
},
"$:/core/modules/filters/addprefix.js": {
"title": "$:/core/modules/filters/addprefix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/addprefix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for adding a prefix to each title in the list. This is\nespecially useful in contexts where only a filter expression is allowed\nand macro substitution isn't available.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.addprefix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(operator.operand + title);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/addsuffix.js": {
"title": "$:/core/modules/filters/addsuffix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/addsuffix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for adding a suffix to each title in the list. This is\nespecially useful in contexts where only a filter expression is allowed\nand macro substitution isn't available.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.addsuffix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title + operator.operand);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/after.js": {
"title": "$:/core/modules/filters/after.js",
"text": "/*\\\ntitle: $:/core/modules/filters/after.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler from the current list that is after the tiddler named in the operand.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.after = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tvar index = results.indexOf(operator.operand);\n\tif(index === -1 || index > (results.length - 2)) {\n\t\treturn [];\n\t} else {\n\t\treturn [results[index + 1]];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/all/current.js": {
"title": "$:/core/modules/filters/all/current.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/current.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[current]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.current = function(source,prefix,options) {\n\tvar currTiddlerTitle = options.widget && options.widget.getVariable(\"currentTiddler\");\n\tif(currTiddlerTitle) {\n\t\treturn [currTiddlerTitle];\n\t} else {\n\t\treturn [];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/missing.js": {
"title": "$:/core/modules/filters/all/missing.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/missing.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[missing]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.missing = function(source,prefix,options) {\n\treturn options.wiki.getMissingTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/orphans.js": {
"title": "$:/core/modules/filters/all/orphans.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/orphans.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[orphans]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.orphans = function(source,prefix,options) {\n\treturn options.wiki.getOrphanTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/shadows.js": {
"title": "$:/core/modules/filters/all/shadows.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/shadows.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[shadows]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.shadows = function(source,prefix,options) {\n\treturn options.wiki.allShadowTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/tags.js": {
"title": "$:/core/modules/filters/all/tags.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/tags.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[tags]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tags = function(source,prefix,options) {\n\treturn Object.keys(options.wiki.getTagMap());\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all/tiddlers.js": {
"title": "$:/core/modules/filters/all/tiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all/tiddlers.js\ntype: application/javascript\nmodule-type: allfilteroperator\n\nFilter function for [all[tiddlers]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tiddlers = function(source,prefix,options) {\n\treturn options.wiki.allTitles();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "allfilteroperator"
},
"$:/core/modules/filters/all.js": {
"title": "$:/core/modules/filters/all.js",
"text": "/*\\\ntitle: $:/core/modules/filters/all.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for selecting tiddlers\n\n[all[shadows+tiddlers]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar allFilterOperators;\n\nfunction getAllFilterOperators() {\n\tif(!allFilterOperators) {\n\t\tallFilterOperators = {};\n\t\t$tw.modules.applyMethods(\"allfilteroperator\",allFilterOperators);\n\t}\n\treturn allFilterOperators;\n}\n\n/*\nExport our filter function\n*/\nexports.all = function(source,operator,options) {\n\t// Get our suboperators\n\tvar allFilterOperators = getAllFilterOperators();\n\t// Cycle through the suboperators accumulating their results\n\tvar results = new $tw.utils.LinkedList(),\n\t\tsubops = operator.operand.split(\"+\");\n\t// Check for common optimisations\n\tif(subops.length === 1 && subops[0] === \"\") {\n\t\treturn source;\n\t} else if(subops.length === 1 && subops[0] === \"tiddlers\") {\n\t\treturn options.wiki.each;\n\t} else if(subops.length === 1 && subops[0] === \"shadows\") {\n\t\treturn options.wiki.eachShadow;\n\t} else if(subops.length === 2 && subops[0] === \"tiddlers\" && subops[1] === \"shadows\") {\n\t\treturn options.wiki.eachTiddlerPlusShadows;\n\t} else if(subops.length === 2 && subops[0] === \"shadows\" && subops[1] === \"tiddlers\") {\n\t\treturn options.wiki.eachShadowPlusTiddlers;\n\t}\n\t// Do it the hard way\n\tfor(var t=0; t<subops.length; t++) {\n\t\tvar subop = allFilterOperators[subops[t]];\n\t\tif(subop) {\n\t\t\tresults.pushTop(subop(source,operator.prefix,options));\n\t\t}\n\t}\n\treturn results.toArray();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/backlinks.js": {
"title": "$:/core/modules/filters/backlinks.js",
"text": "/*\\\ntitle: $:/core/modules/filters/backlinks.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning all the backlinks from a tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.backlinks = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.getTiddlerBacklinks(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/before.js": {
"title": "$:/core/modules/filters/before.js",
"text": "/*\\\ntitle: $:/core/modules/filters/before.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler from the current list that is before the tiddler named in the operand.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.before = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tvar index = results.indexOf(operator.operand);\n\tif(index <= 0) {\n\t\treturn [];\n\t} else {\n\t\treturn [results[index - 1]];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/commands.js": {
"title": "$:/core/modules/filters/commands.js",
"text": "/*\\\ntitle: $:/core/modules/filters/commands.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the commands available in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.commands = function(source,operator,options) {\n\tvar results = [];\n\t$tw.utils.each($tw.commands,function(commandInfo,name) {\n\t\tresults.push(name);\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/compare.js": {
"title": "$:/core/modules/filters/compare.js",
"text": "/*\\\ntitle: $:/core/modules/filters/compare.js\ntype: application/javascript\nmodule-type: filteroperator\n\nGeneral purpose comparison operator\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.compare = function(source,operator,options) {\n\tvar suffixes = operator.suffixes || [],\n\t\ttype = (suffixes[0] || [])[0],\n\t\tmode = (suffixes[1] || [])[0],\n\t\ttypeFn = $tw.utils.makeCompareFunction(type,{defaultType: \"number\"}),\n\t\tmodeFn = modes[mode] || modes.eq,\n\t\tinvert = operator.prefix === \"!\",\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tif(modeFn(typeFn(title,operator.operand)) !== invert) {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\treturn results;\n};\n\nvar modes = {\n\t\"eq\": function(value) {return value === 0;},\n\t\"ne\": function(value) {return value !== 0;},\n\t\"gteq\": function(value) {return value >= 0;},\n\t\"gt\": function(value) {return value > 0;},\n\t\"lteq\": function(value) {return value <= 0;},\n\t\"lt\": function(value) {return value < 0;}\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/contains.js": {
"title": "$:/core/modules/filters/contains.js",
"text": "/*\\\ntitle: $:/core/modules/filters/contains.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for finding values in array fields\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.contains = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"list\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar list = tiddler.getFieldList(fieldname);\n\t\t\t\tif(list.indexOf(operator.operand) === -1) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\tvar list = tiddler.getFieldList(fieldname);\n\t\t\t\tif(list.indexOf(operator.operand) !== -1) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/count.js": {
"title": "$:/core/modules/filters/count.js",
"text": "/*\\\ntitle: $:/core/modules/filters/count.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the number of entries in the current list.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.count = function(source,operator,options) {\n\tvar count = 0;\n\tsource(function(tiddler,title) {\n\t\tcount++;\n\t});\n\treturn [count + \"\"];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/days.js": {
"title": "$:/core/modules/filters/days.js",
"text": "/*\\\ntitle: $:/core/modules/filters/days.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects tiddlers with a specified date field within a specified date interval.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.days = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldName = operator.suffix || \"modified\",\n\t\tdayInterval = (parseInt(operator.operand,10)||0),\n\t\tdayIntervalSign = $tw.utils.sign(dayInterval),\n\t\ttargetTimeStamp = (new Date()).setHours(0,0,0,0) + 1000*60*60*24*dayInterval,\n\t\tisWithinDays = function(dateField) {\n\t\t\tvar sign = $tw.utils.sign(targetTimeStamp - (new Date(dateField)).setHours(0,0,0,0));\n\t\t\treturn sign === 0 || sign === dayIntervalSign;\n\t\t};\n\n\tif(operator.prefix === \"!\") {\n\t\ttargetTimeStamp = targetTimeStamp - 1000*60*60*24*dayIntervalSign;\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && tiddler.fields[fieldName]) {\n\t\t\t\tif(!isWithinDays($tw.utils.parseDate(tiddler.fields[fieldName]))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && tiddler.fields[fieldName]) {\n\t\t\t\tif(isWithinDays($tw.utils.parseDate(tiddler.fields[fieldName]))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/duplicateslugs.js": {
"title": "$:/core/modules/filters/duplicateslugs.js",
"text": "/*\\\ntitle: $:/core/modules/filters/duplicateslugs.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter function for [duplicateslugs[]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.duplicateslugs = function(source,operator,options) {\n\tvar slugs = Object.create(null), // Hashmap by slug of title, replaced with \"true\" if the duplicate title has already been output\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tvar slug = options.wiki.slugify(title);\n\t\tif(slug in slugs) {\n\t\t\tif(slugs[slug] !== true) {\n\t\t\t\tresults.push(slugs[slug]);\n\t\t\t\tslugs[slug] = true;\n\t\t\t}\n\t\t\tresults.push(title);\n\t\t} else {\n\t\t\tslugs[slug] = title;\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/each.js": {
"title": "$:/core/modules/filters/each.js",
"text": "/*\\\ntitle: $:/core/modules/filters/each.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects one tiddler for each unique value of the specified field.\nWith suffix \"list\", selects all tiddlers that are values in a specified list field.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.each = function(source,operator,options) {\n\tvar results =[] ,\n\tvalue,values = {},\n\tfield = operator.operand || \"title\";\n\tif(operator.suffix === \"value\" && field === \"title\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!$tw.utils.hop(values,title)) {\n\t\t\t\tvalues[title] = true;\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else if(operator.suffix !== \"list-item\") {\n\t\tif(field === \"title\") {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && !$tw.utils.hop(values,title)) {\n\t\t\t\t\tvalues[title] = true;\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvalue = tiddler.getFieldString(field);\n\t\t\t\t\tif(!$tw.utils.hop(values,value)) {\n\t\t\t\t\t\tvalues[value] = true;\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\t$tw.utils.each(\n\t\t\t\t\toptions.wiki.getTiddlerList(title,field),\n\t\t\t\t\tfunction(value) {\n\t\t\t\t\t\tif(!$tw.utils.hop(values,value)) {\n\t\t\t\t\t\t\tvalues[value] = true;\n\t\t\t\t\t\t\tresults.push(value);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/eachday.js": {
"title": "$:/core/modules/filters/eachday.js",
"text": "/*\\\ntitle: $:/core/modules/filters/eachday.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects one tiddler for each unique day covered by the specified date field\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.eachday = function(source,operator,options) {\n\tvar results = [],\n\t\tvalues = [],\n\t\tfieldName = operator.operand || \"modified\";\n\t// Function to convert a date/time to a date integer\n\tvar toDate = function(value) {\n\t\tvalue = (new Date(value)).setHours(0,0,0,0);\n\t\treturn value+0;\n\t};\n\tsource(function(tiddler,title) {\n\t\tif(tiddler && tiddler.fields[fieldName]) {\n\t\t\tvar value = toDate($tw.utils.parseDate(tiddler.fields[fieldName]));\n\t\t\tif(values.indexOf(value) === -1) {\n\t\t\t\tvalues.push(value);\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/editiondescription.js": {
"title": "$:/core/modules/filters/editiondescription.js",
"text": "/*\\\ntitle: $:/core/modules/filters/editiondescription.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the descriptions of the specified edition names\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.editiondescription = function(source,operator,options) {\n\tvar results = [];\n\tif($tw.node) {\n\t\tvar editionInfo = $tw.utils.getEditionInfo();\n\t\tif(editionInfo) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif($tw.utils.hop(editionInfo,title)) {\n\t\t\t\t\tresults.push(editionInfo[title].description || \"\");\t\t\t\t\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/editions.js": {
"title": "$:/core/modules/filters/editions.js",
"text": "/*\\\ntitle: $:/core/modules/filters/editions.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the available editions in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.editions = function(source,operator,options) {\n\tvar results = [];\n\tif($tw.node) {\n\t\tvar editionInfo = $tw.utils.getEditionInfo();\n\t\tif(editionInfo) {\n\t\t\t$tw.utils.each(editionInfo,function(info,name) {\n\t\t\t\tresults.push(name);\n\t\t\t});\n\t\t}\n\t\tresults.sort();\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/else.js": {
"title": "$:/core/modules/filters/else.js",
"text": "/*\\\ntitle: $:/core/modules/filters/else.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for replacing an empty input list with a constant, passing a non-empty input list straight through\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.else = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tif(results.length === 0) {\n\t\treturn [operator.operand];\n\t} else {\n\t\treturn results;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/decodeuricomponent.js": {
"title": "$:/core/modules/filters/decodeuricomponent.js",
"text": "/*\\\ntitle: $:/core/modules/filters/decodeuricomponent.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for applying decodeURIComponent() to each item.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter functions\n*/\n\nexports.decodeuricomponent = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar value = title;\n\t\ttry {\n\t\t\tvalue = decodeURIComponent(title);\n\t\t} catch(e) {\n\t\t}\n\t\tresults.push(value);\n\t});\n\treturn results;\n};\n\nexports.encodeuricomponent = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(encodeURIComponent(title));\n\t});\n\treturn results;\n};\n\nexports.decodeuri = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar value = title;\n\t\ttry {\n\t\t\tvalue = decodeURI(title);\n\t\t} catch(e) {\n\t\t}\n\t\tresults.push(value);\n\t});\n\treturn results;\n};\n\nexports.encodeuri = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(encodeURI(title));\n\t});\n\treturn results;\n};\n\nexports.decodehtml = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.htmlDecode(title));\n\t});\n\treturn results;\n};\n\nexports.encodehtml = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.htmlEncode(title));\n\t});\n\treturn results;\n};\n\nexports.stringify = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.stringify(title,(operator.suffix === \"rawunicode\")));\n\t});\n\treturn results;\n};\n\nexports.jsonstringify = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.jsonStringify(title,(operator.suffix === \"rawunicode\")));\n\t});\n\treturn results;\n};\n\nexports.escaperegexp = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push($tw.utils.escapeRegExp(title));\n\t});\n\treturn results;\n};\n\nexports.escapecss = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t// escape any character with a special meaning in CSS using CSS.escape()\n\t\tresults.push(CSS.escape(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/enlist.js": {
"title": "$:/core/modules/filters/enlist.js",
"text": "/*\\\ntitle: $:/core/modules/filters/enlist.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning its operand parsed as a list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.enlist = function(source,operator,options) {\n\tvar allowDuplicates = false;\n\tswitch(operator.suffix) {\n\t\tcase \"raw\":\n\t\t\tallowDuplicates = true;\n\t\t\tbreak;\n\t\tcase \"dedupe\":\n\t\t\tallowDuplicates = false;\n\t\t\tbreak;\n\t}\n\tvar list = $tw.utils.parseStringArray(operator.operand,allowDuplicates);\n\tif(operator.prefix === \"!\") {\n\t\tvar results = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t\treturn results;\n\t} else {\n\t\treturn list;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/field.js": {
"title": "$:/core/modules/filters/field.js",
"text": "/*\\\ntitle: $:/core/modules/filters/field.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for comparing fields for equality\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.field = function(source,operator,options) {\n\tvar results = [],indexedResults,\n\t\tfieldname = (operator.suffix || operator.operator || \"title\").toLowerCase();\n\tif(operator.prefix === \"!\") {\n\t\tif(operator.regexp) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && !operator.regexp.exec(text)) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && text !== operator.operand) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t} else {\n\t\tif(operator.regexp) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && !!operator.regexp.exec(text)) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tif(source.byField && operator.operand) {\n\t\t\t\tindexedResults = source.byField(fieldname,operator.operand);\n\t\t\t\tif(indexedResults) {\n\t\t\t\t\treturn indexedResults\n\t\t\t\t}\n\t\t\t}\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler) {\n\t\t\t\t\tvar text = tiddler.getFieldString(fieldname);\n\t\t\t\t\tif(text !== null && text === operator.operand) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/fields.js": {
"title": "$:/core/modules/filters/fields.js",
"text": "/*\\\ntitle: $:/core/modules/filters/fields.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the fields on the selected tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.fields = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldName,\n\t\tsuffixes = (operator.suffixes || [])[0] || [],\n\t\toperand = $tw.utils.parseStringArray(operator.operand);\n\t\n\tsource(function(tiddler,title) {\n\t\tif(tiddler) {\n\t\t\tif(suffixes.indexOf(\"include\") !== -1) {\n\t\t\t\tfor(fieldName in tiddler.fields) {\n\t\t\t\t\t(operand.indexOf(fieldName) !== -1) ? $tw.utils.pushTop(results,fieldName) : \"\";\n\t\t\t\t}\n\t\t\t} else if (suffixes.indexOf(\"exclude\") !== -1) {\n\t\t\t\tfor(fieldName in tiddler.fields) {\n\t\t\t\t\t(operand.indexOf(fieldName) !== -1) ? \"\" : $tw.utils.pushTop(results,fieldName);\n\t\t\t\t}\n\t\t\t} // else if\n\t\t\telse {\n\t\t\t\tfor(fieldName in tiddler.fields) {\n\t\t\t\t\t$tw.utils.pushTop(results,fieldName);\n\t\t\t\t}\n\t\t\t} // else\n\t\t} // if (tiddler)\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/filter.js": {
"title": "$:/core/modules/filters/filter.js",
"text": "/*\\\ntitle: $:/core/modules/filters/filter.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning those input titles that pass a subfilter\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.filter = function(source,operator,options) {\n\tvar filterFn = options.wiki.compileFilter(operator.operand),\n\t\tresults = [],\n\t\ttarget = operator.prefix !== \"!\";\n\tsource(function(tiddler,title) {\n\t\tvar list = filterFn.call(options.wiki,options.wiki.makeTiddlerIterator([title]));\n\t\tif((list.length > 0) === target) {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/format/date.js": {
"title": "$:/core/modules/filters/format/date.js",
"text": "/*\\\ntitle: $:/core/modules/filters/format/date.js\ntype: application/javascript\nmodule-type: formatfilteroperator\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.date = function(source,operand,options) {\n\tvar results = [];\t\n\tsource(function(tiddler,title) {\n\t\tvar value = $tw.utils.parseDate(title);\n\t\tif(value && $tw.utils.isDate(value) && value.toString() !== \"Invalid Date\") {\n\t\t\tresults.push($tw.utils.formatDateString(value,operand || \"YYYY MM DD 0hh:0mm\"));\n\t\t}\n\t});\t\n\treturn results;\n};\n\n})();",
"type": "application/javascript",
"module-type": "formatfilteroperator"
},
"$:/core/modules/filters/format/relativedate.js": {
"title": "$:/core/modules/filters/format/relativedate.js",
"text": "/*\\\ntitle: $:/core/modules/filters/format/relativedate.js\ntype: application/javascript\nmodule-type: formatfilteroperator\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.relativedate = function(source,operand,options) {\n\tvar results = [];\t\n\tsource(function(tiddler,title) {\n\t\tvar value = $tw.utils.parseDate(title);\n\t\tif(value && $tw.utils.isDate(value) && value.toString() !== \"Invalid Date\") {\n\t\t\tresults.push($tw.utils.getRelativeDate((new Date()) - (new Date(value))).description);\n\t\t}\n\t});\t\n\treturn results;\n};\n\n})();",
"type": "application/javascript",
"module-type": "formatfilteroperator"
},
"$:/core/modules/filters/format.js": {
"title": "$:/core/modules/filters/format.js",
"text": "/*\\\ntitle: $:/core/modules/filters/format.js\ntype: application/javascript\nmodule-type: filteroperator\nFilter operator for formatting strings\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar formatFilterOperators;\n\nfunction getFormatFilterOperators() {\n\tif(!formatFilterOperators) {\n\t\tformatFilterOperators = {};\n\t\t$tw.modules.applyMethods(\"formatfilteroperator\",formatFilterOperators);\n\t}\n\treturn formatFilterOperators;\n}\n\n/*\nExport our filter function\n*/\nexports.format = function(source,operator,options) {\n\t// Dispatch to the correct formatfilteroperator\n\tvar formatFilterOperators = getFormatFilterOperators();\n\tif(operator.suffix) {\n\t\tvar formatFilterOperator = formatFilterOperators[operator.suffix];\n\t\tif(formatFilterOperator) {\n\t\t\treturn formatFilterOperator(source,operator.operand,options);\n\t\t} else {\n\t\t\treturn [$tw.language.getString(\"Error/FormatFilterOperator\")];\n\t\t}\n\t} else {\n\t\t// Return all unchanged if the suffix is missing\n\t\tvar results = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t\treturn results;\n\t}\n};\n\n})();",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/get.js": {
"title": "$:/core/modules/filters/get.js",
"text": "/*\\\ntitle: $:/core/modules/filters/get.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for replacing tiddler titles by the value of the field specified in the operand.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.get = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(tiddler) {\n\t\t\tvar value = tiddler.getFieldString(operator.operand);\n\t\t\tif(value) {\n\t\t\t\tresults.push(value);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/getindex.js": {
"title": "$:/core/modules/filters/getindex.js",
"text": "/*\\\ntitle: $:/core/modules/filters/getindex.js\ntype: application/javascript\nmodule-type: filteroperator\n\nreturns the value at a given index of datatiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.getindex = function(source,operator,options) {\n\tvar data,title,results = [];\n\tif(operator.operand){\n\t\tsource(function(tiddler,title) {\n\t\t\ttitle = tiddler ? tiddler.fields.title : title;\n\t\t\tdata = options.wiki.extractTiddlerDataItem(tiddler,operator.operand);\n\t\t\tif(data) {\n\t\t\t\tresults.push(data);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/getvariable.js": {
"title": "$:/core/modules/filters/getvariable.js",
"text": "/*\\\ntitle: $:/core/modules/filters/getvariable.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for replacing input values by the value of the variable with the same name, or blank if the variable is missing\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.getvariable = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(options.widget.getVariable(title) || \"\");\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/has.js": {
"title": "$:/core/modules/filters/has.js",
"text": "/*\\\ntitle: $:/core/modules/filters/has.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a tiddler has the specified field or index\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.has = function(source,operator,options) {\n\tvar results = [],\n\t\tinvert = operator.prefix === \"!\";\n\n\tif(operator.suffix === \"field\") {\n\t\tif(invert) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(!tiddler || (tiddler && (!$tw.utils.hop(tiddler.fields,operator.operand)))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && $tw.utils.hop(tiddler.fields,operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\telse if(operator.suffix === \"index\") {\n\t\tif(invert) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(!tiddler || (tiddler && (!$tw.utils.hop(options.wiki.getTiddlerDataCached(tiddler,Object.create(null)),operator.operand)))) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && $tw.utils.hop(options.wiki.getTiddlerDataCached(tiddler,Object.create(null)),operator.operand)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\telse {\n\t\tif(invert) {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(!tiddler || !$tw.utils.hop(tiddler.fields,operator.operand) || (tiddler.fields[operator.operand].length === 0)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddler && $tw.utils.hop(tiddler.fields,operator.operand) && (tiddler.fields[operator.operand].length !== 0)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\t\t\t\t\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/haschanged.js": {
"title": "$:/core/modules/filters/haschanged.js",
"text": "/*\\\ntitle: $:/core/modules/filters/haschanged.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returns tiddlers from the list that have a non-zero changecount.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.haschanged = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.getChangeCount(title) === 0) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.getChangeCount(title) > 0) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/indexes.js": {
"title": "$:/core/modules/filters/indexes.js",
"text": "/*\\\ntitle: $:/core/modules/filters/indexes.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the indexes of a data tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.indexes = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar data = options.wiki.getTiddlerDataCached(title);\n\t\tif(data) {\n\t\t\t$tw.utils.pushTop(results,Object.keys(data));\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/insertbefore.js": {
"title": "$:/core/modules/filters/insertbefore.js",
"text": "/*\\\ntitle: $:/core/modules/filters/insertbefore.js\ntype: application/javascript\nmodule-type: filteroperator\n\nInsert an item before another item in a list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nOrder a list\n*/\nexports.insertbefore = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\tvar target = options.widget && options.widget.getVariable(operator.suffix || \"currentTiddler\");\n\tif(target !== operator.operand) {\n\t\t// Remove the entry from the list if it is present\n\t\tvar pos = results.indexOf(operator.operand);\n\t\tif(pos !== -1) {\n\t\t\tresults.splice(pos,1);\n\t\t}\n\t\t// Insert the entry before the target marker\n\t\tpos = results.indexOf(target);\n\t\tif(pos !== -1) {\n\t\t\tresults.splice(pos,0,operator.operand);\n\t\t} else {\n\t\t\tresults.push(operator.operand);\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/is/binary.js": {
"title": "$:/core/modules/filters/is/binary.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/binary.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[binary]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.binary = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isBinaryTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isBinaryTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/blank.js": {
"title": "$:/core/modules/filters/is/blank.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/blank.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[blank]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.blank = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!title) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/current.js": {
"title": "$:/core/modules/filters/is/current.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/current.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[current]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.current = function(source,prefix,options) {\n\tvar results = [],\n\t\tcurrTiddlerTitle = options.widget && options.widget.getVariable(\"currentTiddler\");\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title !== currTiddlerTitle) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title === currTiddlerTitle) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/draft.js": {
"title": "$:/core/modules/filters/is/draft.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/draft.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[draft]] analagous to [has[draft.of]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.draft = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!tiddler || !$tw.utils.hop(tiddler.fields,\"draft.of\")) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && $tw.utils.hop(tiddler.fields,\"draft.of\") && (tiddler.fields[\"draft.of\"].length !== 0)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\t\t\t\t\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/image.js": {
"title": "$:/core/modules/filters/is/image.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/image.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[image]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.image = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isImageTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isImageTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/missing.js": {
"title": "$:/core/modules/filters/is/missing.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/missing.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[missing]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.missing = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/orphan.js": {
"title": "$:/core/modules/filters/is/orphan.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/orphan.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[orphan]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.orphan = function(source,prefix,options) {\n\tvar results = [],\n\t\torphanTitles = options.wiki.getOrphanTitles();\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(orphanTitles.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(orphanTitles.indexOf(title) !== -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/shadow.js": {
"title": "$:/core/modules/filters/is/shadow.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/shadow.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[shadow]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.shadow = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isShadowTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isShadowTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/system.js": {
"title": "$:/core/modules/filters/is/system.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/system.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[system]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.system = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.isSystemTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.isSystemTiddler(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/tag.js": {
"title": "$:/core/modules/filters/is/tag.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/tag.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[tag]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tag = function(source,prefix,options) {\n\tvar results = [],\n\t\ttagMap = options.wiki.getTagMap();\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!$tw.utils.hop(tagMap,title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif($tw.utils.hop(tagMap,title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/tiddler.js": {
"title": "$:/core/modules/filters/is/tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/tiddler.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[tiddler]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tiddler = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(options.wiki.tiddlerExists(title)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is/variable.js": {
"title": "$:/core/modules/filters/is/variable.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is/variable.js\ntype: application/javascript\nmodule-type: isfilteroperator\n\nFilter function for [is[variable]]\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.variable = function(source,prefix,options) {\n\tvar results = [];\n\tif(prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!(title in options.widget.variables)) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title in options.widget.variables) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "isfilteroperator"
},
"$:/core/modules/filters/is.js": {
"title": "$:/core/modules/filters/is.js",
"text": "/*\\\ntitle: $:/core/modules/filters/is.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking tiddler properties\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar isFilterOperators;\n\nfunction getIsFilterOperators() {\n\tif(!isFilterOperators) {\n\t\tisFilterOperators = {};\n\t\t$tw.modules.applyMethods(\"isfilteroperator\",isFilterOperators);\n\t}\n\treturn isFilterOperators;\n}\n\n/*\nExport our filter function\n*/\nexports.is = function(source,operator,options) {\n\t// Dispatch to the correct isfilteroperator\n\tvar isFilterOperators = getIsFilterOperators();\n\tif(operator.operand) {\n\t\tvar isFilterOperator = isFilterOperators[operator.operand];\n\t\tif(isFilterOperator) {\n\t\t\treturn isFilterOperator(source,operator.prefix,options);\n\t\t} else {\n\t\t\treturn [$tw.language.getString(\"Error/IsFilterOperator\")];\n\t\t}\n\t} else {\n\t\t// Return all tiddlers if the operand is missing\n\t\tvar results = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t\treturn results;\n\t}\n};\n\n})();",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/limit.js": {
"title": "$:/core/modules/filters/limit.js",
"text": "/*\\\ntitle: $:/core/modules/filters/limit.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for chopping the results to a specified maximum number of entries\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.limit = function(source,operator,options) {\n\tvar results = [];\n\t// Convert to an array\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\t// Slice the array if necessary\n\tvar limit = Math.min(results.length,parseInt(operator.operand,10));\n\tif(operator.prefix === \"!\") {\n\t\tresults = results.slice(-limit);\n\t} else {\n\t\tresults = results.slice(0,limit);\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/links.js": {
"title": "$:/core/modules/filters/links.js",
"text": "/*\\\ntitle: $:/core/modules/filters/links.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning all the links from a tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.links = function(source,operator,options) {\n\tvar results = new $tw.utils.LinkedList();\n\tsource(function(tiddler,title) {\n\t\tresults.pushTop(options.wiki.getTiddlerLinks(title));\n\t});\n\treturn results.toArray();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/list.js": {
"title": "$:/core/modules/filters/list.js",
"text": "/*\\\ntitle: $:/core/modules/filters/list.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddlers whose title is listed in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.list = function(source,operator,options) {\n\tvar results = [],\n\t\ttr = $tw.utils.parseTextReference(operator.operand),\n\t\tcurrTiddlerTitle = options.widget && options.widget.getVariable(\"currentTiddler\"),\n\t\tlist = options.wiki.getTiddlerList(tr.title || currTiddlerTitle,tr.field,tr.index);\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tresults = list;\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/listed.js": {
"title": "$:/core/modules/filters/listed.js",
"text": "/*\\\ntitle: $:/core/modules/filters/listed.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all tiddlers that have the selected tiddlers in a list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.listed = function(source,operator,options) {\n\tvar field = operator.operand || \"list\",\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.findListingsOfTiddler(title,field));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/listops.js": {
"title": "$:/core/modules/filters/listops.js",
"text": "/*\\\ntitle: $:/core/modules/filters/listops.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operators for manipulating the current selection list\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nOrder a list\n*/\nexports.order = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.operand.toLowerCase() === \"reverse\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.unshift(title);\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t}\n\treturn results;\n};\n\n/*\nReverse list\n*/\nexports.reverse = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.unshift(title);\n\t});\n\treturn results;\n};\n\n/*\nFirst entry/entries in list\n*/\nexports.first = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(0,count);\n};\n\n/*\nLast entry/entries in list\n*/\nexports.last = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(-count);\n};\n\n/*\nAll but the first entry/entries of the list\n*/\nexports.rest = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(count);\n};\nexports.butfirst = exports.rest;\nexports.bf = exports.rest;\n\n/*\nAll but the last entry/entries of the list\n*/\nexports.butlast = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(0,-count);\n};\nexports.bl = exports.butlast;\n\n/*\nThe nth member of the list\n*/\nexports.nth = function(source,operator,options) {\n\tvar count = $tw.utils.getInt(operator.operand,1),\n\t\tresults = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results.slice(count - 1,count);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/lookup.js": {
"title": "$:/core/modules/filters/lookup.js",
"text": "/*\\\ntitle: $:/core/modules/filters/lookup.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that looks up values via a title prefix\n\n[lookup:<field>[<prefix>]]\n\nPrepends the prefix to the selected items and returns the specified field value\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.lookup = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(options.wiki.getTiddlerText(operator.operand + title) || operator.suffix || '');\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/match.js": {
"title": "$:/core/modules/filters/match.js",
"text": "/*\\\ntitle: $:/core/modules/filters/match.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a title matches a string\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.match = function(source,operator,options) {\n\tvar results = [],\n\t\tsuffixes = (operator.suffixes || [])[0] || [];\n\tif(suffixes.indexOf(\"caseinsensitive\") !== -1) {\n\t\tif(operator.prefix === \"!\") {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(title.toLowerCase() !== (operator.operand || \"\").toLowerCase()) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(title.toLowerCase() === (operator.operand || \"\").toLowerCase()) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t} else {\n\t\tif(operator.prefix === \"!\") {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(title !== operator.operand) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(title === operator.operand) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/math.js": {
"title": "$:/core/modules/filters/math.js",
"text": "/*\\\ntitle: $:/core/modules/filters/math.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operators for math. Unary/binary operators work on each item in turn, and return a new item list.\n\nSum/product/maxall/minall operate on the entire list, returning a single item.\n\nNote that strings are converted to numbers automatically. Trailing non-digits are ignored.\n\n* \"\" converts to 0\n* \"12kk\" converts to 12\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.negate = makeNumericBinaryOperator(\n\tfunction(a) {return -a}\n);\n\nexports.abs = makeNumericBinaryOperator(\n\tfunction(a) {return Math.abs(a)}\n);\n\nexports.ceil = makeNumericBinaryOperator(\n\tfunction(a) {return Math.ceil(a)}\n);\n\nexports.floor = makeNumericBinaryOperator(\n\tfunction(a) {return Math.floor(a)}\n);\n\nexports.round = makeNumericBinaryOperator(\n\tfunction(a) {return Math.round(a)}\n);\n\nexports.trunc = makeNumericBinaryOperator(\n\tfunction(a) {return Math.trunc(a)}\n);\n\nexports.untrunc = makeNumericBinaryOperator(\n\tfunction(a) {return Math.ceil(Math.abs(a)) * Math.sign(a)}\n);\n\nexports.sign = makeNumericBinaryOperator(\n\tfunction(a) {return Math.sign(a)}\n);\n\nexports.add = makeNumericBinaryOperator(\n\tfunction(a,b) {return a + b;}\n);\n\nexports.subtract = makeNumericBinaryOperator(\n\tfunction(a,b) {return a - b;}\n);\n\nexports.multiply = makeNumericBinaryOperator(\n\tfunction(a,b) {return a * b;}\n);\n\nexports.divide = makeNumericBinaryOperator(\n\tfunction(a,b) {return a / b;}\n);\n\nexports.remainder = makeNumericBinaryOperator(\n\tfunction(a,b) {return a % b;}\n);\n\nexports.max = makeNumericBinaryOperator(\n\tfunction(a,b) {return Math.max(a,b);}\n);\n\nexports.min = makeNumericBinaryOperator(\n\tfunction(a,b) {return Math.min(a,b);}\n);\n\nexports.fixed = makeNumericBinaryOperator(\n\tfunction(a,b) {return Number.prototype.toFixed.call(a,Math.min(Math.max(b,0),100));}\n);\n\nexports.precision = makeNumericBinaryOperator(\n\tfunction(a,b) {return Number.prototype.toPrecision.call(a,Math.min(Math.max(b,1),100));}\n);\n\nexports.exponential = makeNumericBinaryOperator(\n\tfunction(a,b) {return Number.prototype.toExponential.call(a,Math.min(Math.max(b,0),100));}\n);\n\nexports.power = makeNumericBinaryOperator(\n\tfunction(a,b) {return Math.pow(a,b);}\n);\n\nexports.log = makeNumericBinaryOperator(\n\tfunction(a,b) {\n\t\tif(b) {\n\t\t\treturn Math.log(a)/Math.log(b);\n\t\t} else {\n\t\t\treturn Math.log(a);\n\t\t}\n\t}\n);\n\nexports.sum = makeNumericReducingOperator(\n\tfunction(accumulator,value) {return accumulator + value},\n\t0 // Initial value\n);\n\nexports.product = makeNumericReducingOperator(\n\tfunction(accumulator,value) {return accumulator * value},\n\t1 // Initial value\n);\n\nexports.maxall = makeNumericReducingOperator(\n\tfunction(accumulator,value) {return Math.max(accumulator,value)},\n\t-Infinity // Initial value\n);\n\nexports.minall = makeNumericReducingOperator(\n\tfunction(accumulator,value) {return Math.min(accumulator,value)},\n\tInfinity // Initial value\n);\n\nfunction makeNumericBinaryOperator(fnCalc) {\n\treturn function(source,operator,options) {\n\t\tvar result = [],\n\t\t\tnumOperand = $tw.utils.parseNumber(operator.operand);\n\t\tsource(function(tiddler,title) {\n\t\t\tresult.push($tw.utils.stringifyNumber(fnCalc($tw.utils.parseNumber(title),numOperand)));\n\t\t});\n\t\treturn result;\n\t};\n}\n\nfunction makeNumericReducingOperator(fnCalc,initialValue) {\n\tinitialValue = initialValue || 0;\n\treturn function(source,operator,options) {\n\t\tvar result = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tresult.push(title);\n\t\t});\n\t\treturn [$tw.utils.stringifyNumber(result.reduce(function(accumulator,currentValue) {\n\t\t\treturn fnCalc(accumulator,$tw.utils.parseNumber(currentValue));\n\t\t},initialValue))];\n\t};\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/minlength.js": {
"title": "$:/core/modules/filters/minlength.js",
"text": "/*\\\ntitle: $:/core/modules/filters/minlength.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for filtering out titles that don't meet the minimum length in the operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.minlength = function(source,operator,options) {\n\tvar results = [],\n\t\tminLength = parseInt(operator.operand || \"\",10) || 0;\n\tsource(function(tiddler,title) {\n\t\tif(title.length >= minLength) {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/modules.js": {
"title": "$:/core/modules/filters/modules.js",
"text": "/*\\\ntitle: $:/core/modules/filters/modules.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the titles of the modules of a given type in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.modules = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.each($tw.modules.types[title],function(moduleInfo,moduleName) {\n\t\t\tresults.push(moduleName);\n\t\t});\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/moduletypes.js": {
"title": "$:/core/modules/filters/moduletypes.js",
"text": "/*\\\ntitle: $:/core/modules/filters/moduletypes.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the module types in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.moduletypes = function(source,operator,options) {\n\tvar results = [];\n\t$tw.utils.each($tw.modules.types,function(moduleInfo,type) {\n\t\tresults.push(type);\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/next.js": {
"title": "$:/core/modules/filters/next.js",
"text": "/*\\\ntitle: $:/core/modules/filters/next.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler whose title occurs next in the list supplied in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.next = function(source,operator,options) {\n\tvar results = [],\n\t\tlist = options.wiki.getTiddlerList(operator.operand);\n\tsource(function(tiddler,title) {\n\t\tvar match = list.indexOf(title);\n\t\t// increment match and then test if result is in range\n\t\tmatch++;\n\t\tif(match > 0 && match < list.length) {\n\t\t\tresults.push(list[match]);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/plugintiddlers.js": {
"title": "$:/core/modules/filters/plugintiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/filters/plugintiddlers.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the titles of the shadow tiddlers within a plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.plugintiddlers = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar pluginInfo = options.wiki.getPluginInfo(title) || options.wiki.getTiddlerDataCached(title,{tiddlers:[]});\n\t\tif(pluginInfo && pluginInfo.tiddlers) {\n\t\t\t$tw.utils.each(pluginInfo.tiddlers,function(fields,title) {\n\t\t\t\tresults.push(title);\n\t\t\t});\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/prefix.js": {
"title": "$:/core/modules/filters/prefix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/prefix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a title starts with a prefix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.prefix = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(0,operator.operand.length) !== operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(0,operator.operand.length) === operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/previous.js": {
"title": "$:/core/modules/filters/previous.js",
"text": "/*\\\ntitle: $:/core/modules/filters/previous.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning the tiddler whose title occurs immediately prior in the list supplied in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.previous = function(source,operator,options) {\n\tvar results = [],\n\t\tlist = options.wiki.getTiddlerList(operator.operand);\n\tsource(function(tiddler,title) {\n\t\tvar match = list.indexOf(title);\n\t\t// increment match and then test if result is in range\n\t\tmatch--;\n\t\tif(match >= 0) {\n\t\t\tresults.push(list[match]);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/range.js": {
"title": "$:/core/modules/filters/range.js",
"text": "/*\\\ntitle: $:/core/modules/filters/range.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for generating a numeric range.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.range = function(source,operator,options) {\n\tvar results = [];\n\t// Split the operand into numbers delimited by these symbols\n\tvar parts = operator.operand.split(/[,:;]/g),\n\t\tbeg, end, inc, i, fixed = 0;\n\tfor (i=0; i<parts.length; i++) {\n\t\t// Validate real number\n\t\tif(!/^\\s*[+-]?((\\d+(\\.\\d*)?)|(\\.\\d+))\\s*$/.test(parts[i])) {\n\t\t\treturn [\"range: bad number \\\"\" + parts[i] + \"\\\"\"];\n\t\t}\n\t\t// Count digits; the most precise number determines decimal places in output.\n\t\tvar frac = /\\.\\d+/.exec(parts[i]);\n\t\tif(frac) {\n\t\t\tfixed = Math.max(fixed,frac[0].length-1);\n\t\t}\n\t\tparts[i] = parseFloat(parts[i]);\n\t}\n\tswitch(parts.length) {\n\t\tcase 1:\n\t\t\tend = parts[0];\n\t\t\tif (end >= 1) {\n\t\t\t\tbeg = 1;\n\t\t\t}\n\t\t\telse if (end <= -1) {\n\t\t\t\tbeg = -1;\n\t\t\t}\n\t\t\telse {\n\t\t\t\treturn [];\n\t\t\t}\n\t\t\tinc = 1;\n\t\t\tbreak;\n\t\tcase 2:\n\t\t\tbeg = parts[0];\n\t\t\tend = parts[1];\n\t\t\tinc = 1;\n\t\t\tbreak;\n\t\tcase 3:\n\t\t\tbeg = parts[0];\n\t\t\tend = parts[1];\n\t\t\tinc = Math.abs(parts[2]);\n\t\t\tbreak;\n\t}\n\tif(inc === 0) {\n\t\treturn [\"range: increment 0 causes infinite loop\"];\n\t}\n\t// May need to count backwards\n\tvar direction = ((end < beg) ? -1 : 1);\n\tinc *= direction;\n\t// Estimate number of resulting elements\n\tif((end - beg) / inc > 10000) {\n\t\treturn [\"range: too many steps (over 10K)\"];\n\t}\n\t// Avoid rounding error on last step\n\tend += direction * 0.5 * Math.pow(0.1,fixed);\n\tvar safety = 10010;\n\t// Enumerate the range\n\tif (end<beg) {\n\t\tfor(i=beg; i>end; i+=inc) {\n\t\t\tresults.push(i.toFixed(fixed));\n\t\t\tif(--safety<0) {\n\t\t\t\tbreak;\n\t\t\t}\n\t\t}\n\t} else {\n\t\tfor(i=beg; i<end; i+=inc) {\n\t\t\tresults.push(i.toFixed(fixed));\n\t\t\tif(--safety<0) {\n\t\t\t\tbreak;\n\t\t\t}\n\t\t}\n\t}\n\tif(safety<0) {\n\t\treturn [\"range: unexpectedly large output\"];\n\t}\n\t// Reverse?\n\tif(operator.prefix === \"!\") {\n\t\tresults.reverse();\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/reduce.js": {
"title": "$:/core/modules/filters/reduce.js",
"text": "/*\\\ntitle: $:/core/modules/filters/reduce.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator evaluates a subfilter for each item, making the running total available in the variable `accumulator`, and the current index available in the variable `index`\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.reduce = function(source,operator,options) {\n\t// Accumulate the list\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\t// Run the filter over each item\n\tvar filterFn = options.wiki.compileFilter(operator.operand),\n\t\taccumulator = operator.operands[1] || \"\";\n\tfor(var index=0; index<results.length; index++) {\n\t\tvar title = results[index],\n\t\t\tlist = filterFn.call(options.wiki,options.wiki.makeTiddlerIterator([title]),{\n\t\t\t\tgetVariable: function(name) {\n\t\t\t\t\tswitch(name) {\n\t\t\t\t\t\tcase \"currentTiddler\":\n\t\t\t\t\t\t\treturn \"\" + title;\n\t\t\t\t\t\tcase \"accumulator\":\n\t\t\t\t\t\t\treturn \"\" + accumulator;\n\t\t\t\t\t\tcase \"index\":\n\t\t\t\t\t\t\treturn \"\" + index;\n\t\t\t\t\t\tcase \"revIndex\":\n\t\t\t\t\t\t\treturn \"\" + (results.length - 1 - index);\n\t\t\t\t\t\tcase \"length\":\n\t\t\t\t\t\t\treturn \"\" + results.length;\n\t\t\t\t\t\tdefault:\n\t\t\t\t\t\t\treturn options.widget.getVariable(name);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\tif(list.length > 0) {\n\t\t\taccumulator = \"\" + list[0];\n\t\t}\n\t}\n\tif(results.length > 0) {\n\t\treturn [accumulator];\n\t} else {\n\t\treturn [];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/regexp.js": {
"title": "$:/core/modules/filters/regexp.js",
"text": "/*\\\ntitle: $:/core/modules/filters/regexp.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for regexp matching\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.regexp = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"title\").toLowerCase(),\n\t\tregexpString, regexp, flags = \"\", match,\n\t\tgetFieldString = function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\treturn tiddler.getFieldString(fieldname);\n\t\t\t} else if(fieldname === \"title\") {\n\t\t\t\treturn title;\n\t\t\t} else {\n\t\t\t\treturn null;\n\t\t\t}\n\t\t};\n\t// Process flags and construct regexp\n\tregexpString = operator.operand;\n\tmatch = /^\\(\\?([gim]+)\\)/.exec(regexpString);\n\tif(match) {\n\t\tflags = match[1];\n\t\tregexpString = regexpString.substr(match[0].length);\n\t} else {\n\t\tmatch = /\\(\\?([gim]+)\\)$/.exec(regexpString);\n\t\tif(match) {\n\t\t\tflags = match[1];\n\t\t\tregexpString = regexpString.substr(0,regexpString.length - match[0].length);\n\t\t}\n\t}\n\ttry {\n\t\tregexp = new RegExp(regexpString,flags);\n\t} catch(e) {\n\t\treturn [\"\" + e];\n\t}\n\t// Process the incoming tiddlers\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tvar text = getFieldString(tiddler,title);\n\t\t\tif(text !== null) {\n\t\t\t\tif(!regexp.exec(text)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tvar text = getFieldString(tiddler,title);\n\t\t\tif(text !== null) {\n\t\t\t\tif(!!regexp.exec(text)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/removeprefix.js": {
"title": "$:/core/modules/filters/removeprefix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/removeprefix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for removing a prefix from each title in the list. Titles that do not start with the prefix are removed.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.removeprefix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(title.substr(0,operator.operand.length) === operator.operand) {\n\t\t\tresults.push(title.substr(operator.operand.length));\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/removesuffix.js": {
"title": "$:/core/modules/filters/removesuffix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/removesuffix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for removing a suffix from each title in the list. Titles that do not end with the suffix are removed.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.removesuffix = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tif(title && title.substr(-operator.operand.length) === operator.operand) {\n\t\t\tresults.push(title.substr(0,title.length - operator.operand.length));\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/sameday.js": {
"title": "$:/core/modules/filters/sameday.js",
"text": "/*\\\ntitle: $:/core/modules/filters/sameday.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that selects tiddlers with a modified date field on the same day as the provided value.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.sameday = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldName = operator.suffix || \"modified\",\n\t\ttargetDate = (new Date($tw.utils.parseDate(operator.operand))).setHours(0,0,0,0);\n\t// Function to convert a date/time to a date integer\n\tsource(function(tiddler,title) {\n\t\tif(tiddler) {\n\t\t\tif(tiddler.getFieldDay(fieldName) === targetDate) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/search.js": {
"title": "$:/core/modules/filters/search.js",
"text": "/*\\\ntitle: $:/core/modules/filters/search.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for searching for the text in the operand tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.search = function(source,operator,options) {\n\tvar invert = operator.prefix === \"!\";\n\tif(operator.suffixes) {\n\t\tvar hasFlag = function(flag) {\n\t\t\t\treturn (operator.suffixes[1] || []).indexOf(flag) !== -1;\n\t\t\t},\n\t\t\texcludeFields = false,\n\t\t\tfieldList = operator.suffixes[0] || [],\n\t\t\tfirstField = fieldList[0] || \"\", \n\t\t\tfirstChar = firstField.charAt(0),\n\t\t\tfields;\n\t\tif(firstChar === \"-\") {\n\t\t\tfields = [firstField.slice(1)].concat(fieldList.slice(1));\n\t\t\texcludeFields = true;\n\t\t} else if(fieldList[0] === \"*\"){\n\t\t\tfields = [];\n\t\t\texcludeFields = true;\n\t\t} else {\n\t\t\tfields = fieldList.slice(0);\n\t\t}\n\t\treturn options.wiki.search(operator.operand,{\n\t\t\tsource: source,\n\t\t\tinvert: invert,\n\t\t\tfield: fields,\n\t\t\texcludeField: excludeFields,\n\t\t\tcaseSensitive: hasFlag(\"casesensitive\"),\n\t\t\tliteral: hasFlag(\"literal\"),\n\t\t\twhitespace: hasFlag(\"whitespace\"),\n\t\t\tanchored: hasFlag(\"anchored\"),\n\t\t\tregexp: hasFlag(\"regexp\"),\n\t\t\twords: hasFlag(\"words\")\n\t\t});\n\t} else {\n\t\treturn options.wiki.search(operator.operand,{\n\t\t\tsource: source,\n\t\t\tinvert: invert\n\t\t});\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/shadowsource.js": {
"title": "$:/core/modules/filters/shadowsource.js",
"text": "/*\\\ntitle: $:/core/modules/filters/shadowsource.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the source plugins for shadow tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.shadowsource = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar source = options.wiki.getShadowSource(title);\n\t\tif(source) {\n\t\t\t$tw.utils.pushTop(results,source);\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/slugify.js": {
"title": "$:/core/modules/filters/slugify.js",
"text": "/*\\\ntitle: $:/core/modules/filters/slugify.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for slugifying a tiddler title\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.slugify = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(options.wiki.slugify(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/sort.js": {
"title": "$:/core/modules/filters/sort.js",
"text": "/*\\\ntitle: $:/core/modules/filters/sort.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for sorting\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.sort = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",false,false);\n\treturn results;\n};\n\nexports.nsort = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",false,true);\n\treturn results;\n};\n\nexports.sortan = function(source, operator, options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results, operator.operand || \"title\", operator.prefix === \"!\",false,false,true);\n\treturn results;\n};\n\nexports.sortcs = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",true,false);\n\treturn results;\n};\n\nexports.nsortcs = function(source,operator,options) {\n\tvar results = prepare_results(source);\n\toptions.wiki.sortTiddlers(results,operator.operand || \"title\",operator.prefix === \"!\",true,true);\n\treturn results;\n};\n\nvar prepare_results = function (source) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(title);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/sortsub.js": {
"title": "$:/core/modules/filters/sortsub.js",
"text": "/*\\\ntitle: $:/core/modules/filters/sortsub.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for sorting by a subfilter\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.sortsub = function(source,operator,options) {\n\t// Compile the subfilter\n\tvar filterFn = options.wiki.compileFilter(operator.operand);\n\t// Collect the input titles and the corresponding sort keys\n\tvar inputTitles = [],\n\t\tsortKeys = [];\n\tsource(function(tiddler,title) {\n\t\tinputTitles.push(title);\n\t\tvar r = filterFn.call(options.wiki,function(iterator) {\n\t\t\titerator(options.wiki.getTiddler(title),title);\n\t\t},{\n\t\t\tgetVariable: function(name) {\n\t\t\t\tif(name === \"currentTiddler\") {\n\t\t\t\t\treturn title;\n\t\t\t\t} else {\n\t\t\t\t\treturn options.widget.getVariable(name);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t\tsortKeys.push(r[0] || \"\");\n\t});\n\t// Rather than sorting the titles array, we'll sort the indexes so that we can consult both arrays\n\tvar indexes = new Array(inputTitles.length);\n\tfor(var t=0; t<inputTitles.length; t++) {\n\t\tindexes[t] = t;\n\t}\n\t// Sort the indexes\n\tvar compareFn = $tw.utils.makeCompareFunction(operator.suffix,{defaultType: \"string\",invert: operator.prefix === \"!\"});\n\tindexes = indexes.sort(function(a,b) {\n\t\treturn compareFn(sortKeys[a],sortKeys[b]);\n\t});\n\t// Make the results array in order\n\tvar results = [];\n\t$tw.utils.each(indexes,function(index) {\n\t\tresults.push(inputTitles[index]);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/splitbefore.js": {
"title": "$:/core/modules/filters/splitbefore.js",
"text": "/*\\\ntitle: $:/core/modules/filters/splitbefore.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator that splits each result on the first occurance of the specified separator and returns the unique values.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.splitbefore = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar parts = title.split(operator.operand);\n\t\tif(parts.length === 1) {\n\t\t\t$tw.utils.pushTop(results,parts[0]);\n\t\t} else {\n\t\t\t$tw.utils.pushTop(results,parts[0] + operator.operand);\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/storyviews.js": {
"title": "$:/core/modules/filters/storyviews.js",
"text": "/*\\\ntitle: $:/core/modules/filters/storyviews.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the story views in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.storyviews = function(source,operator,options) {\n\tvar results = [],\n\t\tstoryviews = {};\n\t$tw.modules.applyMethods(\"storyview\",storyviews);\n\t$tw.utils.each(storyviews,function(info,name) {\n\t\tresults.push(name);\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/strings.js": {
"title": "$:/core/modules/filters/strings.js",
"text": "/*\\\ntitle: $:/core/modules/filters/strings.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operators for strings. Unary/binary operators work on each item in turn, and return a new item list.\n\nSum/product/maxall/minall operate on the entire list, returning a single item.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.length = makeStringBinaryOperator(\n\tfunction(a) {return [\"\" + (\"\" + a).length];}\n);\n\nexports.uppercase = makeStringBinaryOperator(\n\tfunction(a) {return [(\"\" + a).toUpperCase()];}\n);\n\nexports.lowercase = makeStringBinaryOperator(\n\tfunction(a) {return [(\"\" + a).toLowerCase()];}\n);\n\nexports.sentencecase = makeStringBinaryOperator(\n\tfunction(a) {return [$tw.utils.toSentenceCase(a)];}\n);\n\nexports.titlecase = makeStringBinaryOperator(\n\tfunction(a) {return [$tw.utils.toTitleCase(a)];}\n);\n\nexports.trim = function(source,operator,options) {\n\tvar result = [],\n\t\tsuffix = operator.suffix || \"\",\n\t\toperand = (operator.operand || \"\"),\n\t\tfnCalc;\n\tif(suffix === \"prefix\") {\n\t\tfnCalc = function(a,b) {return [$tw.utils.trimPrefix(a,b)];}\n\t} else if(suffix === \"suffix\") {\n\t\tfnCalc = function(a,b) {return [$tw.utils.trimSuffix(a,b)];}\n\t} else {\n\t\tif(operand === \"\") {\n\t\t\tfnCalc = function(a) {return [$tw.utils.trim(a)];}\n\t\t} else {\n\t\t\tfnCalc = function(a,b) {return [$tw.utils.trimSuffix($tw.utils.trimPrefix(a,b),b)];}\n\t\t}\n\t}\n\tsource(function(tiddler,title) {\n\t\tArray.prototype.push.apply(result,fnCalc(title,operand));\n\t});\n\treturn result;\n};\n\nexports.split = makeStringBinaryOperator(\n\tfunction(a,b) {return (\"\" + a).split(b);}\n);\n\nexports[\"enlist-input\"] = makeStringBinaryOperator(\n\tfunction(a,o,s) {return $tw.utils.parseStringArray(\"\" + a,(s === \"raw\"));}\n);\n\nexports.join = makeStringReducingOperator(\n\tfunction(accumulator,value,operand) {\n\t\tif(accumulator === null) {\n\t\t\treturn value;\n\t\t} else {\n\t\t\treturn accumulator + operand + value;\n\t\t}\n\t},null\n);\n\nfunction makeStringBinaryOperator(fnCalc) {\n\treturn function(source,operator,options) {\n\t\tvar result = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tArray.prototype.push.apply(result,fnCalc(title,operator.operand || \"\",operator.suffix || \"\"));\n\t\t});\n\t\treturn result;\n\t};\n}\n\nfunction makeStringReducingOperator(fnCalc,initialValue) {\n\treturn function(source,operator,options) {\n\t\tvar result = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tresult.push(title);\n\t\t});\n\t\tif(result.length === 0) {\n\t\t\treturn [];\n\t\t}\n\t\treturn [result.reduce(function(accumulator,currentValue) {\n\t\t\treturn fnCalc(accumulator,currentValue,operator.operand || \"\");\n\t\t},initialValue) || \"\"];\n\t};\n}\n\nexports.splitregexp = function(source,operator,options) {\n\tvar result = [],\n\t\tsuffix = operator.suffix || \"\",\n\t\tflags = (suffix.indexOf(\"m\") !== -1 ? \"m\" : \"\") + (suffix.indexOf(\"i\") !== -1 ? \"i\" : \"\"),\n\t\tregExp;\n\ttry {\n\t\tregExp = new RegExp(operator.operand || \"\",flags);\t\t\n\t} catch(ex) {\n\t\treturn [\"RegExp error: \" + ex];\n\t}\n\tsource(function(tiddler,title) {\n\t\tArray.prototype.push.apply(result,title.split(regExp));\n\t});\t\t\n\treturn result;\n};\n\nexports[\"search-replace\"] = function(source,operator,options) {\n\tvar results = [],\n\t\tsuffixes = operator.suffixes || [],\n\t\tflagSuffix = (suffixes[0] ? (suffixes[0][0] || \"\") : \"\"),\n\t\tflags = (flagSuffix.indexOf(\"g\") !== -1 ? \"g\" : \"\") + (flagSuffix.indexOf(\"i\") !== -1 ? \"i\" : \"\"),\n\t\tisRegExp = (suffixes[1] && suffixes[1][0] === \"regexp\") ? true : false,\n\t\tsearchTerm,\n\t\tregExp;\n\t\n\tsource(function(tiddler,title) {\n\t\tif(title && (operator.operands.length > 1)) {\n\t\t\t//Escape regexp characters if the operand is not a regular expression\n\t\t\tsearchTerm = isRegExp ? operator.operand : $tw.utils.escapeRegExp(operator.operand);\n\t\t\ttry {\n\t\t\t\tregExp = new RegExp(searchTerm,flags);\n\t\t\t} catch(ex) {\n\t\t\t\treturn [\"RegExp error: \" + ex];\n\t\t\t}\n\t\t\tresults.push(\n\t\t\t\ttitle.replace(regExp,operator.operands[1])\n\t\t\t);\n\t\t} else {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\treturn results;\n};\n\nexports.pad = function(source,operator,options) {\n\tvar results = [],\n\t\ttargetLength = operator.operand ? parseInt(operator.operand) : 0,\n\t\tfill = operator.operands[1] || \"0\";\n\n\tsource(function(tiddler,title) {\n\t\tif(title && title.length) {\n\t\t\tif(title.length >= targetLength) {\n\t\t\t\tresults.push(title);\n\t\t\t} else {\n\t\t\t\tvar padString = \"\",\n\t\t\t\t\tpadStringLength = targetLength - title.length;\n\t\t\t\twhile (padStringLength > padString.length) {\n\t\t\t\t\tpadString += fill;\t\t\t\t\t\n\t\t\t\t}\n\t\t\t\t//make sure we do not exceed the specified length\n\t\t\t\tpadString = padString.slice(0,padStringLength);\n\t\t\t\tif(operator.suffix && (operator.suffix === \"suffix\")) {\n\t\t\t\t\ttitle = title + padString;\n\t\t\t\t} else {\n\t\t\t\t\ttitle = padString + title;\n\t\t\t\t}\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/subfilter.js": {
"title": "$:/core/modules/filters/subfilter.js",
"text": "/*\\\ntitle: $:/core/modules/filters/subfilter.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning its operand evaluated as a filter\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.subfilter = function(source,operator,options) {\n\tvar list = options.wiki.filterTiddlers(operator.operand,options.widget,source);\n\tif(operator.prefix === \"!\") {\n\t\tvar results = [];\n\t\tsource(function(tiddler,title) {\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t\treturn results;\n\t} else {\n\t\treturn list;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/subtiddlerfields.js": {
"title": "$:/core/modules/filters/subtiddlerfields.js",
"text": "/*\\\ntitle: $:/core/modules/filters/subtiddlerfields.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the fields on the selected subtiddlers of the plugin named in the operand\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.subtiddlerfields = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar subtiddler = options.wiki.getSubTiddler(operator.operand,title);\n\t\tif(subtiddler) {\n\t\t\tfor(var fieldName in subtiddler.fields) {\n\t\t\t\t$tw.utils.pushTop(results,fieldName);\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/suffix.js": {
"title": "$:/core/modules/filters/suffix.js",
"text": "/*\\\ntitle: $:/core/modules/filters/suffix.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking if a title ends with a suffix\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.suffix = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(-operator.operand.length) !== operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(title.substr(-operator.operand.length) === operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/tag.js": {
"title": "$:/core/modules/filters/tag.js",
"text": "/*\\\ntitle: $:/core/modules/filters/tag.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for checking for the presence of a tag\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tag = function(source,operator,options) {\n\tvar results = [],indexedResults;\n\tif((operator.suffix || \"\").toLowerCase() === \"strict\" && !operator.operand) {\n\t\t// New semantics:\n\t\t// Always return copy of input if operator.operand is missing\n\t\tsource(function(tiddler,title) {\n\t\t\tresults.push(title);\n\t\t});\n\t} else {\n\t\t// Old semantics:\n\t\tvar tiddlers;\n\t\tif(operator.prefix === \"!\") {\n\t\t\t// Returns a copy of the input if operator.operand is missing\n\t\t\ttiddlers = options.wiki.getTiddlersWithTag(operator.operand);\n\t\t\tsource(function(tiddler,title) {\n\t\t\t\tif(tiddlers.indexOf(title) === -1) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\t// Returns empty results if operator.operand is missing\n\t\t\tif(source.byTag) {\n\t\t\t\tindexedResults = source.byTag(operator.operand);\n\t\t\t\tif(indexedResults) {\n\t\t\t\t\treturn indexedResults;\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\ttiddlers = options.wiki.getTiddlersWithTag(operator.operand);\n\t\t\t\tsource(function(tiddler,title) {\n\t\t\t\t\tif(tiddlers.indexOf(title) !== -1) {\n\t\t\t\t\t\tresults.push(title);\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\tresults = options.wiki.sortByList(results,operator.operand);\n\t\t\t}\n\t\t}\t\t\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/tagging.js": {
"title": "$:/core/modules/filters/tagging.js",
"text": "/*\\\ntitle: $:/core/modules/filters/tagging.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all tiddlers that are tagged with the selected tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tagging = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\t$tw.utils.pushTop(results,options.wiki.getTiddlersWithTag(title));\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/tags.js": {
"title": "$:/core/modules/filters/tags.js",
"text": "/*\\\ntitle: $:/core/modules/filters/tags.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all the tags of the selected tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.tags = function(source,operator,options) {\n\tvar tags = {};\n\tsource(function(tiddler,title) {\n\t\tvar t, length;\n\t\tif(tiddler && tiddler.fields.tags) {\n\t\t\tfor(t=0, length=tiddler.fields.tags.length; t<length; t++) {\n\t\t\t\ttags[tiddler.fields.tags[t]] = true;\n\t\t\t}\n\t\t}\n\t});\n\treturn Object.keys(tags);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/then.js": {
"title": "$:/core/modules/filters/then.js",
"text": "/*\\\ntitle: $:/core/modules/filters/then.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for replacing any titles with a constant\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.then = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tresults.push(operator.operand);\n\t});\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/title.js": {
"title": "$:/core/modules/filters/title.js",
"text": "/*\\\ntitle: $:/core/modules/filters/title.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for comparing title fields for equality\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.title = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && tiddler.fields.title !== operator.operand) {\n\t\t\t\tresults.push(title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tresults.push(operator.operand);\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/untagged.js": {
"title": "$:/core/modules/filters/untagged.js",
"text": "/*\\\ntitle: $:/core/modules/filters/untagged.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator returning all the selected tiddlers that are untagged\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.untagged = function(source,operator,options) {\n\tvar results = [];\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(tiddler && $tw.utils.isArray(tiddler.fields.tags) && tiddler.fields.tags.length > 0) {\n\t\t\t\t$tw.utils.pushTop(results,title);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tif(!tiddler || !tiddler.hasField(\"tags\") || ($tw.utils.isArray(tiddler.fields.tags) && tiddler.fields.tags.length === 0)) {\n\t\t\t\t$tw.utils.pushTop(results,title);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/variables.js": {
"title": "$:/core/modules/filters/variables.js",
"text": "/*\\\ntitle: $:/core/modules/filters/variables.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the active variables\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.variables = function(source,operator,options) {\n\tvar names = [];\n\tfor(var variable in options.widget.variables) {\n\t\tnames.push(variable);\n\t}\n\treturn names.sort();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/wikiparserrules.js": {
"title": "$:/core/modules/filters/wikiparserrules.js",
"text": "/*\\\ntitle: $:/core/modules/filters/wikiparserrules.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for returning the names of the wiki parser rules in this wiki\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.wikiparserrules = function(source,operator,options) {\n\tvar results = [],\n\t\toperand = operator.operand;\n\t$tw.utils.each($tw.modules.types.wikirule,function(mod) {\n\t\tvar exp = mod.exports;\n\t\tif(!operand || exp.types[operand]) {\n\t\t\tresults.push(exp.name);\n\t\t}\n\t});\n\tresults.sort();\n\treturn results;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters/x-listops.js": {
"title": "$:/core/modules/filters/x-listops.js",
"text": "/*\\\ntitle: $:/core/modules/filters/x-listops.js\ntype: application/javascript\nmodule-type: filteroperator\n\nExtended filter operators to manipulate the current list.\n\n\\*/\n(function () {\n\n\t/*jslint node: true, browser: true */\n\t/*global $tw: false */\n\t\"use strict\";\n\n\t/*\n\tFetch titles from the current list\n\t*/\n\tvar prepare_results = function (source) {\n\tvar results = [];\n\t\tsource(function (tiddler, title) {\n\t\t\tresults.push(title);\n\t\t});\n\t\treturn results;\n\t};\n\n\t/*\n\tMoves a number of items from the tail of the current list before the item named in the operand\n\t*/\n\texports.putbefore = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tindex = results.indexOf(operator.operand),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,1);\n\t\treturn (index === -1) ?\n\t\t\tresults.slice(0, -1) :\n\t\t\tresults.slice(0, index).concat(results.slice(-count)).concat(results.slice(index, -count));\n\t};\n\n\t/*\n\tMoves a number of items from the tail of the current list after the item named in the operand\n\t*/\n\texports.putafter = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tindex = results.indexOf(operator.operand),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,1);\n\t\treturn (index === -1) ?\n\t\t\tresults.slice(0, -1) :\n\t\t\tresults.slice(0, index + 1).concat(results.slice(-count)).concat(results.slice(index + 1, -count));\n\t};\n\n\t/*\n\tReplaces the item named in the operand with a number of items from the tail of the current list\n\t*/\n\texports.replace = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tindex = results.indexOf(operator.operand),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,1);\n\t\treturn (index === -1) ?\n\t\t\tresults.slice(0, -count) :\n\t\t\tresults.slice(0, index).concat(results.slice(-count)).concat(results.slice(index + 1, -count));\n\t};\n\n\t/*\n\tMoves a number of items from the tail of the current list to the head of the list\n\t*/\n\texports.putfirst = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,1);\n\t\treturn results.slice(-count).concat(results.slice(0, -count));\n\t};\n\n\t/*\n\tMoves a number of items from the head of the current list to the tail of the list\n\t*/\n\texports.putlast = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,1);\n\t\treturn results.slice(count).concat(results.slice(0, count));\n\t};\n\n\t/*\n\tMoves the item named in the operand a number of places forward or backward in the list\n\t*/\n\texports.move = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tindex = results.indexOf(operator.operand),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,1),\n\t\t\tmarker = results.splice(index, 1),\n\t\t\toffset = (index + count) > 0 ? index + count : 0;\n\t\treturn results.slice(0, offset).concat(marker).concat(results.slice(offset));\n\t};\n\n\t/*\n\tReturns the items from the current list that are after the item named in the operand\n\t*/\n\texports.allafter = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tindex = results.indexOf(operator.operand);\n\t\treturn (index === -1) ? [] :\n\t\t\t(operator.suffix) ? results.slice(index) :\n\t\t\tresults.slice(index + 1);\n\t};\n\n\t/*\n\tReturns the items from the current list that are before the item named in the operand\n\t*/\n\texports.allbefore = function (source, operator) {\n\t\tvar results = prepare_results(source),\n\t\t\tindex = results.indexOf(operator.operand);\n\t\treturn (index === -1) ? [] :\n\t\t\t(operator.suffix) ? results.slice(0, index + 1) :\n\t\t\tresults.slice(0, index);\n\t};\n\n\t/*\n\tAppends the items listed in the operand array to the tail of the current list\n\t*/\n\texports.append = function (source, operator) {\n\t\tvar append = $tw.utils.parseStringArray(operator.operand, \"true\"),\n\t\t\tresults = prepare_results(source),\n\t\t\tcount = parseInt(operator.suffix) || append.length;\n\t\treturn (append.length === 0) ? results :\n\t\t\t(operator.prefix) ? results.concat(append.slice(-count)) :\n\t\t\tresults.concat(append.slice(0, count));\n\t};\n\n\t/*\n\tPrepends the items listed in the operand array to the head of the current list\n\t*/\n\texports.prepend = function (source, operator) {\n\t\tvar prepend = $tw.utils.parseStringArray(operator.operand, \"true\"),\n\t\t\tresults = prepare_results(source),\n\t\t\tcount = $tw.utils.getInt(operator.suffix,prepend.length);\n\t\treturn (prepend.length === 0) ? results :\n\t\t\t(operator.prefix) ? prepend.slice(-count).concat(results) :\n\t\t\tprepend.slice(0, count).concat(results);\n\t};\n\n\t/*\n\tReturns all items from the current list except the items listed in the operand array\n\t*/\n\texports.remove = function (source, operator) {\n\t\tvar array = $tw.utils.parseStringArray(operator.operand, \"true\"),\n\t\t\tresults = prepare_results(source),\n\t\t\tcount = parseInt(operator.suffix) || array.length,\n\t\t\tp,\n\t\t\tlen,\n\t\t\tindex;\n\t\tlen = array.length - 1;\n\t\tfor (p = 0; p < count; ++p) {\n\t\t\tif (operator.prefix) {\n\t\t\t\tindex = results.indexOf(array[len - p]);\n\t\t\t} else {\n\t\t\t\tindex = results.indexOf(array[p]);\n\t\t\t}\n\t\t\tif (index !== -1) {\n\t\t\t\tresults.splice(index, 1);\n\t\t\t}\n\t\t}\n\t\treturn results;\n\t};\n\n\t/*\n\tReturns all items from the current list sorted in the order of the items in the operand array\n\t*/\n\texports.sortby = function (source, operator) {\n\t\tvar results = prepare_results(source);\n\t\tif (!results || results.length < 2) {\n\t\t\treturn results;\n\t\t}\n\t\tvar lookup = $tw.utils.parseStringArray(operator.operand, \"true\");\n\t\tresults.sort(function (a, b) {\n\t\t\treturn lookup.indexOf(a) - lookup.indexOf(b);\n\t\t});\n\t\treturn results;\n\t};\n\n\t/*\n\tRemoves all duplicate items from the current list\n\t*/\n\texports.unique = function (source, operator) {\n\t\tvar results = prepare_results(source);\n\t\tvar set = results.reduce(function (a, b) {\n\t\t\tif (a.indexOf(b) < 0) {\n\t\t\t\ta.push(b);\n\t\t\t}\n\t\t\treturn a;\n\t\t}, []);\n\t\treturn set;\n\t};\n\n\tvar cycleValueInArray = function(results,operands,stepSize) {\n\t\tvar resultsIndex,\n\t\t\tstep = stepSize || 1,\n\t\t\ti = 0,\n\t\t\topLength = operands.length,\n\t\t\tnextOperandIndex;\t\t\n\t\tfor(i; i < opLength; i++) {\n\t\t\tresultsIndex = results.indexOf(operands[i]);\n\t\t\tif(resultsIndex !== -1) {\n\t\t\t\tbreak;\n\t\t\t}\n\t\t}\n\t\tif(resultsIndex !== -1) {\n\t\t\ti = i + step;\n\t\t\tnextOperandIndex = (i < opLength ? i : i - opLength);\n\t\t\tif(operands.length > 1) {\n\t\t\t\tresults.splice(resultsIndex,1,operands[nextOperandIndex]);\n\t\t\t} else {\n\t\t\t\tresults.splice(resultsIndex,1);\n\t\t\t}\n\t\t} else {\n\t\t\tresults.push(operands[0]);\n\t\t}\n\t\treturn results;\t\t\n\t}\n\n\t/*\n\tToggles an item in the current list.\n\t*/\t\n\texports.toggle = function(source,operator) {\n\t\treturn cycleValueInArray(prepare_results(source),operator.operands);\n\t}\n\n\texports.cycle = function(source,operator) {\n\t\tvar results = prepare_results(source),\n\t\t\toperands = (operator.operand.length ? $tw.utils.parseStringArray(operator.operand, \"true\") : [\"\"]),\n\t\t\tstep = $tw.utils.getInt(operator.operands[1]||\"\",1);\n\t\tif(step < 0) {\n\t\t\toperands.reverse();\n\t\t\tstep = Math.abs(step);\n\t\t}\t\n\t\treturn cycleValueInArray(results,operands,step);\n\t}\n\t\n})();\n",
"type": "application/javascript",
"module-type": "filteroperator"
},
"$:/core/modules/filters.js": {
"title": "$:/core/modules/filters.js",
"text": "/*\\\ntitle: $:/core/modules/filters.js\ntype: application/javascript\nmodule-type: wikimethod\n\nAdds tiddler filtering methods to the $tw.Wiki object.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nParses an operation (i.e. a run) within a filter string\n\toperators: Array of array of operator nodes into which results should be inserted\n\tfilterString: filter string\n\tp: start position within the string\nReturns the new start position, after the parsed operation\n*/\nfunction parseFilterOperation(operators,filterString,p) {\n\tvar nextBracketPos, operator;\n\t// Skip the starting square bracket\n\tif(filterString.charAt(p++) !== \"[\") {\n\t\tthrow \"Missing [ in filter expression\";\n\t}\n\t// Process each operator in turn\n\tdo {\n\t\toperator = {};\n\t\t// Check for an operator prefix\n\t\tif(filterString.charAt(p) === \"!\") {\n\t\t\toperator.prefix = filterString.charAt(p++);\n\t\t}\n\t\t// Get the operator name\n\t\tnextBracketPos = filterString.substring(p).search(/[\\[\\{<\\/]/);\n\t\tif(nextBracketPos === -1) {\n\t\t\tthrow \"Missing [ in filter expression\";\n\t\t}\n\t\tnextBracketPos += p;\n\t\tvar bracket = filterString.charAt(nextBracketPos);\n\t\toperator.operator = filterString.substring(p,nextBracketPos);\n\t\t// Any suffix?\n\t\tvar colon = operator.operator.indexOf(':');\n\t\tif(colon > -1) {\n\t\t\t// The raw suffix for older filters\n\t\t\toperator.suffix = operator.operator.substring(colon + 1);\n\t\t\toperator.operator = operator.operator.substring(0,colon) || \"field\";\n\t\t\t// The processed suffix for newer filters\n\t\t\toperator.suffixes = [];\n\t\t\t$tw.utils.each(operator.suffix.split(\":\"),function(subsuffix) {\n\t\t\t\toperator.suffixes.push([]);\n\t\t\t\t$tw.utils.each(subsuffix.split(\",\"),function(entry) {\n\t\t\t\t\tentry = $tw.utils.trim(entry);\n\t\t\t\t\tif(entry) {\n\t\t\t\t\t\toperator.suffixes[operator.suffixes.length - 1].push(entry); \n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t});\n\t\t}\n\t\t// Empty operator means: title\n\t\telse if(operator.operator === \"\") {\n\t\t\toperator.operator = \"title\";\n\t\t}\n\t\toperator.operands = [];\n\t\tvar parseOperand = function(bracketType) {\n\t\t\tvar operand = {};\n\t\t\tswitch (bracketType) {\n\t\t\t\tcase \"{\": // Curly brackets\n\t\t\t\t\toperand.indirect = true;\n\t\t\t\t\tnextBracketPos = filterString.indexOf(\"}\",p);\n\t\t\t\t\tbreak;\n\t\t\t\tcase \"[\": // Square brackets\n\t\t\t\t\tnextBracketPos = filterString.indexOf(\"]\",p);\n\t\t\t\t\tbreak;\n\t\t\t\tcase \"<\": // Angle brackets\n\t\t\t\t\toperand.variable = true;\n\t\t\t\t\tnextBracketPos = filterString.indexOf(\">\",p);\n\t\t\t\t\tbreak;\n\t\t\t\tcase \"/\": // regexp brackets\n\t\t\t\t\tvar rex = /^((?:[^\\\\\\/]|\\\\.)*)\\/(?:\\(([mygi]+)\\))?/g,\n\t\t\t\t\t\trexMatch = rex.exec(filterString.substring(p));\n\t\t\t\t\tif(rexMatch) {\n\t\t\t\t\t\toperator.regexp = new RegExp(rexMatch[1], rexMatch[2]);\n\t// DEPRECATION WARNING\n\tconsole.log(\"WARNING: Filter\",operator.operator,\"has a deprecated regexp operand\",operator.regexp);\n\t\t\t\t\t\tnextBracketPos = p + rex.lastIndex - 1;\n\t\t\t\t\t}\n\t\t\t\t\telse {\n\t\t\t\t\t\tthrow \"Unterminated regular expression in filter expression\";\n\t\t\t\t\t}\n\t\t\t\t\tbreak;\n\t\t\t}\n\n\t\t\tif(nextBracketPos === -1) {\n\t\t\t\tthrow \"Missing closing bracket in filter expression\";\n\t\t\t}\n\t\t\tif(!operator.regexp) {\n\t\t\t\toperand.text = filterString.substring(p,nextBracketPos);\n\t\t\t\toperator.operands.push(operand);\n\t\t\t}\n\t\t\tp = nextBracketPos + 1;\n\t\t}\n\t\t\n\t\tp = nextBracketPos + 1;\n\t\tparseOperand(bracket);\n\t\t\n\t\t// Check for multiple operands\n\t\twhile(filterString.charAt(p) === \",\") {\n\t\t\tp++;\n\t\t\tif(/^[\\[\\{<\\/]/.test(filterString.substring(p))) {\n\t\t\t\tnextBracketPos = p;\n\t\t\t\tp++;\n\t\t\t\tparseOperand(filterString.charAt(nextBracketPos));\n\t\t\t} else {\n\t\t\t\tthrow \"Missing [ in filter expression\";\n\t\t\t}\n\t\t}\n\t\t\n\t\t// Push this operator\n\t\toperators.push(operator);\n\t} while(filterString.charAt(p) !== \"]\");\n\t// Skip the ending square bracket\n\tif(filterString.charAt(p++) !== \"]\") {\n\t\tthrow \"Missing ] in filter expression\";\n\t}\n\t// Return the parsing position\n\treturn p;\n}\n\n/*\nParse a filter string\n*/\nexports.parseFilter = function(filterString) {\n\tfilterString = filterString || \"\";\n\tvar results = [], // Array of arrays of operator nodes {operator:,operand:}\n\t\tp = 0, // Current position in the filter string\n\t\tmatch;\n\tvar whitespaceRegExp = /(\\s+)/mg,\n\t\toperandRegExp = /((?:\\+|\\-|~|=|\\:(\\w+))?)(?:(\\[)|(?:\"([^\"]*)\")|(?:'([^']*)')|([^\\s\\[\\]]+))/mg;\n\twhile(p < filterString.length) {\n\t\t// Skip any whitespace\n\t\twhitespaceRegExp.lastIndex = p;\n\t\tmatch = whitespaceRegExp.exec(filterString);\n\t\tif(match && match.index === p) {\n\t\t\tp = p + match[0].length;\n\t\t}\n\t\t// Match the start of the operation\n\t\tif(p < filterString.length) {\n\t\t\toperandRegExp.lastIndex = p;\n\t\t\tmatch = operandRegExp.exec(filterString);\n\t\t\tif(!match || match.index !== p) {\n\t\t\t\tthrow $tw.language.getString(\"Error/FilterSyntax\");\n\t\t\t}\n\t\t\tvar operation = {\n\t\t\t\tprefix: \"\",\n\t\t\t\toperators: []\n\t\t\t};\n\t\t\tif(match[1]) {\n\t\t\t\toperation.prefix = match[1];\n\t\t\t\tp = p + operation.prefix.length;\n\t\t\t\tif(match[2]) {\n\t\t\t\t\toperation.namedPrefix = match[2];\n\t\t\t\t}\n\t\t\t}\n\t\t\tif(match[3]) { // Opening square bracket\n\t\t\t\tp = parseFilterOperation(operation.operators,filterString,p);\n\t\t\t} else {\n\t\t\t\tp = match.index + match[0].length;\n\t\t\t}\n\t\t\tif(match[4] || match[5] || match[6]) { // Double quoted string, single quoted string or unquoted title\n\t\t\t\toperation.operators.push(\n\t\t\t\t\t{operator: \"title\", operands: [{text: match[4] || match[5] || match[6]}]}\n\t\t\t\t);\n\t\t\t}\n\t\t\tresults.push(operation);\n\t\t}\n\t}\n\treturn results;\n};\n\nexports.getFilterOperators = function() {\n\tif(!this.filterOperators) {\n\t\t$tw.Wiki.prototype.filterOperators = {};\n\t\t$tw.modules.applyMethods(\"filteroperator\",this.filterOperators);\n\t}\n\treturn this.filterOperators;\n};\n\nexports.getFilterRunPrefixes = function() {\n\tif(!this.filterRunPrefixes) {\n\t\t$tw.Wiki.prototype.filterRunPrefixes = {};\n\t\t$tw.modules.applyMethods(\"filterrunprefix\",this.filterRunPrefixes);\n\t}\n\treturn this.filterRunPrefixes;\n}\n\nexports.filterTiddlers = function(filterString,widget,source) {\n\tvar fn = this.compileFilter(filterString);\n\treturn fn.call(this,source,widget);\n};\n\n/*\nCompile a filter into a function with the signature fn(source,widget) where:\nsource: an iterator function for the source tiddlers, called source(iterator), where iterator is called as iterator(tiddler,title)\nwidget: an optional widget node for retrieving the current tiddler etc.\n*/\nexports.compileFilter = function(filterString) {\n\tvar filterParseTree;\n\ttry {\n\t\tfilterParseTree = this.parseFilter(filterString);\n\t} catch(e) {\n\t\treturn function(source,widget) {\n\t\t\treturn [$tw.language.getString(\"Error/Filter\") + \": \" + e];\n\t\t};\n\t}\n\t// Get the hashmap of filter operator functions\n\tvar filterOperators = this.getFilterOperators();\n\t// Assemble array of functions, one for each operation\n\tvar operationFunctions = [];\n\t// Step through the operations\n\tvar self = this;\n\t$tw.utils.each(filterParseTree,function(operation) {\n\t\t// Create a function for the chain of operators in the operation\n\t\tvar operationSubFunction = function(source,widget) {\n\t\t\tvar accumulator = source,\n\t\t\t\tresults = [],\n\t\t\t\tcurrTiddlerTitle = widget && widget.getVariable(\"currentTiddler\");\n\t\t\t$tw.utils.each(operation.operators,function(operator) {\n\t\t\t\tvar operands = [],\n\t\t\t\t\toperatorFunction;\n\t\t\t\tif(!operator.operator) {\n\t\t\t\t\toperatorFunction = filterOperators.title;\n\t\t\t\t} else if(!filterOperators[operator.operator]) {\n\t\t\t\t\toperatorFunction = filterOperators.field;\n\t\t\t\t} else {\n\t\t\t\t\toperatorFunction = filterOperators[operator.operator];\n\t\t\t\t}\n\t\t\t\t\n\t\t\t\t$tw.utils.each(operator.operands,function(operand) {\n\t\t\t\t\tif(operand.indirect) {\n\t\t\t\t\t\toperand.value = self.getTextReference(operand.text,\"\",currTiddlerTitle);\n\t\t\t\t\t} else if(operand.variable) {\n\t\t\t\t\t\toperand.value = widget.getVariable(operand.text,{defaultValue: \"\"});\n\t\t\t\t\t} else {\n\t\t\t\t\t\toperand.value = operand.text;\n\t\t\t\t\t}\n\t\t\t\t\toperands.push(operand.value);\n\t\t\t\t});\n\n\t\t\t\t// Invoke the appropriate filteroperator module\n\t\t\t\tresults = operatorFunction(accumulator,{\n\t\t\t\t\t\t\toperator: operator.operator,\n\t\t\t\t\t\t\toperand: operands.length > 0 ? operands[0] : undefined,\n\t\t\t\t\t\t\toperands: operands,\n\t\t\t\t\t\t\tprefix: operator.prefix,\n\t\t\t\t\t\t\tsuffix: operator.suffix,\n\t\t\t\t\t\t\tsuffixes: operator.suffixes,\n\t\t\t\t\t\t\tregexp: operator.regexp\n\t\t\t\t\t\t},{\n\t\t\t\t\t\t\twiki: self,\n\t\t\t\t\t\t\twidget: widget\n\t\t\t\t\t\t});\n\t\t\t\tif($tw.utils.isArray(results)) {\n\t\t\t\t\taccumulator = self.makeTiddlerIterator(results);\n\t\t\t\t} else {\n\t\t\t\t\taccumulator = results;\n\t\t\t\t}\n\t\t\t});\n\t\t\tif($tw.utils.isArray(results)) {\n\t\t\t\treturn results;\n\t\t\t} else {\n\t\t\t\tvar resultArray = [];\n\t\t\t\tresults(function(tiddler,title) {\n\t\t\t\t\tresultArray.push(title);\n\t\t\t\t});\n\t\t\t\treturn resultArray;\n\t\t\t}\n\t\t};\n\t\tvar filterRunPrefixes = self.getFilterRunPrefixes();\n\t\t// Wrap the operator functions in a wrapper function that depends on the prefix\n\t\toperationFunctions.push((function() {\n\t\t\tvar options = {wiki: self};\n\t\t\tswitch(operation.prefix || \"\") {\n\t\t\t\tcase \"\": // No prefix means that the operation is unioned into the result\n\t\t\t\t\treturn filterRunPrefixes[\"or\"](operationSubFunction, options);\n\t\t\t\tcase \"=\": // The results of the operation are pushed into the result without deduplication\n\t\t\t\t\treturn filterRunPrefixes[\"all\"](operationSubFunction, options);\n\t\t\t\tcase \"-\": // The results of this operation are removed from the main result\n\t\t\t\t\treturn filterRunPrefixes[\"except\"](operationSubFunction, options);\n\t\t\t\tcase \"+\": // This operation is applied to the main results so far\n\t\t\t\t\treturn filterRunPrefixes[\"and\"](operationSubFunction, options);\n\t\t\t\tcase \"~\": // This operation is unioned into the result only if the main result so far is empty\n\t\t\t\t\treturn filterRunPrefixes[\"else\"](operationSubFunction, options);\n\t\t\t\tdefault: \n\t\t\t\t\tif(operation.namedPrefix && filterRunPrefixes[operation.namedPrefix]) {\n\t\t\t\t\t\treturn filterRunPrefixes[operation.namedPrefix](operationSubFunction, options);\n\t\t\t\t\t} else {\n\t\t\t\t\t\treturn function(results,source,widget) {\n\t\t\t\t\t\t\tresults.clear();\n\t\t\t\t\t\t\tresults.push($tw.language.getString(\"Error/FilterRunPrefix\"));\n\t\t\t\t\t\t};\n\t\t\t\t\t}\n\t\t\t}\n\t\t})());\n\t});\n\t// Return a function that applies the operations to a source iterator of tiddler titles\n\treturn $tw.perf.measure(\"filter: \" + filterString,function filterFunction(source,widget) {\n\t\tif(!source) {\n\t\t\tsource = self.each;\n\t\t} else if(typeof source === \"object\") { // Array or hashmap\n\t\t\tsource = self.makeTiddlerIterator(source);\n\t\t}\n\t\tvar results = new $tw.utils.LinkedList();\n\t\t$tw.utils.each(operationFunctions,function(operationFunction) {\n\t\t\toperationFunction(results,source,widget);\n\t\t});\n\t\treturn results.toArray();\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikimethod"
},
"$:/core/modules/indexers/backlinks-indexer.js": {
"title": "$:/core/modules/indexers/backlinks-indexer.js",
"text": "/*\\\ntitle: $:/core/modules/indexers/backlinks-indexer.js\ntype: application/javascript\nmodule-type: indexer\n\nIndexes the tiddlers' backlinks\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global modules: false */\n\"use strict\";\n\n\nfunction BacklinksIndexer(wiki) {\n\tthis.wiki = wiki;\n}\n\nBacklinksIndexer.prototype.init = function() {\n\tthis.index = null;\n}\n\nBacklinksIndexer.prototype.rebuild = function() {\n\tthis.index = null;\n}\n\nBacklinksIndexer.prototype._getLinks = function(tiddler) {\n\tvar parser = this.wiki.parseText(tiddler.fields.type, tiddler.fields.text, {});\n\tif(parser) {\n\t\treturn this.wiki.extractLinks(parser.tree);\n\t}\n\treturn [];\n}\n\nBacklinksIndexer.prototype.update = function(updateDescriptor) {\n\tif(!this.index) {\n\t\treturn;\n\t}\n\tvar newLinks = [],\n\t oldLinks = [],\n\t self = this;\n\tif(updateDescriptor.old.exists) {\n\t\toldLinks = this._getLinks(updateDescriptor.old.tiddler);\n\t}\n\tif(updateDescriptor.new.exists) {\n\t\tnewLinks = this._getLinks(updateDescriptor.new.tiddler);\n\t}\n\n\t$tw.utils.each(oldLinks,function(link) {\n\t\tif(self.index[link]) {\n\t\t\tdelete self.index[link][updateDescriptor.old.tiddler.fields.title];\n\t\t}\n\t});\n\t$tw.utils.each(newLinks,function(link) {\n\t\tif(!self.index[link]) {\n\t\t\tself.index[link] = Object.create(null);\n\t\t}\n\t\tself.index[link][updateDescriptor.new.tiddler.fields.title] = true;\n\t});\n}\n\nBacklinksIndexer.prototype.lookup = function(title) {\n\tif(!this.index) {\n\t\tthis.index = Object.create(null);\n\t\tvar self = this;\n\t\tthis.wiki.forEachTiddler(function(title,tiddler) {\n\t\t\tvar links = self._getLinks(tiddler);\n\t\t\t$tw.utils.each(links, function(link) {\n\t\t\t\tif(!self.index[link]) {\n\t\t\t\t\tself.index[link] = Object.create(null);\n\t\t\t\t}\n\t\t\t\tself.index[link][title] = true;\n\t\t\t});\n\t\t});\n\t}\n\tif(this.index[title]) {\n\t\treturn Object.keys(this.index[title]);\n\t} else {\n\t\treturn [];\n\t}\n}\n\nexports.BacklinksIndexer = BacklinksIndexer;\n\n})();\n",
"type": "application/javascript",
"module-type": "indexer"
},
"$:/core/modules/indexers/field-indexer.js": {
"title": "$:/core/modules/indexers/field-indexer.js",
"text": "/*\\\ntitle: $:/core/modules/indexers/field-indexer.js\ntype: application/javascript\nmodule-type: indexer\n\nIndexes the tiddlers with each field value\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global modules: false */\n\"use strict\";\n\nvar DEFAULT_MAXIMUM_INDEXED_VALUE_LENGTH = 128;\n\nfunction FieldIndexer(wiki) {\n\tthis.wiki = wiki;\n}\n\nFieldIndexer.prototype.init = function() {\n\tthis.index = null;\n\tthis.maxIndexedValueLength = DEFAULT_MAXIMUM_INDEXED_VALUE_LENGTH;\n\tthis.addIndexMethods();\n}\n\n// Provided for testing\nFieldIndexer.prototype.setMaxIndexedValueLength = function(length) {\n\tthis.index = null;\n\tthis.maxIndexedValueLength = length;\n};\n\nFieldIndexer.prototype.addIndexMethods = function() {\n\tvar self = this;\n\tthis.wiki.each.byField = function(name,value) {\n\t\tvar titles = self.wiki.allTitles(),\n\t\t\tlookup = self.lookup(name,value);\n\t\treturn lookup && lookup.filter(function(title) {\n\t\t\treturn titles.indexOf(title) !== -1;\n\t\t});\n\t};\n\tthis.wiki.eachShadow.byField = function(name,value) {\n\t\tvar titles = self.wiki.allShadowTitles(),\n\t\t\tlookup = self.lookup(name,value);\n\t\treturn lookup && lookup.filter(function(title) {\n\t\t\treturn titles.indexOf(title) !== -1;\n\t\t});\n\t};\n\tthis.wiki.eachTiddlerPlusShadows.byField = function(name,value) {\n\t\tvar lookup = self.lookup(name,value);\n\t\treturn lookup ? lookup.slice(0) : null;\n\t};\n\tthis.wiki.eachShadowPlusTiddlers.byField = function(name,value) {\n\t\tvar lookup = self.lookup(name,value);\n\t\treturn lookup ? lookup.slice(0) : null;\n\t};\n};\n\n/*\nTear down and then rebuild the index as if all tiddlers have changed\n*/\nFieldIndexer.prototype.rebuild = function() {\n\t// Invalidate the index so that it will be rebuilt when it is next used\n\tthis.index = null;\n};\n\n/*\nBuild the index for a particular field\n*/\nFieldIndexer.prototype.buildIndexForField = function(name) {\n\tvar self = this;\n\t// Hashmap by field name of hashmap by field value of array of tiddler titles\n\tthis.index = this.index || Object.create(null);\n\tthis.index[name] = Object.create(null);\n\tvar baseIndex = this.index[name];\n\t// Update the index for each tiddler\n\tthis.wiki.eachTiddlerPlusShadows(function(tiddler,title) {\n\t\tif(name in tiddler.fields) {\n\t\t\tvar value = tiddler.getFieldString(name);\n\t\t\t// Skip any values above the maximum length\n\t\t\tif(value.length < self.maxIndexedValueLength) {\n\t\t\t\tbaseIndex[value] = baseIndex[value] || [];\n\t\t\t\tbaseIndex[value].push(title);\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nUpdate the index in the light of a tiddler value changing; note that the title must be identical. (Renames are handled as a separate delete and create)\nupdateDescriptor: {old: {tiddler: <tiddler>, shadow: <boolean>, exists: <boolean>},new: {tiddler: <tiddler>, shadow: <boolean>, exists: <boolean>}}\n*/\nFieldIndexer.prototype.update = function(updateDescriptor) {\n\tvar self = this;\n\t// Don't do anything if the index hasn't been built yet\n\tif(this.index === null) {\n\t\treturn;\n\t}\n\t// Remove the old tiddler from the index\n\tif(updateDescriptor.old.tiddler) {\n\t\t$tw.utils.each(this.index,function(indexEntry,name) {\n\t\t\tif(name in updateDescriptor.old.tiddler.fields) {\n\t\t\t\tvar value = updateDescriptor.old.tiddler.getFieldString(name),\n\t\t\t\t\ttiddlerList = indexEntry[value];\n\t\t\t\tif(tiddlerList) {\n\t\t\t\t\tvar index = tiddlerList.indexOf(updateDescriptor.old.tiddler.fields.title);\n\t\t\t\t\tif(index !== -1) {\n\t\t\t\t\t\ttiddlerList.splice(index,1);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\t// Add the new tiddler to the index\n\tif(updateDescriptor[\"new\"].tiddler) {\n\t\t$tw.utils.each(this.index,function(indexEntry,name) {\n\t\t\tif(name in updateDescriptor[\"new\"].tiddler.fields) {\n\t\t\t\tvar value = updateDescriptor[\"new\"].tiddler.getFieldString(name);\n\t\t\t\tif(value.length < self.maxIndexedValueLength) {\n\t\t\t\t\tindexEntry[value] = indexEntry[value] || [];\n\t\t\t\t\tindexEntry[value].push(updateDescriptor[\"new\"].tiddler.fields.title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\t\t\n\t}\n};\n\n// Lookup the given field returning a list of tiddler titles\nFieldIndexer.prototype.lookup = function(name,value) {\n\t// Fail the lookup if the value is too long\n\tif(value.length >= this.maxIndexedValueLength) {\n\t\treturn null;\n\t}\n\t// Update the index if it has yet to be built\n\tif(this.index === null || !this.index[name]) {\n\t\tthis.buildIndexForField(name);\n\t}\n\treturn this.index[name][value] || [];\n};\n\nexports.FieldIndexer = FieldIndexer;\n\n})();\n",
"type": "application/javascript",
"module-type": "indexer"
},
"$:/core/modules/indexers/tag-indexer.js": {
"title": "$:/core/modules/indexers/tag-indexer.js",
"text": "/*\\\ntitle: $:/core/modules/indexers/tag-indexer.js\ntype: application/javascript\nmodule-type: indexer\n\nIndexes the tiddlers with each tag\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global modules: false */\n\"use strict\";\n\nfunction TagIndexer(wiki) {\n\tthis.wiki = wiki;\n}\n\nTagIndexer.prototype.init = function() {\n\tthis.subIndexers = [\n\t\tnew TagSubIndexer(this,\"each\"),\n\t\tnew TagSubIndexer(this,\"eachShadow\"),\n\t\tnew TagSubIndexer(this,\"eachTiddlerPlusShadows\"),\n\t\tnew TagSubIndexer(this,\"eachShadowPlusTiddlers\")\n\t];\n\t$tw.utils.each(this.subIndexers,function(subIndexer) {\n\t\tsubIndexer.addIndexMethod();\n\t});\n};\n\nTagIndexer.prototype.rebuild = function() {\n\t$tw.utils.each(this.subIndexers,function(subIndexer) {\n\t\tsubIndexer.rebuild();\n\t});\n};\n\nTagIndexer.prototype.update = function(updateDescriptor) {\n\t$tw.utils.each(this.subIndexers,function(subIndexer) {\n\t\tsubIndexer.update(updateDescriptor);\n\t});\n};\n\nfunction TagSubIndexer(indexer,iteratorMethod) {\n\tthis.indexer = indexer;\n\tthis.iteratorMethod = iteratorMethod;\n\tthis.index = null; // Hashmap of tag title to {isSorted: bool, titles: [array]} or null if not yet initialised\n}\n\nTagSubIndexer.prototype.addIndexMethod = function() {\n\tvar self = this;\n\tthis.indexer.wiki[this.iteratorMethod].byTag = function(tag) {\n\t\treturn self.lookup(tag).slice(0);\n\t};\n};\n\nTagSubIndexer.prototype.rebuild = function() {\n\tvar self = this;\n\t// Hashmap by tag of array of {isSorted:, titles:[]}\n\tthis.index = Object.create(null);\n\t// Add all the tags\n\tthis.indexer.wiki[this.iteratorMethod](function(tiddler,title) {\n\t\t$tw.utils.each(tiddler.fields.tags,function(tag) {\n\t\t\tif(!self.index[tag]) {\n\t\t\t\tself.index[tag] = {isSorted: false, titles: [title]};\n\t\t\t} else {\n\t\t\t\tself.index[tag].titles.push(title);\n\t\t\t}\n\t\t});\t\t\n\t});\n};\n\nTagSubIndexer.prototype.update = function(updateDescriptor) {\n\tthis.index = null;\n};\n\nTagSubIndexer.prototype.lookup = function(tag) {\n\t// Update the index if it has yet to be built\n\tif(this.index === null) {\n\t\tthis.rebuild();\n\t}\n\tvar indexRecord = this.index[tag];\n\tif(indexRecord) {\n\t\tif(!indexRecord.isSorted) {\n\t\t\tif(this.indexer.wiki.sortByList) {\n\t\t\t\tindexRecord.titles = this.indexer.wiki.sortByList(indexRecord.titles,tag);\n\t\t\t}\t\t\t\n\t\t\tindexRecord.isSorted = true;\n\t\t}\n\t\treturn indexRecord.titles;\n\t} else {\n\t\treturn [];\n\t}\n};\n\n\nexports.TagIndexer = TagIndexer;\n\n})();\n",
"type": "application/javascript",
"module-type": "indexer"
},
"$:/core/modules/info/platform.js": {
"title": "$:/core/modules/info/platform.js",
"text": "/*\\\ntitle: $:/core/modules/info/platform.js\ntype: application/javascript\nmodule-type: info\n\nInitialise basic platform $:/info/ tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.getInfoTiddlerFields = function(updateInfoTiddlersCallback) {\n\tvar mapBoolean = function(value) {return value ? \"yes\" : \"no\";},\n\t\tinfoTiddlerFields = [];\n\t// Basics\n\tinfoTiddlerFields.push({title: \"$:/info/browser\", text: mapBoolean(!!$tw.browser)});\n\tinfoTiddlerFields.push({title: \"$:/info/node\", text: mapBoolean(!!$tw.node)});\n\tinfoTiddlerFields.push({title: \"$:/info/startup-timestamp\", text: $tw.utils.stringifyDate(new Date())});\n\tif($tw.browser) {\n\t\t// Document location\n\t\tvar setLocationProperty = function(name,value) {\n\t\t\t\tinfoTiddlerFields.push({title: \"$:/info/url/\" + name, text: value});\t\t\t\n\t\t\t},\n\t\t\tlocation = document.location;\n\t\tsetLocationProperty(\"full\", (location.toString()).split(\"#\")[0]);\n\t\tsetLocationProperty(\"host\", location.host);\n\t\tsetLocationProperty(\"hostname\", location.hostname);\n\t\tsetLocationProperty(\"protocol\", location.protocol);\n\t\tsetLocationProperty(\"port\", location.port);\n\t\tsetLocationProperty(\"pathname\", location.pathname);\n\t\tsetLocationProperty(\"search\", location.search);\n\t\tsetLocationProperty(\"origin\", location.origin);\n\t\t// Screen size\n\t\tinfoTiddlerFields.push({title: \"$:/info/browser/screen/width\", text: window.screen.width.toString()});\n\t\tinfoTiddlerFields.push({title: \"$:/info/browser/screen/height\", text: window.screen.height.toString()});\n \t\t// Dark mode through event listener on MediaQueryList\n \t\tvar mqList = window.matchMedia(\"(prefers-color-scheme: dark)\"),\n \t\t\tgetDarkModeTiddler = function() {return {title: \"$:/info/darkmode\", text: mqList.matches ? \"yes\" : \"no\"};};\n \t\tinfoTiddlerFields.push(getDarkModeTiddler());\n \t\tmqList.addListener(function(event) {\n \t\t\tupdateInfoTiddlersCallback([getDarkModeTiddler()]);\n \t\t});\n\t\t// Language\n\t\tinfoTiddlerFields.push({title: \"$:/info/browser/language\", text: navigator.language || \"\"});\n\t}\n\treturn infoTiddlerFields;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "info"
},
"$:/core/modules/keyboard.js": {
"title": "$:/core/modules/keyboard.js",
"text": "/*\\\ntitle: $:/core/modules/keyboard.js\ntype: application/javascript\nmodule-type: global\n\nKeyboard handling utilities\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar namedKeys = {\n\t\"cancel\": 3,\n\t\"help\": 6,\n\t\"backspace\": 8,\n\t\"tab\": 9,\n\t\"clear\": 12,\n\t\"return\": 13,\n\t\"enter\": 13,\n\t\"pause\": 19,\n\t\"escape\": 27,\n\t\"space\": 32,\n\t\"page_up\": 33,\n\t\"page_down\": 34,\n\t\"end\": 35,\n\t\"home\": 36,\n\t\"left\": 37,\n\t\"up\": 38,\n\t\"right\": 39,\n\t\"down\": 40,\n\t\"printscreen\": 44,\n\t\"insert\": 45,\n\t\"delete\": 46,\n\t\"0\": 48,\n\t\"1\": 49,\n\t\"2\": 50,\n\t\"3\": 51,\n\t\"4\": 52,\n\t\"5\": 53,\n\t\"6\": 54,\n\t\"7\": 55,\n\t\"8\": 56,\n\t\"9\": 57,\n\t\"firefoxsemicolon\": 59,\n\t\"firefoxequals\": 61,\n\t\"a\": 65,\n\t\"b\": 66,\n\t\"c\": 67,\n\t\"d\": 68,\n\t\"e\": 69,\n\t\"f\": 70,\n\t\"g\": 71,\n\t\"h\": 72,\n\t\"i\": 73,\n\t\"j\": 74,\n\t\"k\": 75,\n\t\"l\": 76,\n\t\"m\": 77,\n\t\"n\": 78,\n\t\"o\": 79,\n\t\"p\": 80,\n\t\"q\": 81,\n\t\"r\": 82,\n\t\"s\": 83,\n\t\"t\": 84,\n\t\"u\": 85,\n\t\"v\": 86,\n\t\"w\": 87,\n\t\"x\": 88,\n\t\"y\": 89,\n\t\"z\": 90,\n\t\"numpad0\": 96,\n\t\"numpad1\": 97,\n\t\"numpad2\": 98,\n\t\"numpad3\": 99,\n\t\"numpad4\": 100,\n\t\"numpad5\": 101,\n\t\"numpad6\": 102,\n\t\"numpad7\": 103,\n\t\"numpad8\": 104,\n\t\"numpad9\": 105,\n\t\"multiply\": 106,\n\t\"add\": 107,\n\t\"separator\": 108,\n\t\"subtract\": 109,\n\t\"decimal\": 110,\n\t\"divide\": 111,\n\t\"f1\": 112,\n\t\"f2\": 113,\n\t\"f3\": 114,\n\t\"f4\": 115,\n\t\"f5\": 116,\n\t\"f6\": 117,\n\t\"f7\": 118,\n\t\"f8\": 119,\n\t\"f9\": 120,\n\t\"f10\": 121,\n\t\"f11\": 122,\n\t\"f12\": 123,\n\t\"f13\": 124,\n\t\"f14\": 125,\n\t\"f15\": 126,\n\t\"f16\": 127,\n\t\"f17\": 128,\n\t\"f18\": 129,\n\t\"f19\": 130,\n\t\"f20\": 131,\n\t\"f21\": 132,\n\t\"f22\": 133,\n\t\"f23\": 134,\n\t\"f24\": 135,\n\t\"firefoxminus\": 173,\n\t\"semicolon\": 186,\n\t\"equals\": 187,\n\t\"comma\": 188,\n\t\"dash\": 189,\n\t\"period\": 190,\n\t\"slash\": 191,\n\t\"backquote\": 192,\n\t\"openbracket\": 219,\n\t\"backslash\": 220,\n\t\"closebracket\": 221,\n\t\"quote\": 222\n};\n\nfunction KeyboardManager(options) {\n\tvar self = this;\n\toptions = options || \"\";\n\t// Save the named key hashmap\n\tthis.namedKeys = namedKeys;\n\t// Create a reverse mapping of code to keyname\n\tthis.keyNames = [];\n\t$tw.utils.each(namedKeys,function(keyCode,name) {\n\t\tself.keyNames[keyCode] = name.substr(0,1).toUpperCase() + name.substr(1);\n\t});\n\t// Save the platform-specific name of the \"meta\" key\n\tthis.metaKeyName = $tw.platform.isMac ? \"cmd-\" : \"win-\";\n\tthis.shortcutKeysList = [], // Stores the shortcut-key descriptors\n\tthis.shortcutActionList = [], // Stores the corresponding action strings\n\tthis.shortcutParsedList = []; // Stores the parsed key descriptors\n\tthis.lookupNames = [\"shortcuts\"];\n\tthis.lookupNames.push($tw.platform.isMac ? \"shortcuts-mac\" : \"shortcuts-not-mac\")\n\tthis.lookupNames.push($tw.platform.isWindows ? \"shortcuts-windows\" : \"shortcuts-not-windows\");\n\tthis.lookupNames.push($tw.platform.isLinux ? \"shortcuts-linux\" : \"shortcuts-not-linux\");\n\tthis.updateShortcutLists(this.getShortcutTiddlerList());\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tself.handleShortcutChanges(changes);\n\t});\n}\n\n/*\nReturn an array of keycodes for the modifier keys ctrl, shift, alt, meta\n*/\nKeyboardManager.prototype.getModifierKeys = function() {\n\treturn [\n\t\t16, // Shift\n\t\t17, // Ctrl\n\t\t18, // Alt\n\t\t20, // CAPS LOCK\n\t\t91, // Meta (left)\n\t\t93, // Meta (right)\n\t\t224 // Meta (Firefox)\n\t]\n};\n\n/*\nParses a key descriptor into the structure:\n{\n\tkeyCode: numeric keycode\n\tshiftKey: boolean\n\taltKey: boolean\n\tctrlKey: boolean\n\tmetaKey: boolean\n}\nKey descriptors have the following format:\n\tctrl+enter\n\tctrl+shift+alt+A\n*/\nKeyboardManager.prototype.parseKeyDescriptor = function(keyDescriptor) {\n\tvar components = keyDescriptor.split(/\\+|\\-/),\n\t\tinfo = {\n\t\t\tkeyCode: 0,\n\t\t\tshiftKey: false,\n\t\t\taltKey: false,\n\t\t\tctrlKey: false,\n\t\t\tmetaKey: false\n\t\t};\n\tfor(var t=0; t<components.length; t++) {\n\t\tvar s = components[t].toLowerCase(),\n\t\t\tc = s.charCodeAt(0);\n\t\t// Look for modifier keys\n\t\tif(s === \"ctrl\") {\n\t\t\tinfo.ctrlKey = true;\n\t\t} else if(s === \"shift\") {\n\t\t\tinfo.shiftKey = true;\n\t\t} else if(s === \"alt\") {\n\t\t\tinfo.altKey = true;\n\t\t} else if(s === \"meta\" || s === \"cmd\" || s === \"win\") {\n\t\t\tinfo.metaKey = true;\n\t\t}\n\t\t// Replace named keys with their code\n\t\tif(this.namedKeys[s]) {\n\t\t\tinfo.keyCode = this.namedKeys[s];\n\t\t}\n\t}\n\tif(info.keyCode) {\n\t\treturn info;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nParse a list of key descriptors into an array of keyInfo objects. The key descriptors can be passed as an array of strings or a space separated string\n*/\nKeyboardManager.prototype.parseKeyDescriptors = function(keyDescriptors,options) {\n\tvar self = this;\n\toptions = options || {};\n\toptions.stack = options.stack || [];\n\tvar wiki = options.wiki || $tw.wiki;\n\tif(typeof keyDescriptors === \"string\" && keyDescriptors === \"\") {\n\t\treturn [];\n\t}\n\tif(!$tw.utils.isArray(keyDescriptors)) {\n\t\tkeyDescriptors = keyDescriptors.split(\" \");\n\t}\n\tvar result = [];\n\t$tw.utils.each(keyDescriptors,function(keyDescriptor) {\n\t\t// Look for a named shortcut\n\t\tif(keyDescriptor.substr(0,2) === \"((\" && keyDescriptor.substr(-2,2) === \"))\") {\n\t\t\tif(options.stack.indexOf(keyDescriptor) === -1) {\n\t\t\t\toptions.stack.push(keyDescriptor);\n\t\t\t\tvar name = keyDescriptor.substring(2,keyDescriptor.length - 2),\n\t\t\t\t\tlookupName = function(configName) {\n\t\t\t\t\t\tvar keyDescriptors = wiki.getTiddlerText(\"$:/config/\" + configName + \"/\" + name);\n\t\t\t\t\t\tif(keyDescriptors) {\n\t\t\t\t\t\t\tresult.push.apply(result,self.parseKeyDescriptors(keyDescriptors,options));\n\t\t\t\t\t\t}\n\t\t\t\t\t};\n\t\t\t\t$tw.utils.each(self.lookupNames,function(platformDescriptor) {\n\t\t\t\t\tlookupName(platformDescriptor);\n\t\t\t\t});\n\t\t\t}\n\t\t} else {\n\t\t\tresult.push(self.parseKeyDescriptor(keyDescriptor));\n\t\t}\n\t});\n\treturn result;\n};\n\nKeyboardManager.prototype.getPrintableShortcuts = function(keyInfoArray) {\n\tvar self = this,\n\t\tresult = [];\n\t$tw.utils.each(keyInfoArray,function(keyInfo) {\n\t\tif(keyInfo) {\n\t\t\tresult.push((keyInfo.ctrlKey ? \"ctrl-\" : \"\") + \n\t\t\t\t (keyInfo.shiftKey ? \"shift-\" : \"\") + \n\t\t\t\t (keyInfo.altKey ? \"alt-\" : \"\") + \n\t\t\t\t (keyInfo.metaKey ? self.metaKeyName : \"\") + \n\t\t\t\t (self.keyNames[keyInfo.keyCode]));\n\t\t}\n\t});\n\treturn result;\n}\n\nKeyboardManager.prototype.checkKeyDescriptor = function(event,keyInfo) {\n\treturn keyInfo &&\n\t\t\tevent.keyCode === keyInfo.keyCode && \n\t\t\tevent.shiftKey === keyInfo.shiftKey && \n\t\t\tevent.altKey === keyInfo.altKey && \n\t\t\tevent.ctrlKey === keyInfo.ctrlKey && \n\t\t\tevent.metaKey === keyInfo.metaKey;\n};\n\nKeyboardManager.prototype.checkKeyDescriptors = function(event,keyInfoArray) {\n\tfor(var t=0; t<keyInfoArray.length; t++) {\n\t\tif(this.checkKeyDescriptor(event,keyInfoArray[t])) {\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false;\n};\n\nKeyboardManager.prototype.getEventModifierKeyDescriptor = function(event) {\n\treturn event.ctrlKey && !event.shiftKey && !event.altKey && !event.metaKey ? \"ctrl\" : \n\t\tevent.shiftKey && !event.ctrlKey && !event.altKey && !event.metaKey ? \"shift\" : \n\t\tevent.ctrlKey && event.shiftKey && !event.altKey && !event.metaKey ? \"ctrl-shift\" : \n\t\tevent.altKey && !event.shiftKey && !event.ctrlKey && !event.metaKey ? \"alt\" : \n\t\tevent.altKey && event.shiftKey && !event.ctrlKey && !event.metaKey ? \"alt-shift\" : \n\t\tevent.altKey && event.ctrlKey && !event.shiftKey && !event.metaKey ? \"ctrl-alt\" : \n\t\tevent.altKey && event.shiftKey && event.ctrlKey && !event.metaKey ? \"ctrl-alt-shift\" : \n\t\tevent.metaKey && !event.ctrlKey && !event.shiftKey && !event.altKey ? \"meta\" : \n\t\tevent.metaKey && event.ctrlKey && !event.shiftKey && !event.altKey ? \"meta-ctrl\" :\n\t\tevent.metaKey && event.ctrlKey && event.shiftKey && !event.altKey ? \"meta-ctrl-shift\" :\n\t\tevent.metaKey && event.ctrlKey & event.shiftKey && event.altKey ? \"meta-ctrl-alt-shift\" : \"normal\";\n};\n\nKeyboardManager.prototype.getShortcutTiddlerList = function() {\n\treturn $tw.wiki.getTiddlersWithTag(\"$:/tags/KeyboardShortcut\");\n};\n\nKeyboardManager.prototype.updateShortcutLists = function(tiddlerList) {\n\tthis.shortcutTiddlers = tiddlerList;\n\tfor(var i=0; i<tiddlerList.length; i++) {\n\t\tvar title = tiddlerList[i],\n\t\t\ttiddlerFields = $tw.wiki.getTiddler(title).fields;\n\t\tthis.shortcutKeysList[i] = tiddlerFields.key !== undefined ? tiddlerFields.key : undefined;\n\t\tthis.shortcutActionList[i] = tiddlerFields.text;\n\t\tthis.shortcutParsedList[i] = this.shortcutKeysList[i] !== undefined ? this.parseKeyDescriptors(this.shortcutKeysList[i]) : undefined;\n\t}\n};\n\nKeyboardManager.prototype.handleKeydownEvent = function(event) {\n\tvar key, action;\n\tfor(var i=0; i<this.shortcutTiddlers.length; i++) {\n\t\tif(this.shortcutParsedList[i] !== undefined && this.checkKeyDescriptors(event,this.shortcutParsedList[i])) {\n\t\t\tkey = this.shortcutParsedList[i];\n\t\t\taction = this.shortcutActionList[i];\n\t\t}\n\t}\n\tif(key !== undefined) {\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\t$tw.rootWidget.invokeActionString(action,$tw.rootWidget);\n\t\treturn true;\n\t}\n\treturn false;\n};\n\nKeyboardManager.prototype.detectNewShortcuts = function(changedTiddlers) {\n\tvar shortcutConfigTiddlers = [],\n\t\thandled = false;\n\t$tw.utils.each(this.lookupNames,function(platformDescriptor) {\n\t\tvar descriptorString = \"$:/config/\" + platformDescriptor + \"/\";\n\t\tObject.keys(changedTiddlers).forEach(function(configTiddler) {\n\t\t\tvar configString = configTiddler.substr(0, configTiddler.lastIndexOf(\"/\") + 1);\n\t\t\tif(configString === descriptorString) {\n\t\t\t\tshortcutConfigTiddlers.push(configTiddler);\n\t\t\t\thandled = true;\n\t\t\t}\n\t\t});\n\t});\n\tif(handled) {\n\t\treturn $tw.utils.hopArray(changedTiddlers,shortcutConfigTiddlers);\n\t} else {\n\t\treturn false;\n\t}\n};\n\nKeyboardManager.prototype.handleShortcutChanges = function(changedTiddlers) {\n\tvar newList = this.getShortcutTiddlerList();\n\tvar hasChanged = $tw.utils.hopArray(changedTiddlers,this.shortcutTiddlers) ? true :\n\t\t($tw.utils.hopArray(changedTiddlers,newList) ? true :\n\t\t(this.detectNewShortcuts(changedTiddlers))\n\t);\n\t// Re-cache shortcuts if something changed\n\tif(hasChanged) {\n\t\tthis.updateShortcutLists(newList);\n\t}\n};\n\nexports.KeyboardManager = KeyboardManager;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/language.js": {
"title": "$:/core/modules/language.js",
"text": "/*\\\ntitle: $:/core/modules/language.js\ntype: application/javascript\nmodule-type: global\n\nThe $tw.Language() manages translateable strings\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nCreate an instance of the language manager. Options include:\nwiki: wiki from which to retrieve translation tiddlers\n*/\nfunction Language(options) {\n\toptions = options || \"\";\n\tthis.wiki = options.wiki || $tw.wiki;\n}\n\n/*\nReturn a wikified translateable string. The title is automatically prefixed with \"$:/language/\"\nOptions include:\nvariables: optional hashmap of variables to supply to the language wikification\n*/\nLanguage.prototype.getString = function(title,options) {\n\toptions = options || {};\n\ttitle = \"$:/language/\" + title;\n\treturn this.wiki.renderTiddler(\"text/plain\",title,{variables: options.variables});\n};\n\n/*\nReturn a raw, unwikified translateable string. The title is automatically prefixed with \"$:/language/\"\n*/\nLanguage.prototype.getRawString = function(title) {\n\ttitle = \"$:/language/\" + title;\n\treturn this.wiki.getTiddlerText(title);\n};\n\nexports.Language = Language;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/macros/changecount.js": {
"title": "$:/core/modules/macros/changecount.js",
"text": "/*\\\ntitle: $:/core/modules/macros/changecount.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to return the changecount for the current tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"changecount\";\n\nexports.params = [];\n\n/*\nRun the macro\n*/\nexports.run = function() {\n\treturn this.wiki.getChangeCount(this.getVariable(\"currentTiddler\")) + \"\";\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/contrastcolour.js": {
"title": "$:/core/modules/macros/contrastcolour.js",
"text": "/*\\\ntitle: $:/core/modules/macros/contrastcolour.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to choose which of two colours has the highest contrast with a base colour\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"contrastcolour\";\n\nexports.params = [\n\t{name: \"target\"},\n\t{name: \"fallbackTarget\"},\n\t{name: \"colourA\"},\n\t{name: \"colourB\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(target,fallbackTarget,colourA,colourB) {\n\tvar rgbTarget = $tw.utils.parseCSSColor(target) || $tw.utils.parseCSSColor(fallbackTarget);\n\tif(!rgbTarget) {\n\t\treturn colourA;\n\t}\n\tvar rgbColourA = $tw.utils.parseCSSColor(colourA),\n\t\trgbColourB = $tw.utils.parseCSSColor(colourB);\n\tif(rgbColourA && !rgbColourB) {\n\t\treturn rgbColourA;\n\t}\n\tif(rgbColourB && !rgbColourA) {\n\t\treturn rgbColourB;\n\t}\n\tif(!rgbColourA && !rgbColourB) {\n\t\t// If neither colour is readable, return a crude inverse of the target\n\t\treturn [255 - rgbTarget[0],255 - rgbTarget[1],255 - rgbTarget[2],rgbTarget[3]];\n\t}\n\t// Colour brightness formula derived from http://www.w3.org/WAI/ER/WD-AERT/#color-contrast\n\tvar brightnessTarget = rgbTarget[0] * 0.299 + rgbTarget[1] * 0.587 + rgbTarget[2] * 0.114,\n\t\tbrightnessA = rgbColourA[0] * 0.299 + rgbColourA[1] * 0.587 + rgbColourA[2] * 0.114,\n\t\tbrightnessB = rgbColourB[0] * 0.299 + rgbColourB[1] * 0.587 + rgbColourB[2] * 0.114;\n\treturn Math.abs(brightnessTarget - brightnessA) > Math.abs(brightnessTarget - brightnessB) ? colourA : colourB;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/csvtiddlers.js": {
"title": "$:/core/modules/macros/csvtiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/macros/csvtiddlers.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to output tiddlers matching a filter to CSV\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"csvtiddlers\";\n\nexports.params = [\n\t{name: \"filter\"},\n\t{name: \"format\"},\n];\n\n/*\nRun the macro\n*/\nexports.run = function(filter,format) {\n\tvar self = this,\n\t\ttiddlers = this.wiki.filterTiddlers(filter),\n\t\ttiddler,\n\t\tfields = [],\n\t\tt,f;\n\t// Collect all the fields\n\tfor(t=0;t<tiddlers.length; t++) {\n\t\ttiddler = this.wiki.getTiddler(tiddlers[t]);\n\t\tfor(f in tiddler.fields) {\n\t\t\tif(fields.indexOf(f) === -1) {\n\t\t\t\tfields.push(f);\n\t\t\t}\n\t\t}\n\t}\n\t// Sort the fields and bring the standard ones to the front\n\tfields.sort();\n\t\"title text modified modifier created creator\".split(\" \").reverse().forEach(function(value,index) {\n\t\tvar p = fields.indexOf(value);\n\t\tif(p !== -1) {\n\t\t\tfields.splice(p,1);\n\t\t\tfields.unshift(value)\n\t\t}\n\t});\n\t// Output the column headings\n\tvar output = [], row = [];\n\tfields.forEach(function(value) {\n\t\trow.push(quoteAndEscape(value))\n\t});\n\toutput.push(row.join(\",\"));\n\t// Output each tiddler\n\tfor(var t=0;t<tiddlers.length; t++) {\n\t\trow = [];\n\t\ttiddler = this.wiki.getTiddler(tiddlers[t]);\n\t\t\tfor(f=0; f<fields.length; f++) {\n\t\t\t\trow.push(quoteAndEscape(tiddler ? tiddler.getFieldString(fields[f]) || \"\" : \"\"));\n\t\t\t}\n\t\toutput.push(row.join(\",\"));\n\t}\n\treturn output.join(\"\\n\");\n};\n\nfunction quoteAndEscape(value) {\n\treturn \"\\\"\" + value.replace(/\"/mg,\"\\\"\\\"\") + \"\\\"\";\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/displayshortcuts.js": {
"title": "$:/core/modules/macros/displayshortcuts.js",
"text": "/*\\\ntitle: $:/core/modules/macros/displayshortcuts.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to display a list of keyboard shortcuts in human readable form. Notably, it resolves named shortcuts like `((bold))` to the underlying keystrokes.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"displayshortcuts\";\n\nexports.params = [\n\t{name: \"shortcuts\"},\n\t{name: \"prefix\"},\n\t{name: \"separator\"},\n\t{name: \"suffix\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(shortcuts,prefix,separator,suffix) {\n\tvar shortcutArray = $tw.keyboardManager.getPrintableShortcuts($tw.keyboardManager.parseKeyDescriptors(shortcuts,{\n\t\twiki: this.wiki\n\t}));\n\tif(shortcutArray.length > 0) {\n\t\tshortcutArray.sort(function(a,b) {\n\t\t return a.toLowerCase().localeCompare(b.toLowerCase());\n\t\t})\n\t\treturn prefix + shortcutArray.join(separator) + suffix;\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/jsontiddler.js": {
"title": "$:/core/modules/macros/jsontiddler.js",
"text": "/*\\\ntitle: $:/core/modules/macros/jsontiddler.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to output a single tiddler to JSON\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"jsontiddler\";\n\nexports.params = [\n\t{name: \"title\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(title) {\n\ttitle = title || this.getVariable(\"currentTiddler\");\n\tvar tiddler = !!title && this.wiki.getTiddler(title),\n\t\tfields = new Object();\n\tif(tiddler) {\n\t\tfor(var field in tiddler.fields) {\n\t\t\tfields[field] = tiddler.getFieldString(field);\n\t\t}\n\t}\n\treturn JSON.stringify(fields,null,$tw.config.preferences.jsonSpaces);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/jsontiddlers.js": {
"title": "$:/core/modules/macros/jsontiddlers.js",
"text": "/*\\\ntitle: $:/core/modules/macros/jsontiddlers.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to output tiddlers matching a filter to JSON\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"jsontiddlers\";\n\nexports.params = [\n\t{name: \"filter\"},\n\t{name: \"spaces\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(filter,spaces) {\n\treturn this.wiki.getTiddlersAsJson(filter,$tw.utils.parseInt(spaces));\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/makedatauri.js": {
"title": "$:/core/modules/macros/makedatauri.js",
"text": "/*\\\ntitle: $:/core/modules/macros/makedatauri.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to convert a string of text to a data URI\n\n<<makedatauri text:\"Text to be converted\" type:\"text/vnd.tiddlywiki\">>\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"makedatauri\";\n\nexports.params = [\n\t{name: \"text\"},\n\t{name: \"type\"},\n\t{name: \"_canonical_uri\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(text,type,_canonical_uri) {\n\treturn $tw.utils.makeDataUri(text,type,_canonical_uri);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/now.js": {
"title": "$:/core/modules/macros/now.js",
"text": "/*\\\ntitle: $:/core/modules/macros/now.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to return a formatted version of the current time\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"now\";\n\nexports.params = [\n\t{name: \"format\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(format) {\n\treturn $tw.utils.formatDateString(new Date(),format || \"0hh:0mm, DDth MMM YYYY\");\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/qualify.js": {
"title": "$:/core/modules/macros/qualify.js",
"text": "/*\\\ntitle: $:/core/modules/macros/qualify.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to qualify a state tiddler title according\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"qualify\";\n\nexports.params = [\n\t{name: \"title\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(title) {\n\treturn title + \"-\" + this.getStateQualifier();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/resolvepath.js": {
"title": "$:/core/modules/macros/resolvepath.js",
"text": "/*\\\ntitle: $:/core/modules/macros/resolvepath.js\ntype: application/javascript\nmodule-type: macro\n\nResolves a relative path for an absolute rootpath.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"resolvepath\";\n\nexports.params = [\n\t{name: \"source\"},\n\t{name: \"root\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(source, root) {\n\treturn $tw.utils.resolvePath(source, root);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/unusedtitle.js": {
"title": "$:/core/modules/macros/unusedtitle.js",
"text": "/*\\\ntitle: $:/core/modules/macros/unusedtitle.js\ntype: application/javascript\nmodule-type: macro\nMacro to return a new title that is unused in the wiki. It can be given a name as a base.\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"unusedtitle\";\n\nexports.params = [\n\t{name: \"baseName\"},\n\t{name: \"options\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(baseName, options) {\n\tif(!baseName) {\n\t\tbaseName = $tw.language.getString(\"DefaultNewTiddlerTitle\");\n\t}\n\treturn this.wiki.generateNewTitle(baseName, options);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/macros/version.js": {
"title": "$:/core/modules/macros/version.js",
"text": "/*\\\ntitle: $:/core/modules/macros/version.js\ntype: application/javascript\nmodule-type: macro\n\nMacro to return the TiddlyWiki core version number\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInformation about this macro\n*/\n\nexports.name = \"version\";\n\nexports.params = [];\n\n/*\nRun the macro\n*/\nexports.run = function() {\n\treturn $tw.version;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "macro"
},
"$:/core/modules/parsers/audioparser.js": {
"title": "$:/core/modules/parsers/audioparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/audioparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe audio parser parses an audio tiddler into an embeddable HTML element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar AudioParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"audio\",\n\t\t\tattributes: {\n\t\t\t\tcontrols: {type: \"string\", value: \"controls\"},\n\t\t\t\tstyle: {type: \"string\", value: \"width: 100%; object-fit: contain\"}\n\t\t\t}\n\t\t},\n\t\tsrc;\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\telement.attributes.src = {type: \"string\", value: \"data:\" + type + \";base64,\" + text};\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"audio/ogg\"] = AudioParser;\nexports[\"audio/mpeg\"] = AudioParser;\nexports[\"audio/mp3\"] = AudioParser;\nexports[\"audio/mp4\"] = AudioParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/binaryparser.js": {
"title": "$:/core/modules/parsers/binaryparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/binaryparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe binary parser parses a binary tiddler into a warning message and download link\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar BINARY_WARNING_MESSAGE = \"$:/core/ui/BinaryWarning\";\nvar EXPORT_BUTTON_IMAGE = \"$:/core/images/export-button\";\n\nvar BinaryParser = function(type,text,options) {\n\t// Transclude the binary data tiddler warning message\n\tvar warn = {\n\t\ttype: \"element\",\n\t\ttag: \"p\",\n\t\tchildren: [{\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: BINARY_WARNING_MESSAGE}\n\t\t\t}\n\t\t}]\n\t};\n\t// Create download link based on binary tiddler title\n\tvar link = {\n\t\ttype: \"element\",\n\t\ttag: \"a\",\n\t\tattributes: {\n\t\t\ttitle: {type: \"indirect\", textReference: \"!!title\"},\n\t\t\tdownload: {type: \"indirect\", textReference: \"!!title\"}\n\t\t},\n\t\tchildren: [{\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: EXPORT_BUTTON_IMAGE}\n\t\t\t}\n\t\t}]\n\t};\n\t// Set the link href to external or internal data URI\n\tif(options._canonical_uri) {\n\t\tlink.attributes.href = {\n\t\t\ttype: \"string\", \n\t\t\tvalue: options._canonical_uri\n\t\t};\n\t} else if(text) {\n\t\tlink.attributes.href = {\n\t\t\ttype: \"string\", \n\t\t\tvalue: \"data:\" + type + \";base64,\" + text\n\t\t};\n\t}\n\t// Combine warning message and download link in a div\n\tvar element = {\n\t\ttype: \"element\",\n\t\ttag: \"div\",\n\t\tattributes: {\n\t\t\tclass: {type: \"string\", value: \"tc-binary-warning\"}\n\t\t},\n\t\tchildren: [warn, link]\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"application/octet-stream\"] = BinaryParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/csvparser.js": {
"title": "$:/core/modules/parsers/csvparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/csvparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe CSV text parser processes CSV files into a table wrapped in a scrollable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar CsvParser = function(type,text,options) {\n\t// Table framework\n\tthis.tree = [{\n\t\t\"type\": \"scrollable\", \"children\": [{\n\t\t\t\"type\": \"element\", \"tag\": \"table\", \"children\": [{\n\t\t\t\t\"type\": \"element\", \"tag\": \"tbody\", \"children\": []\n\t\t\t}], \"attributes\": {\n\t\t\t\t\"class\": {\"type\": \"string\", \"value\": \"tc-csv-table\"}\n\t\t\t}\n\t\t}]\n\t}];\n\t// Split the text into lines\n\tvar lines = text.split(/\\r?\\n/mg),\n\t\ttag = \"th\";\n\tfor(var line=0; line<lines.length; line++) {\n\t\tvar lineText = lines[line];\n\t\tif(lineText) {\n\t\t\tvar row = {\n\t\t\t\t\t\"type\": \"element\", \"tag\": \"tr\", \"children\": []\n\t\t\t\t};\n\t\t\tvar columns = lineText.split(\",\");\n\t\t\tfor(var column=0; column<columns.length; column++) {\n\t\t\t\trow.children.push({\n\t\t\t\t\t\t\"type\": \"element\", \"tag\": tag, \"children\": [{\n\t\t\t\t\t\t\t\"type\": \"text\",\n\t\t\t\t\t\t\t\"text\": columns[column]\n\t\t\t\t\t\t}]\n\t\t\t\t\t});\n\t\t\t}\n\t\t\ttag = \"td\";\n\t\t\tthis.tree[0].children[0].children[0].children.push(row);\n\t\t}\n\t}\n};\n\nexports[\"text/csv\"] = CsvParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/htmlparser.js": {
"title": "$:/core/modules/parsers/htmlparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/htmlparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe HTML parser displays text as raw HTML\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HtmlParser = function(type,text,options) {\n\tvar src;\n\tif(options._canonical_uri) {\n\t\tsrc = options._canonical_uri;\n\t} else if(text) {\n\t\tsrc = \"data:text/html;charset=utf-8,\" + encodeURIComponent(text);\n\t}\n\tthis.tree = [{\n\t\ttype: \"element\",\n\t\ttag: \"iframe\",\n\t\tattributes: {\n\t\t\tsrc: {type: \"string\", value: src},\n\t\t\tsandbox: {type: \"string\", value: \"\"}\n\t\t}\n\t}];\n};\n\nexports[\"text/html\"] = HtmlParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/imageparser.js": {
"title": "$:/core/modules/parsers/imageparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/imageparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe image parser parses an image into an embeddable HTML element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar ImageParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"img\",\n\t\t\tattributes: {}\n\t\t};\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\tif(type === \"image/svg+xml\" || type === \".svg\") {\n\t\t\telement.attributes.src = {type: \"string\", value: \"data:image/svg+xml,\" + encodeURIComponent(text)};\n\t\t} else {\n\t\t\telement.attributes.src = {type: \"string\", value: \"data:\" + type + \";base64,\" + text};\n\t\t}\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"image/svg+xml\"] = ImageParser;\nexports[\"image/jpg\"] = ImageParser;\nexports[\"image/jpeg\"] = ImageParser;\nexports[\"image/png\"] = ImageParser;\nexports[\"image/gif\"] = ImageParser;\nexports[\"image/webp\"] = ImageParser;\nexports[\"image/heic\"] = ImageParser;\nexports[\"image/heif\"] = ImageParser;\nexports[\"image/x-icon\"] = ImageParser;\nexports[\"image/vnd.microsoft.icon\"] = ImageParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/utils/parseutils.js": {
"title": "$:/core/modules/utils/parseutils.js",
"text": "/*\\\ntitle: $:/core/modules/utils/parseutils.js\ntype: application/javascript\nmodule-type: utils\n\nUtility functions concerned with parsing text into tokens.\n\nMost functions have the following pattern:\n\n* The parameters are:\n** `source`: the source string being parsed\n** `pos`: the current parse position within the string\n** Any further parameters are used to identify the token that is being parsed\n* The return value is:\n** null if the token was not found at the specified position\n** an object representing the token with the following standard fields:\n*** `type`: string indicating the type of the token\n*** `start`: start position of the token in the source string\n*** `end`: end position of the token in the source string\n*** Any further fields required to describe the token\n\nThe exception is `skipWhiteSpace`, which just returns the position after the whitespace.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nLook for a whitespace token. Returns null if not found, otherwise returns {type: \"whitespace\", start:, end:,}\n*/\nexports.parseWhiteSpace = function(source,pos) {\n\tvar p = pos,c;\n\twhile(true) {\n\t\tc = source.charAt(p);\n\t\tif((c === \" \") || (c === \"\\f\") || (c === \"\\n\") || (c === \"\\r\") || (c === \"\\t\") || (c === \"\\v\") || (c === \"\\u00a0\")) { // Ignores some obscure unicode spaces\n\t\t\tp++;\n\t\t} else {\n\t\t\tbreak;\n\t\t}\n\t}\n\tif(p === pos) {\n\t\treturn null;\n\t} else {\n\t\treturn {\n\t\t\ttype: \"whitespace\",\n\t\t\tstart: pos,\n\t\t\tend: p\n\t\t}\n\t}\n};\n\n/*\nConvenience wrapper for parseWhiteSpace. Returns the position after the whitespace\n*/\nexports.skipWhiteSpace = function(source,pos) {\n\tvar c;\n\twhile(true) {\n\t\tc = source.charAt(pos);\n\t\tif((c === \" \") || (c === \"\\f\") || (c === \"\\n\") || (c === \"\\r\") || (c === \"\\t\") || (c === \"\\v\") || (c === \"\\u00a0\")) { // Ignores some obscure unicode spaces\n\t\t\tpos++;\n\t\t} else {\n\t\t\treturn pos;\n\t\t}\n\t}\n};\n\n/*\nLook for a given string token. Returns null if not found, otherwise returns {type: \"token\", value:, start:, end:,}\n*/\nexports.parseTokenString = function(source,pos,token) {\n\tvar match = source.indexOf(token,pos) === pos;\n\tif(match) {\n\t\treturn {\n\t\t\ttype: \"token\",\n\t\t\tvalue: token,\n\t\t\tstart: pos,\n\t\t\tend: pos + token.length\n\t\t};\n\t}\n\treturn null;\n};\n\n/*\nLook for a token matching a regex. Returns null if not found, otherwise returns {type: \"regexp\", match:, start:, end:,}\n*/\nexports.parseTokenRegExp = function(source,pos,reToken) {\n\tvar node = {\n\t\ttype: \"regexp\",\n\t\tstart: pos\n\t};\n\treToken.lastIndex = pos;\n\tnode.match = reToken.exec(source);\n\tif(node.match && node.match.index === pos) {\n\t\tnode.end = pos + node.match[0].length;\n\t\treturn node;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nLook for a string literal. Returns null if not found, otherwise returns {type: \"string\", value:, start:, end:,}\n*/\nexports.parseStringLiteral = function(source,pos) {\n\tvar node = {\n\t\ttype: \"string\",\n\t\tstart: pos\n\t};\n\tvar reString = /(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\")|(?:'([^']*)')/g;\n\treString.lastIndex = pos;\n\tvar match = reString.exec(source);\n\tif(match && match.index === pos) {\n\t\tnode.value = match[1] !== undefined ? match[1] :(\n\t\t\tmatch[2] !== undefined ? match[2] : match[3] \n\t\t\t\t\t);\n\t\tnode.end = pos + match[0].length;\n\t\treturn node;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nLook for a macro invocation parameter. Returns null if not found, or {type: \"macro-parameter\", name:, value:, start:, end:}\n*/\nexports.parseMacroParameter = function(source,pos) {\n\tvar node = {\n\t\ttype: \"macro-parameter\",\n\t\tstart: pos\n\t};\n\t// Define our regexp\n\tvar reMacroParameter = /(?:([A-Za-z0-9\\-_]+)\\s*:)?(?:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|((?:(?:>(?!>))|[^\\s>\"'])+)))/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the parameter\n\tvar token = $tw.utils.parseTokenRegExp(source,pos,reMacroParameter);\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Get the parameter details\n\tnode.value = token.match[2] !== undefined ? token.match[2] : (\n\t\t\t\t\ttoken.match[3] !== undefined ? token.match[3] : (\n\t\t\t\t\t\ttoken.match[4] !== undefined ? token.match[4] : (\n\t\t\t\t\t\t\ttoken.match[5] !== undefined ? token.match[5] : (\n\t\t\t\t\t\t\t\ttoken.match[6] !== undefined ? token.match[6] : (\n\t\t\t\t\t\t\t\t\t\"\"\n\t\t\t\t\t\t\t\t)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t)\n\t\t\t\t\t)\n\t\t\t\t);\n\tif(token.match[1]) {\n\t\tnode.name = token.match[1];\n\t}\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n/*\nLook for a macro invocation. Returns null if not found, or {type: \"macrocall\", name:, parameters:, start:, end:}\n*/\nexports.parseMacroInvocation = function(source,pos) {\n\tvar node = {\n\t\ttype: \"macrocall\",\n\t\tstart: pos,\n\t\tparams: []\n\t};\n\t// Define our regexps\n\tvar reMacroName = /([^\\s>\"'=]+)/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a double less than sign\n\tvar token = $tw.utils.parseTokenString(source,pos,\"<<\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Get the macro name\n\tvar name = $tw.utils.parseTokenRegExp(source,pos,reMacroName);\n\tif(!name) {\n\t\treturn null;\n\t}\n\tnode.name = name.match[1];\n\tpos = name.end;\n\t// Process parameters\n\tvar parameter = $tw.utils.parseMacroParameter(source,pos);\n\twhile(parameter) {\n\t\tnode.params.push(parameter);\n\t\tpos = parameter.end;\n\t\t// Get the next parameter\n\t\tparameter = $tw.utils.parseMacroParameter(source,pos);\n\t}\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a double greater than sign\n\ttoken = $tw.utils.parseTokenString(source,pos,\">>\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n/*\nLook for an HTML attribute definition. Returns null if not found, otherwise returns {type: \"attribute\", name:, valueType: \"string|indirect|macro\", value:, start:, end:,}\n*/\nexports.parseAttribute = function(source,pos) {\n\tvar node = {\n\t\tstart: pos\n\t};\n\t// Define our regexps\n\tvar reAttributeName = /([^\\/\\s>\"'=]+)/g,\n\t\treUnquotedAttribute = /([^\\/\\s<>\"'=]+)/g,\n\t\treFilteredValue = /\\{\\{\\{(.+?)\\}\\}\\}/g,\n\t\treIndirectValue = /\\{\\{([^\\}]+)\\}\\}/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Get the attribute name\n\tvar name = $tw.utils.parseTokenRegExp(source,pos,reAttributeName);\n\tif(!name) {\n\t\treturn null;\n\t}\n\tnode.name = name.match[1];\n\tpos = name.end;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for an equals sign\n\tvar token = $tw.utils.parseTokenString(source,pos,\"=\");\n\tif(token) {\n\t\tpos = token.end;\n\t\t// Skip whitespace\n\t\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t\t// Look for a string literal\n\t\tvar stringLiteral = $tw.utils.parseStringLiteral(source,pos);\n\t\tif(stringLiteral) {\n\t\t\tpos = stringLiteral.end;\n\t\t\tnode.type = \"string\";\n\t\t\tnode.value = stringLiteral.value;\n\t\t} else {\n\t\t\t// Look for a filtered value\n\t\t\tvar filteredValue = $tw.utils.parseTokenRegExp(source,pos,reFilteredValue);\n\t\t\tif(filteredValue) {\n\t\t\t\tpos = filteredValue.end;\n\t\t\t\tnode.type = \"filtered\";\n\t\t\t\tnode.filter = filteredValue.match[1];\n\t\t\t} else {\n\t\t\t\t// Look for an indirect value\n\t\t\t\tvar indirectValue = $tw.utils.parseTokenRegExp(source,pos,reIndirectValue);\n\t\t\t\tif(indirectValue) {\n\t\t\t\t\tpos = indirectValue.end;\n\t\t\t\t\tnode.type = \"indirect\";\n\t\t\t\t\tnode.textReference = indirectValue.match[1];\n\t\t\t\t} else {\n\t\t\t\t\t// Look for a unquoted value\n\t\t\t\t\tvar unquotedValue = $tw.utils.parseTokenRegExp(source,pos,reUnquotedAttribute);\n\t\t\t\t\tif(unquotedValue) {\n\t\t\t\t\t\tpos = unquotedValue.end;\n\t\t\t\t\t\tnode.type = \"string\";\n\t\t\t\t\t\tnode.value = unquotedValue.match[1];\n\t\t\t\t\t} else {\n\t\t\t\t\t\t// Look for a macro invocation value\n\t\t\t\t\t\tvar macroInvocation = $tw.utils.parseMacroInvocation(source,pos);\n\t\t\t\t\t\tif(macroInvocation) {\n\t\t\t\t\t\t\tpos = macroInvocation.end;\n\t\t\t\t\t\t\tnode.type = \"macro\";\n\t\t\t\t\t\t\tnode.value = macroInvocation;\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\tnode.type = \"string\";\n\t\t\t\t\t\t\tnode.value = \"true\";\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t} else {\n\t\tnode.type = \"string\";\n\t\tnode.value = \"true\";\n\t}\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/parsers/pdfparser.js": {
"title": "$:/core/modules/parsers/pdfparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/pdfparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe PDF parser embeds a PDF viewer\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar ImageParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"embed\",\n\t\t\tattributes: {}\n\t\t},\n\t\tsrc;\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\telement.attributes.src = {type: \"string\", value: \"data:application/pdf;base64,\" + text};\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"application/pdf\"] = ImageParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/textparser.js": {
"title": "$:/core/modules/parsers/textparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/textparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe plain text parser processes blocks of source text into a degenerate parse tree consisting of a single text node\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar TextParser = function(type,text,options) {\n\tthis.tree = [{\n\t\ttype: \"codeblock\",\n\t\tattributes: {\n\t\t\tcode: {type: \"string\", value: text},\n\t\t\tlanguage: {type: \"string\", value: type}\n\t\t}\n\t}];\n};\n\nexports[\"text/plain\"] = TextParser;\nexports[\"text/x-tiddlywiki\"] = TextParser;\nexports[\"application/javascript\"] = TextParser;\nexports[\"application/json\"] = TextParser;\nexports[\"text/css\"] = TextParser;\nexports[\"application/x-tiddler-dictionary\"] = TextParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/videoparser.js": {
"title": "$:/core/modules/parsers/videoparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/videoparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe video parser parses a video tiddler into an embeddable HTML element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar VideoParser = function(type,text,options) {\n\tvar element = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"video\",\n\t\t\tattributes: {\n\t\t\t\tcontrols: {type: \"string\", value: \"controls\"},\n\t\t\t\tstyle: {type: \"string\", value: \"width: 100%; object-fit: contain\"}\n\t\t\t}\n\t\t},\n\t\tsrc;\n\tif(options._canonical_uri) {\n\t\telement.attributes.src = {type: \"string\", value: options._canonical_uri};\n\t} else if(text) {\n\t\telement.attributes.src = {type: \"string\", value: \"data:\" + type + \";base64,\" + text};\n\t}\n\tthis.tree = [element];\n};\n\nexports[\"video/ogg\"] = VideoParser;\nexports[\"video/webm\"] = VideoParser;\nexports[\"video/mp4\"] = VideoParser;\nexports[\"video/quicktime\"] = VideoParser;\n\n})();\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/wikiparser/rules/codeblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/codeblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/codeblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for code blocks. For example:\n\n```\n\t```\n\tThis text will not be //wikified//\n\t```\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"codeblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match and get language if defined\n\tthis.matchRegExp = /```([\\w-]*)\\r?\\n/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /(\\r?\\n```$)/mg;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Look for the end of the block\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext;\n\t// Process the block\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\t// Return the $codeblock widget\n\treturn [{\n\t\t\ttype: \"codeblock\",\n\t\t\tattributes: {\n\t\t\t\t\tcode: {type: \"string\", value: text},\n\t\t\t\t\tlanguage: {type: \"string\", value: this.match[1]}\n\t\t\t}\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/codeinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/codeinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/codeinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for code runs. For example:\n\n```\n\tThis is a `code run`.\n\tThis is another ``code run``\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"codeinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(``?)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar reEnd = new RegExp(this.match[1], \"mg\");\n\t// Look for the end marker\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext;\n\t// Process the text\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"code\",\n\t\tchildren: [{\n\t\t\ttype: \"text\",\n\t\t\ttext: text\n\t\t}]\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/commentblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/commentblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/commentblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for HTML comments. For example:\n\n```\n<!-- This is a comment -->\n```\n\nNote that the syntax for comments is simplified to an opening \"<!--\" sequence and a closing \"-->\" sequence -- HTML itself implements a more complex format (see http://ostermiller.org/findhtmlcomment.html)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"commentblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\tthis.matchRegExp = /<!--/mg;\n\tthis.endMatchRegExp = /-->/mg;\n};\n\nexports.findNextMatch = function(startPos) {\n\tthis.matchRegExp.lastIndex = startPos;\n\tthis.match = this.matchRegExp.exec(this.parser.source);\n\tif(this.match) {\n\t\tthis.endMatchRegExp.lastIndex = this.match.index + this.match[0].length;\n\t\tthis.endMatch = this.endMatchRegExp.exec(this.parser.source);\n\t\tif(this.endMatch) {\n\t\t\treturn this.match.index;\n\t\t}\n\t}\n\treturn undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.endMatchRegExp.lastIndex;\n\t// Don't return any elements\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/commentinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/commentinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/commentinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for HTML comments. For example:\n\n```\n<!-- This is a comment -->\n```\n\nNote that the syntax for comments is simplified to an opening \"<!--\" sequence and a closing \"-->\" sequence -- HTML itself implements a more complex format (see http://ostermiller.org/findhtmlcomment.html)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"commentinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\tthis.matchRegExp = /<!--/mg;\n\tthis.endMatchRegExp = /-->/mg;\n};\n\nexports.findNextMatch = function(startPos) {\n\tthis.matchRegExp.lastIndex = startPos;\n\tthis.match = this.matchRegExp.exec(this.parser.source);\n\tif(this.match) {\n\t\tthis.endMatchRegExp.lastIndex = this.match.index + this.match[0].length;\n\t\tthis.endMatch = this.endMatchRegExp.exec(this.parser.source);\n\t\tif(this.endMatch) {\n\t\t\treturn this.match.index;\n\t\t}\n\t}\n\treturn undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.endMatchRegExp.lastIndex;\n\t// Don't return any elements\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/dash.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/dash.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/dash.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for dashes. For example:\n\n```\nThis is an en-dash: --\n\nThis is an em-dash: ---\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"dash\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /-{2,3}(?!-)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar dash = this.match[0].length === 2 ? \"–\" : \"—\";\n\treturn [{\n\t\ttype: \"entity\",\n\t\tentity: dash\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/bold.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/bold.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/bold.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - bold. For example:\n\n```\n\tThis is ''bold'' text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except bold \n\\rules only bold \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"bold\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /''/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/''/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"strong\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/italic.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/italic.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/italic.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - italic. For example:\n\n```\n\tThis is //italic// text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except italic\n\\rules only italic\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"italic\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\/\\//mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/\\/\\//mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"em\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/strikethrough.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/strikethrough.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/strikethrough.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - strikethrough. For example:\n\n```\n\tThis is ~~strikethrough~~ text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except strikethrough \n\\rules only strikethrough \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"strikethrough\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /~~/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/~~/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"strike\",\n\t\tchildren: tree\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/subscript.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/subscript.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/subscript.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - subscript. For example:\n\n```\n\tThis is ,,subscript,, text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except subscript \n\\rules only subscript \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"subscript\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /,,/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/,,/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"sub\",\n\t\tchildren: tree\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/superscript.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/superscript.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/superscript.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - superscript. For example:\n\n```\n\tThis is ^^superscript^^ text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except superscript \n\\rules only superscript \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"superscript\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\^\\^/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/\\^\\^/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"sup\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/emphasis/underscore.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/emphasis/underscore.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/emphasis/underscore.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for emphasis - underscore. For example:\n\n```\n\tThis is __underscore__ text\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except underscore \n\\rules only underscore\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"underscore\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /__/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\n\t// Parse the run including the terminator\n\tvar tree = this.parser.parseInlineRun(/__/mg,{eatTerminator: true});\n\n\t// Return the classed span\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"u\",\n\t\tchildren: tree\n\t}];\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/entity.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/entity.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/entity.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for HTML entities. For example:\n\n```\n\tThis is a copyright symbol: ©\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"entity\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(&#?[a-zA-Z0-9]{2,8};)/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get all the details of the match\n\tvar entityString = this.match[1];\n\t// Move past the macro call\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Return the entity\n\treturn [{type: \"entity\", entity: this.match[0]}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/extlink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/extlink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/extlink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for external links. For example:\n\n```\nAn external link: https://www.tiddlywiki.com/\n\nA suppressed external link: ~http://www.tiddlyspace.com/\n```\n\nExternal links can be suppressed by preceding them with `~`.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"extlink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /~?(?:file|http|https|mailto|ftp|irc|news|data|skype):[^\\s<>{}\\[\\]`|\"\\\\^]+(?:\\/|\\b)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Create the link unless it is suppressed\n\tif(this.match[0].substr(0,1) === \"~\") {\n\t\treturn [{type: \"text\", text: this.match[0].substr(1)}];\n\t} else {\n\t\treturn [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"a\",\n\t\t\tattributes: {\n\t\t\t\thref: {type: \"string\", value: this.match[0]},\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-tiddlylink-external\"},\n\t\t\t\ttarget: {type: \"string\", value: \"_blank\"},\n\t\t\t\trel: {type: \"string\", value: \"noopener noreferrer\"}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\", text: this.match[0]\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/filteredtranscludeblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/filteredtranscludeblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/filteredtranscludeblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for block-level filtered transclusion. For example:\n\n```\n{{{ [tag[docs]] }}}\n{{{ [tag[docs]] |tooltip}}}\n{{{ [tag[docs]] ||TemplateTitle}}}\n{{{ [tag[docs]] |tooltip||TemplateTitle}}}\n{{{ [tag[docs]] }}width:40;height:50;}.class.class\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"filteredtranscludeblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{\\{([^\\|]+?)(?:\\|([^\\|\\{\\}]+))?(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}([^\\}]*)\\}(?:\\.(\\S+))?(?:\\r?\\n|$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar filter = this.match[1],\n\t\ttooltip = this.match[2],\n\t\ttemplate = $tw.utils.trim(this.match[3]),\n\t\tstyle = this.match[4],\n\t\tclasses = this.match[5];\n\t// Return the list widget\n\tvar node = {\n\t\ttype: \"list\",\n\t\tattributes: {\n\t\t\tfilter: {type: \"string\", value: filter}\n\t\t},\n\t\tisBlock: true\n\t};\n\tif(tooltip) {\n\t\tnode.attributes.tooltip = {type: \"string\", value: tooltip};\n\t}\n\tif(template) {\n\t\tnode.attributes.template = {type: \"string\", value: template};\n\t}\n\tif(style) {\n\t\tnode.attributes.style = {type: \"string\", value: style};\n\t}\n\tif(classes) {\n\t\tnode.attributes.itemClass = {type: \"string\", value: classes.split(\".\").join(\" \")};\n\t}\n\treturn [node];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/filteredtranscludeinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/filteredtranscludeinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/filteredtranscludeinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for inline filtered transclusion. For example:\n\n```\n{{{ [tag[docs]] }}}\n{{{ [tag[docs]] |tooltip}}}\n{{{ [tag[docs]] ||TemplateTitle}}}\n{{{ [tag[docs]] |tooltip||TemplateTitle}}}\n{{{ [tag[docs]] }}width:40;height:50;}.class.class\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"filteredtranscludeinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{\\{([^\\|]+?)(?:\\|([^\\|\\{\\}]+))?(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}([^\\}]*)\\}(?:\\.(\\S+))?/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar filter = this.match[1],\n\t\ttooltip = this.match[2],\n\t\ttemplate = $tw.utils.trim(this.match[3]),\n\t\tstyle = this.match[4],\n\t\tclasses = this.match[5];\n\t// Return the list widget\n\tvar node = {\n\t\ttype: \"list\",\n\t\tattributes: {\n\t\t\tfilter: {type: \"string\", value: filter}\n\t\t}\n\t};\n\tif(tooltip) {\n\t\tnode.attributes.tooltip = {type: \"string\", value: tooltip};\n\t}\n\tif(template) {\n\t\tnode.attributes.template = {type: \"string\", value: template};\n\t}\n\tif(style) {\n\t\tnode.attributes.style = {type: \"string\", value: style};\n\t}\n\tif(classes) {\n\t\tnode.attributes.itemClass = {type: \"string\", value: classes.split(\".\").join(\" \")};\n\t}\n\treturn [node];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/hardlinebreaks.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/hardlinebreaks.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/hardlinebreaks.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for marking areas with hard line breaks. For example:\n\n```\n\"\"\"\nThis is some text\nThat is set like\nIt is a Poem\nWhen it is\nClearly\nNot\n\"\"\"\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"hardlinebreaks\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\"\"\"(?:\\r?\\n)?/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /(\"\"\")|(\\r?\\n)/mg,\n\t\ttree = [],\n\t\tmatch;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tdo {\n\t\t// Parse the run up to the terminator\n\t\ttree.push.apply(tree,this.parser.parseInlineRun(reEnd,{eatTerminator: false}));\n\t\t// Redo the terminator match\n\t\treEnd.lastIndex = this.parser.pos;\n\t\tmatch = reEnd.exec(this.parser.source);\n\t\tif(match) {\n\t\t\tthis.parser.pos = reEnd.lastIndex;\n\t\t\t// Add a line break if the terminator was a line break\n\t\t\tif(match[2]) {\n\t\t\t\ttree.push({type: \"element\", tag: \"br\"});\n\t\t\t}\n\t\t}\n\t} while(match && !match[1]);\n\t// Return the nodes\n\treturn tree;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/heading.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/heading.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/heading.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for headings\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"heading\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(!{1,6})/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get all the details of the match\n\tvar headingLevel = this.match[1].length;\n\t// Move past the !s\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse any classes, whitespace and then the heading itself\n\tvar classes = this.parser.parseClasses();\n\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\tvar tree = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t// Return the heading\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"h\" + headingLevel, \n\t\tattributes: {\n\t\t\t\"class\": {type: \"string\", value: classes.join(\" \")}\n\t\t},\n\t\tchildren: tree\n\t}];\n};\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/horizrule.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/horizrule.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/horizrule.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for rules. For example:\n\n```\n---\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"horizrule\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /-{3,}\\r?(?:\\n|$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\treturn [{type: \"element\", tag: \"hr\"}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/html.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/html.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/html.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki rule for HTML elements and widgets. For example:\n\n{{{\n<aside>\nThis is an HTML5 aside element\n</aside>\n\n<$slider target=\"MyTiddler\">\nThis is a widget invocation\n</$slider>\n\n}}}\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"html\";\nexports.types = {inline: true, block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\t// Find the next tag\n\tthis.nextTag = this.findNextTag(this.parser.source,startPos,{\n\t\trequireLineBreak: this.is.block\n\t});\n\treturn this.nextTag ? this.nextTag.start : undefined;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Retrieve the most recent match so that recursive calls don't overwrite it\n\tvar tag = this.nextTag;\n\tthis.nextTag = null;\n\t// Advance the parser position to past the tag\n\tthis.parser.pos = tag.end;\n\t// Check for an immediately following double linebreak\n\tvar hasLineBreak = !tag.isSelfClosing && !!$tw.utils.parseTokenRegExp(this.parser.source,this.parser.pos,/([^\\S\\n\\r]*\\r?\\n(?:[^\\S\\n\\r]*\\r?\\n|$))/g);\n\t// Set whether we're in block mode\n\ttag.isBlock = this.is.block || hasLineBreak;\n\t// Parse the body if we need to\n\tif(!tag.isSelfClosing && $tw.config.htmlVoidElements.indexOf(tag.tag) === -1) {\n\t\tvar reEndString = \"</\" + $tw.utils.escapeRegExp(tag.tag) + \">\";\n\t\tif(hasLineBreak) {\n\t\t\ttag.children = this.parser.parseBlocks(reEndString);\n\t\t} else {\n\t\t\tvar reEnd = new RegExp(\"(\" + reEndString + \")\",\"mg\");\n\t\t\ttag.children = this.parser.parseInlineRun(reEnd,{eatTerminator: true});\n\t\t}\n\t}\n\t// Return the tag\n\treturn [tag];\n};\n\n/*\nLook for an HTML tag. Returns null if not found, otherwise returns {type: \"element\", name:, attributes: [], isSelfClosing:, start:, end:,}\n*/\nexports.parseTag = function(source,pos,options) {\n\toptions = options || {};\n\tvar token,\n\t\tnode = {\n\t\t\ttype: \"element\",\n\t\t\tstart: pos,\n\t\t\tattributes: {}\n\t\t};\n\t// Define our regexps\n\tvar reTagName = /([a-zA-Z0-9\\-\\$]+)/g;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a less than sign\n\ttoken = $tw.utils.parseTokenString(source,pos,\"<\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Get the tag name\n\ttoken = $tw.utils.parseTokenRegExp(source,pos,reTagName);\n\tif(!token) {\n\t\treturn null;\n\t}\n\tnode.tag = token.match[1];\n\tif(node.tag.slice(1).indexOf(\"$\") !== -1) {\n\t\treturn null;\n\t}\n\tif(node.tag.charAt(0) === \"$\") {\n\t\tnode.type = node.tag.substr(1);\n\t}\n\tpos = token.end;\n\t// Check that the tag is terminated by a space, / or >\n\tif(!$tw.utils.parseWhiteSpace(source,pos) && !(source.charAt(pos) === \"/\") && !(source.charAt(pos) === \">\") ) {\n\t\treturn null;\n\t}\n\t// Process attributes\n\tvar attribute = $tw.utils.parseAttribute(source,pos);\n\twhile(attribute) {\n\t\tnode.attributes[attribute.name] = attribute;\n\t\tpos = attribute.end;\n\t\t// Get the next attribute\n\t\tattribute = $tw.utils.parseAttribute(source,pos);\n\t}\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for a closing slash\n\ttoken = $tw.utils.parseTokenString(source,pos,\"/\");\n\tif(token) {\n\t\tpos = token.end;\n\t\tnode.isSelfClosing = true;\n\t}\n\t// Look for a greater than sign\n\ttoken = $tw.utils.parseTokenString(source,pos,\">\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Check for a required line break\n\tif(options.requireLineBreak) {\n\t\ttoken = $tw.utils.parseTokenRegExp(source,pos,/([^\\S\\n\\r]*\\r?\\n(?:[^\\S\\n\\r]*\\r?\\n|$))/g);\n\t\tif(!token) {\n\t\t\treturn null;\n\t\t}\n\t}\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\nexports.findNextTag = function(source,pos,options) {\n\t// A regexp for finding candidate HTML tags\n\tvar reLookahead = /<([a-zA-Z\\-\\$]+)/g;\n\t// Find the next candidate\n\treLookahead.lastIndex = pos;\n\tvar match = reLookahead.exec(source);\n\twhile(match) {\n\t\t// Try to parse the candidate as a tag\n\t\tvar tag = this.parseTag(source,match.index,options);\n\t\t// Return success\n\t\tif(tag && this.isLegalTag(tag)) {\n\t\t\treturn tag;\n\t\t}\n\t\t// Look for the next match\n\t\treLookahead.lastIndex = match.index + 1;\n\t\tmatch = reLookahead.exec(source);\n\t}\n\t// Failed\n\treturn null;\n};\n\nexports.isLegalTag = function(tag) {\n\t// Widgets are always OK\n\tif(tag.type !== \"element\") {\n\t\treturn true;\n\t// If it's an HTML tag that starts with a dash then it's not legal\n\t} else if(tag.tag.charAt(0) === \"-\") {\n\t\treturn false;\n\t} else {\n\t\t// Otherwise it's OK\n\t\treturn true;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/image.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/image.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/image.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for embedding images. For example:\n\n```\n[img[https://tiddlywiki.com/fractalveg.jpg]]\n[img width=23 height=24 [https://tiddlywiki.com/fractalveg.jpg]]\n[img width={{!!width}} height={{!!height}} [https://tiddlywiki.com/fractalveg.jpg]]\n[img[Description of image|https://tiddlywiki.com/fractalveg.jpg]]\n[img[TiddlerTitle]]\n[img[Description of image|TiddlerTitle]]\n```\n\nGenerates the `<$image>` widget.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"image\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\t// Find the next tag\n\tthis.nextImage = this.findNextImage(this.parser.source,startPos);\n\treturn this.nextImage ? this.nextImage.start : undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.nextImage.end;\n\tvar node = {\n\t\ttype: \"image\",\n\t\tattributes: this.nextImage.attributes\n\t};\n\treturn [node];\n};\n\n/*\nFind the next image from the current position\n*/\nexports.findNextImage = function(source,pos) {\n\t// A regexp for finding candidate HTML tags\n\tvar reLookahead = /(\\[img)/g;\n\t// Find the next candidate\n\treLookahead.lastIndex = pos;\n\tvar match = reLookahead.exec(source);\n\twhile(match) {\n\t\t// Try to parse the candidate as a tag\n\t\tvar tag = this.parseImage(source,match.index);\n\t\t// Return success\n\t\tif(tag) {\n\t\t\treturn tag;\n\t\t}\n\t\t// Look for the next match\n\t\treLookahead.lastIndex = match.index + 1;\n\t\tmatch = reLookahead.exec(source);\n\t}\n\t// Failed\n\treturn null;\n};\n\n/*\nLook for an image at the specified position. Returns null if not found, otherwise returns {type: \"image\", attributes: [], isSelfClosing:, start:, end:,}\n*/\nexports.parseImage = function(source,pos) {\n\tvar token,\n\t\tnode = {\n\t\t\ttype: \"image\",\n\t\t\tstart: pos,\n\t\t\tattributes: {}\n\t\t};\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the `[img`\n\ttoken = $tw.utils.parseTokenString(source,pos,\"[img\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Process attributes\n\tif(source.charAt(pos) !== \"[\") {\n\t\tvar attribute = $tw.utils.parseAttribute(source,pos);\n\t\twhile(attribute) {\n\t\t\tnode.attributes[attribute.name] = attribute;\n\t\t\tpos = attribute.end;\n\t\t\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t\t\tif(source.charAt(pos) !== \"[\") {\n\t\t\t\t// Get the next attribute\n\t\t\t\tattribute = $tw.utils.parseAttribute(source,pos);\n\t\t\t} else {\n\t\t\t\tattribute = null;\n\t\t\t}\n\t\t}\n\t}\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the `[` after the attributes\n\ttoken = $tw.utils.parseTokenString(source,pos,\"[\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Get the source up to the terminating `]]`\n\ttoken = $tw.utils.parseTokenRegExp(source,pos,/(?:([^|\\]]*?)\\|)?([^\\]]+?)\\]\\]/g);\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\tif(token.match[1]) {\n\t\tnode.attributes.tooltip = {type: \"string\", value: token.match[1].trim()};\n\t}\n\tnode.attributes.source = {type: \"string\", value: (token.match[2] || \"\").trim()};\n\t// Update the end position\n\tnode.end = pos;\n\treturn node;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/import.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/import.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/import.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for importing variable definitions\n\n```\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"import\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\import[^\\S\\n]/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\tvar self = this;\n\t// Move past the pragma invocation\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse the filter terminated by a line break\n\tvar reMatch = /(.*)(\\r?\\n)|$/mg;\n\treMatch.lastIndex = this.parser.pos;\n\tvar match = reMatch.exec(this.parser.source);\n\tthis.parser.pos = reMatch.lastIndex;\n\t// Parse tree nodes to return\n\treturn [{\n\t\ttype: \"importvariables\",\n\t\tattributes: {\n\t\t\tfilter: {type: \"string\", value: match[1]}\n\t\t},\n\t\tchildren: []\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/list.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/list.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/list.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for lists. For example:\n\n```\n* This is an unordered list\n* It has two items\n\n# This is a numbered list\n## With a subitem\n# And a third item\n\n; This is a term that is being defined\n: This is the definition of that term\n```\n\nNote that lists can be nested arbitrarily:\n\n```\n#** One\n#* Two\n#** Three\n#**** Four\n#**# Five\n#**## Six\n## Seven\n### Eight\n## Nine\n```\n\nA CSS class can be applied to a list item as follows:\n\n```\n* List item one\n*.active List item two has the class `active`\n* List item three\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"list\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /([\\*#;:>]+)/mg;\n};\n\nvar listTypes = {\n\t\"*\": {listTag: \"ul\", itemTag: \"li\"},\n\t\"#\": {listTag: \"ol\", itemTag: \"li\"},\n\t\";\": {listTag: \"dl\", itemTag: \"dt\"},\n\t\":\": {listTag: \"dl\", itemTag: \"dd\"},\n\t\">\": {listTag: \"blockquote\", itemTag: \"div\"}\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Array of parse tree nodes for the previous row of the list\n\tvar listStack = [];\n\t// Cycle through the items in the list\n\twhile(true) {\n\t\t// Match the list marker\n\t\tvar reMatch = /([\\*#;:>]+)/mg;\n\t\treMatch.lastIndex = this.parser.pos;\n\t\tvar match = reMatch.exec(this.parser.source);\n\t\tif(!match || match.index !== this.parser.pos) {\n\t\t\tbreak;\n\t\t}\n\t\t// Check whether the list type of the top level matches\n\t\tvar listInfo = listTypes[match[0].charAt(0)];\n\t\tif(listStack.length > 0 && listStack[0].tag !== listInfo.listTag) {\n\t\t\tbreak;\n\t\t}\n\t\t// Move past the list marker\n\t\tthis.parser.pos = match.index + match[0].length;\n\t\t// Walk through the list markers for the current row\n\t\tfor(var t=0; t<match[0].length; t++) {\n\t\t\tlistInfo = listTypes[match[0].charAt(t)];\n\t\t\t// Remove any stacked up element if we can't re-use it because the list type doesn't match\n\t\t\tif(listStack.length > t && listStack[t].tag !== listInfo.listTag) {\n\t\t\t\tlistStack.splice(t,listStack.length - t);\n\t\t\t}\n\t\t\t// Construct the list element or reuse the previous one at this level\n\t\t\tif(listStack.length <= t) {\n\t\t\t\tvar listElement = {type: \"element\", tag: listInfo.listTag, children: [\n\t\t\t\t\t{type: \"element\", tag: listInfo.itemTag, children: []}\n\t\t\t\t]};\n\t\t\t\t// Link this list element into the last child item of the parent list item\n\t\t\t\tif(t) {\n\t\t\t\t\tvar prevListItem = listStack[t-1].children[listStack[t-1].children.length-1];\n\t\t\t\t\tprevListItem.children.push(listElement);\n\t\t\t\t}\n\t\t\t\t// Save this element in the stack\n\t\t\t\tlistStack[t] = listElement;\n\t\t\t} else if(t === (match[0].length - 1)) {\n\t\t\t\tlistStack[t].children.push({type: \"element\", tag: listInfo.itemTag, children: []});\n\t\t\t}\n\t\t}\n\t\tif(listStack.length > match[0].length) {\n\t\t\tlistStack.splice(match[0].length,listStack.length - match[0].length);\n\t\t}\n\t\t// Process the body of the list item into the last list item\n\t\tvar lastListChildren = listStack[listStack.length-1].children,\n\t\t\tlastListItem = lastListChildren[lastListChildren.length-1],\n\t\t\tclasses = this.parser.parseClasses();\n\t\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\t\tvar tree = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t\tlastListItem.children.push.apply(lastListItem.children,tree);\n\t\tif(classes.length > 0) {\n\t\t\t$tw.utils.addClassToParseTreeNode(lastListItem,classes.join(\" \"));\n\t\t}\n\t\t// Consume any whitespace following the list item\n\t\tthis.parser.skipWhitespace();\n\t}\n\t// Return the root element of the list\n\treturn [listStack[0]];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/macrocallblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/macrocallblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/macrocallblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki rule for block macro calls\n\n```\n<<name value value2>>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"macrocallblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\tvar nextStart = startPos;\n\t// Try parsing at all possible macrocall openers until we match\n\twhile((nextStart = this.parser.source.indexOf(\"<<\",nextStart)) >= 0) {\n\t\tvar nextCall = $tw.utils.parseMacroInvocation(this.parser.source,nextStart);\n\t\tif(nextCall) {\n\t\t\tvar c = this.parser.source.charAt(nextCall.end);\n\t\t\t// Ensure EOL after parsed macro\n\t\t\t// If we didn't need to support IE, we'd just use /(?:\\r?\\n|$)/ym\n\t\t\tif ((c === \"\") || (c === \"\\n\") || ((c === \"\\r\") && this.parser.source.charAt(nextCall.end+1) === \"\\n\")) {\n\t\t\t\tthis.nextCall = nextCall;\n\t\t\t\treturn nextStart;\n\t\t\t}\n\t\t}\n\t\tnextStart += 2;\n\t}\n\treturn undefined;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\tvar call = this.nextCall;\n\tcall.isBlock = true;\n\tthis.nextCall = null;\n\tthis.parser.pos = call.end;\n\treturn [call];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/macrocallinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/macrocallinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/macrocallinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki rule for macro calls\n\n```\n<<name value value2>>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"macrocallinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\tvar nextStart = startPos;\n\t// Try parsing at all possible macrocall openers until we match\n\twhile((nextStart = this.parser.source.indexOf(\"<<\",nextStart)) >= 0) {\n\t\tthis.nextCall = $tw.utils.parseMacroInvocation(this.parser.source,nextStart);\n\t\tif(this.nextCall) {\n\t\t\treturn nextStart;\n\t\t}\n\t\tnextStart += 2;\n\t}\n\treturn undefined;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\tvar call = this.nextCall;\n\tthis.nextCall = null;\n\tthis.parser.pos = call.end;\n\treturn [call];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/macrodef.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/macrodef.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/macrodef.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for macro definitions\n\n```\n\\define name(param:defaultvalue,param2:defaultvalue)\ndefinition text, including $param$ markers\n\\end\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"macrodef\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\define\\s+([^(\\s]+)\\(\\s*([^)]*)\\)(\\s*\\r?\\n)?/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Move past the macro name and parameters\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse the parameters\n\tvar paramString = this.match[2],\n\t\tparams = [];\n\tif(paramString !== \"\") {\n\t\tvar reParam = /\\s*([A-Za-z0-9\\-_]+)(?:\\s*:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|([^\"'\\s]+)))?/mg,\n\t\t\tparamMatch = reParam.exec(paramString);\n\t\twhile(paramMatch) {\n\t\t\t// Save the parameter details\n\t\t\tvar paramInfo = {name: paramMatch[1]},\n\t\t\t\tdefaultValue = paramMatch[2] || paramMatch[3] || paramMatch[4] || paramMatch[5] || paramMatch[6];\n\t\t\tif(defaultValue) {\n\t\t\t\tparamInfo[\"default\"] = defaultValue;\n\t\t\t}\n\t\t\tparams.push(paramInfo);\n\t\t\t// Look for the next parameter\n\t\t\tparamMatch = reParam.exec(paramString);\n\t\t}\n\t}\n\t// Is this a multiline definition?\n\tvar reEnd;\n\tif(this.match[3]) {\n\t\t// If so, the end of the body is marked with \\end\n\t\treEnd = /(\\r?\\n\\\\end[^\\S\\n\\r]*(?:$|\\r?\\n))/mg;\n\t} else {\n\t\t// Otherwise, the end of the definition is marked by the end of the line\n\t\treEnd = /($|\\r?\\n)/mg;\n\t\t// Move past any whitespace\n\t\tthis.parser.pos = $tw.utils.skipWhiteSpace(this.parser.source,this.parser.pos);\n\t}\n\t// Find the end of the definition\n\treEnd.lastIndex = this.parser.pos;\n\tvar text,\n\t\tendMatch = reEnd.exec(this.parser.source);\n\tif(endMatch) {\n\t\ttext = this.parser.source.substring(this.parser.pos,endMatch.index);\n\t\tthis.parser.pos = endMatch.index + endMatch[0].length;\n\t} else {\n\t\t// We didn't find the end of the definition, so we'll make it blank\n\t\ttext = \"\";\n\t}\n\t// Save the macro definition\n\treturn [{\n\t\ttype: \"set\",\n\t\tattributes: {\n\t\t\tname: {type: \"string\", value: this.match[1]},\n\t\t\tvalue: {type: \"string\", value: text}\n\t\t},\n\t\tchildren: [],\n\t\tparams: params,\n\t\tisMacroDefinition: true\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/prettyextlink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/prettyextlink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/prettyextlink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for external links. For example:\n\n```\n[ext[https://tiddlywiki.com/fractalveg.jpg]]\n[ext[Tooltip|https://tiddlywiki.com/fractalveg.jpg]]\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"prettyextlink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n};\n\nexports.findNextMatch = function(startPos) {\n\t// Find the next tag\n\tthis.nextLink = this.findNextLink(this.parser.source,startPos);\n\treturn this.nextLink ? this.nextLink.start : undefined;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.nextLink.end;\n\treturn [this.nextLink];\n};\n\n/*\nFind the next link from the current position\n*/\nexports.findNextLink = function(source,pos) {\n\t// A regexp for finding candidate links\n\tvar reLookahead = /(\\[ext\\[)/g;\n\t// Find the next candidate\n\treLookahead.lastIndex = pos;\n\tvar match = reLookahead.exec(source);\n\twhile(match) {\n\t\t// Try to parse the candidate as a link\n\t\tvar link = this.parseLink(source,match.index);\n\t\t// Return success\n\t\tif(link) {\n\t\t\treturn link;\n\t\t}\n\t\t// Look for the next match\n\t\treLookahead.lastIndex = match.index + 1;\n\t\tmatch = reLookahead.exec(source);\n\t}\n\t// Failed\n\treturn null;\n};\n\n/*\nLook for an link at the specified position. Returns null if not found, otherwise returns {type: \"element\", tag: \"a\", attributes: [], isSelfClosing:, start:, end:,}\n*/\nexports.parseLink = function(source,pos) {\n\tvar token,\n\t\ttextNode = {\n\t\t\ttype: \"text\"\n\t\t},\n\t\tnode = {\n\t\t\ttype: \"element\",\n\t\t\ttag: \"a\",\n\t\t\tstart: pos,\n\t\t\tattributes: {\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-tiddlylink-external\"},\n\t\t\t},\n\t\t\tchildren: [textNode]\n\t\t};\n\t// Skip whitespace\n\tpos = $tw.utils.skipWhiteSpace(source,pos);\n\t// Look for the `[ext[`\n\ttoken = $tw.utils.parseTokenString(source,pos,\"[ext[\");\n\tif(!token) {\n\t\treturn null;\n\t}\n\tpos = token.end;\n\t// Look ahead for the terminating `]]`\n\tvar closePos = source.indexOf(\"]]\",pos);\n\tif(closePos === -1) {\n\t\treturn null;\n\t}\n\t// Look for a `|` separating the tooltip\n\tvar splitPos = source.indexOf(\"|\",pos);\n\tif(splitPos === -1 || splitPos > closePos) {\n\t\tsplitPos = null;\n\t}\n\t// Pull out the tooltip and URL\n\tvar tooltip, URL;\n\tif(splitPos) {\n\t\tURL = source.substring(splitPos + 1,closePos).trim();\n\t\ttextNode.text = source.substring(pos,splitPos).trim();\n\t} else {\n\t\tURL = source.substring(pos,closePos).trim();\n\t\ttextNode.text = URL;\n\t}\n\tnode.attributes.href = {type: \"string\", value: URL};\n\tnode.attributes.target = {type: \"string\", value: \"_blank\"};\n\tnode.attributes.rel = {type: \"string\", value: \"noopener noreferrer\"};\n\t// Update the end position\n\tnode.end = closePos + 2;\n\treturn node;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/prettylink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/prettylink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/prettylink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for pretty links. For example:\n\n```\n[[Introduction]]\n\n[[Link description|TiddlerTitle]]\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"prettylink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\[\\[(.*?)(?:\\|(.*?))?\\]\\]/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Process the link\n\tvar text = this.match[1],\n\t\tlink = this.match[2] || text;\n\tif($tw.utils.isLinkExternal(link)) {\n\t\treturn [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"a\",\n\t\t\tattributes: {\n\t\t\t\thref: {type: \"string\", value: link},\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-tiddlylink-external\"},\n\t\t\t\ttarget: {type: \"string\", value: \"_blank\"},\n\t\t\t\trel: {type: \"string\", value: \"noopener noreferrer\"}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\", text: text\n\t\t\t}]\n\t\t}];\n\t} else {\n\t\treturn [{\n\t\t\ttype: \"link\",\n\t\t\tattributes: {\n\t\t\t\tto: {type: \"string\", value: link}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\", text: text\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/quoteblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/quoteblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/quoteblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for quote blocks. For example:\n\n```\n\t<<<.optionalClass(es) optional cited from\n\ta quote\n\t<<<\n\t\n\t<<<.optionalClass(es)\n\ta quote\n\t<<< optional cited from\n```\n\nQuotes can be quoted by putting more <s\n\n```\n\t<<<\n\tQuote Level 1\n\t\n\t<<<<\n\tQuoteLevel 2\n\t<<<<\n\t\n\t<<<\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"quoteblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /(<<<+)/mg;\n};\n\nexports.parse = function() {\n\tvar classes = [\"tc-quote\"];\n\t// Get all the details of the match\n\tvar reEndString = \"^\" + this.match[1] + \"(?!<)\";\n\t// Move past the <s\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t\n\t// Parse any classes, whitespace and then the optional cite itself\n\tclasses.push.apply(classes, this.parser.parseClasses());\n\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\tvar cite = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t// before handling the cite, parse the body of the quote\n\tvar tree= this.parser.parseBlocks(reEndString);\n\t// If we got a cite, put it before the text\n\tif(cite.length > 0) {\n\t\ttree.unshift({\n\t\t\ttype: \"element\",\n\t\t\ttag: \"cite\",\n\t\t\tchildren: cite\n\t\t});\n\t}\n\t// Parse any optional cite\n\tthis.parser.skipWhitespace({treatNewlinesAsNonWhitespace: true});\n\tcite = this.parser.parseInlineRun(/(\\r?\\n)/mg);\n\t// If we got a cite, push it\n\tif(cite.length > 0) {\n\t\ttree.push({\n\t\t\ttype: \"element\",\n\t\t\ttag: \"cite\",\n\t\t\tchildren: cite\n\t\t});\n\t}\n\t// Return the blockquote element\n\treturn [{\n\t\ttype: \"element\",\n\t\ttag: \"blockquote\",\n\t\tattributes: {\n\t\t\tclass: { type: \"string\", value: classes.join(\" \") },\n\t\t},\n\t\tchildren: tree\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/rules.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/rules.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/rules.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for rules specifications\n\n```\n\\rules except ruleone ruletwo rulethree\n\\rules only ruleone ruletwo rulethree\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"rules\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\rules[^\\S\\n]/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Move past the pragma invocation\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse whitespace delimited tokens terminated by a line break\n\tvar reMatch = /[^\\S\\n]*(\\S+)|(\\r?\\n)/mg,\n\t\ttokens = [];\n\treMatch.lastIndex = this.parser.pos;\n\tvar match = reMatch.exec(this.parser.source);\n\twhile(match && match.index === this.parser.pos) {\n\t\tthis.parser.pos = reMatch.lastIndex;\n\t\t// Exit if we've got the line break\n\t\tif(match[2]) {\n\t\t\tbreak;\n\t\t}\n\t\t// Process the token\n\t\tif(match[1]) {\n\t\t\ttokens.push(match[1]);\n\t\t}\n\t\t// Match the next token\n\t\tmatch = reMatch.exec(this.parser.source);\n\t}\n\t// Process the tokens\n\tif(tokens.length > 0) {\n\t\tthis.parser.amendRules(tokens[0],tokens.slice(1));\n\t}\n\t// No parse tree nodes to return\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/styleblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/styleblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/styleblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for assigning styles and classes to paragraphs and other blocks. For example:\n\n```\n@@.myClass\n@@background-color:red;\nThis paragraph will have the CSS class `myClass`.\n\n* The `<ul>` around this list will also have the class `myClass`\n* List item 2\n\n@@\n```\n\nNote that classes and styles can be mixed subject to the rule that styles must precede classes. For example\n\n```\n@@.myFirstClass.mySecondClass\n@@width:100px;.myThirdClass\nThis is a paragraph\n@@\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"styleblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /@@((?:[^\\.\\r\\n\\s:]+:[^\\r\\n;]+;)+)?(?:\\.([^\\r\\n\\s]+))?\\r?\\n/mg;\n};\n\nexports.parse = function() {\n\tvar reEndString = \"^@@(?:\\\\r?\\\\n)?\";\n\tvar classes = [], styles = [];\n\tdo {\n\t\t// Get the class and style\n\t\tif(this.match[1]) {\n\t\t\tstyles.push(this.match[1]);\n\t\t}\n\t\tif(this.match[2]) {\n\t\t\tclasses.push(this.match[2].split(\".\").join(\" \"));\n\t\t}\n\t\t// Move past the match\n\t\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t\t// Look for another line of classes and styles\n\t\tthis.match = this.matchRegExp.exec(this.parser.source);\n\t} while(this.match && this.match.index === this.parser.pos);\n\t// Parse the body\n\tvar tree = this.parser.parseBlocks(reEndString);\n\tfor(var t=0; t<tree.length; t++) {\n\t\tif(classes.length > 0) {\n\t\t\t$tw.utils.addClassToParseTreeNode(tree[t],classes.join(\" \"));\n\t\t}\n\t\tif(styles.length > 0) {\n\t\t\t$tw.utils.addAttributeToParseTreeNode(tree[t],\"style\",styles.join(\"\"));\n\t\t}\n\t}\n\treturn tree;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/styleinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/styleinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/styleinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for assigning styles and classes to inline runs. For example:\n\n```\n@@.myClass This is some text with a class@@\n@@background-color:red;This is some text with a background colour@@\n@@width:100px;.myClass This is some text with a class and a width@@\n```\n\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"styleinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /@@((?:[^\\.\\r\\n\\s:]+:[^\\r\\n;]+;)+)?(\\.(?:[^\\r\\n\\s]+)\\s+)?/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /@@/g;\n\t// Get the styles and class\n\tvar stylesString = this.match[1],\n\t\tclassString = this.match[2] ? this.match[2].split(\".\").join(\" \") : undefined;\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse the run up to the terminator\n\tvar tree = this.parser.parseInlineRun(reEnd,{eatTerminator: true});\n\t// Return the classed span\n\tvar node = {\n\t\ttype: \"element\",\n\t\ttag: \"span\",\n\t\tattributes: {\n\t\t\t\"class\": {type: \"string\", value: \"tc-inline-style\"}\n\t\t},\n\t\tchildren: tree\n\t};\n\tif(classString) {\n\t\t$tw.utils.addClassToParseTreeNode(node,classString);\n\t}\n\tif(stylesString) {\n\t\t$tw.utils.addAttributeToParseTreeNode(node,\"style\",stylesString);\n\t}\n\treturn [node];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/syslink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/syslink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/syslink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for system tiddler links.\nCan be suppressed preceding them with `~`.\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"syslink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = new RegExp(\n\t\t\"~?\\\\$:\\\\/[\" +\n\t\t$tw.config.textPrimitives.anyLetter.substr(1,$tw.config.textPrimitives.anyLetter.length - 2) +\n\t\t\"\\/._-]+\",\n\t\t\"mg\"\n\t);\n};\n\nexports.parse = function() {\n\tvar match = this.match[0];\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Create the link unless it is suppressed\n\tif(match.substr(0,1) === \"~\") {\n\t\treturn [{type: \"text\", text: match.substr(1)}];\n\t} else {\n\t\treturn [{\n\t\t\ttype: \"link\",\n\t\t\tattributes: {\n\t\t\t\tto: {type: \"string\", value: match}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\",\n\t\t\t\ttext: match\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/table.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/table.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/table.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text block rule for tables.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"table\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\|(?:[^\\n]*)\\|(?:[fhck]?)\\r?(?:\\n|$)/mg;\n};\n\nvar processRow = function(prevColumns) {\n\tvar cellRegExp = /(?:\\|([^\\n\\|]*)\\|)|(\\|[fhck]?\\r?(?:\\n|$))/mg,\n\t\tcellTermRegExp = /((?:\\x20*)\\|)/mg,\n\t\ttree = [],\n\t\tcol = 0,\n\t\tcolSpanCount = 1,\n\t\tprevCell,\n\t\tvAlign;\n\t// Match a single cell\n\tcellRegExp.lastIndex = this.parser.pos;\n\tvar cellMatch = cellRegExp.exec(this.parser.source);\n\twhile(cellMatch && cellMatch.index === this.parser.pos) {\n\t\tif(cellMatch[1] === \"~\") {\n\t\t\t// Rowspan\n\t\t\tvar last = prevColumns[col];\n\t\t\tif(last) {\n\t\t\t\tlast.rowSpanCount++;\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(last.element,\"rowspan\",last.rowSpanCount);\n\t\t\t\tvAlign = $tw.utils.getAttributeValueFromParseTreeNode(last.element,\"valign\",\"center\");\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(last.element,\"valign\",vAlign);\n\t\t\t\tif(colSpanCount > 1) {\n\t\t\t\t\t$tw.utils.addAttributeToParseTreeNode(last.element,\"colspan\",colSpanCount);\n\t\t\t\t\tcolSpanCount = 1;\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Move to just before the `|` terminating the cell\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t} else if(cellMatch[1] === \">\") {\n\t\t\t// Colspan\n\t\t\tcolSpanCount++;\n\t\t\t// Move to just before the `|` terminating the cell\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t} else if(cellMatch[1] === \"<\" && prevCell) {\n\t\t\tcolSpanCount = 1 + $tw.utils.getAttributeValueFromParseTreeNode(prevCell,\"colspan\",1);\n\t\t\t$tw.utils.addAttributeToParseTreeNode(prevCell,\"colspan\",colSpanCount);\n\t\t\tcolSpanCount = 1;\n\t\t\t// Move to just before the `|` terminating the cell\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t} else if(cellMatch[2]) {\n\t\t\t// End of row\n\t\t\tif(prevCell && colSpanCount > 1) {\n\t\t\t\tif(prevCell.attributes && prevCell.attributes && prevCell.attributes.colspan) {\n\t\t\t\t\t\tcolSpanCount += prevCell.attributes.colspan.value;\n\t\t\t\t} else {\n\t\t\t\t\tcolSpanCount -= 1;\n\t\t\t\t}\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(prevCell,\"colspan\",colSpanCount);\n\t\t\t}\n\t\t\tthis.parser.pos = cellRegExp.lastIndex - 1;\n\t\t\tbreak;\n\t\t} else {\n\t\t\t// For ordinary cells, step beyond the opening `|`\n\t\t\tthis.parser.pos++;\n\t\t\t// Look for a space at the start of the cell\n\t\t\tvar spaceLeft = false;\n\t\t\tvAlign = null;\n\t\t\tif(this.parser.source.substr(this.parser.pos).search(/^\\^([^\\^]|\\^\\^)/) === 0) {\n\t\t\t\tvAlign = \"top\";\n\t\t\t} else if(this.parser.source.substr(this.parser.pos).search(/^,([^,]|,,)/) === 0) {\n\t\t\t\tvAlign = \"bottom\";\n\t\t\t}\n\t\t\tif(vAlign) {\n\t\t\t\tthis.parser.pos++;\n\t\t\t}\n\t\t\tvar chr = this.parser.source.substr(this.parser.pos,1);\n\t\t\twhile(chr === \" \") {\n\t\t\t\tspaceLeft = true;\n\t\t\t\tthis.parser.pos++;\n\t\t\t\tchr = this.parser.source.substr(this.parser.pos,1);\n\t\t\t}\n\t\t\t// Check whether this is a heading cell\n\t\t\tvar cell;\n\t\t\tif(chr === \"!\") {\n\t\t\t\tthis.parser.pos++;\n\t\t\t\tcell = {type: \"element\", tag: \"th\", children: []};\n\t\t\t} else {\n\t\t\t\tcell = {type: \"element\", tag: \"td\", children: []};\n\t\t\t}\n\t\t\ttree.push(cell);\n\t\t\t// Record information about this cell\n\t\t\tprevCell = cell;\n\t\t\tprevColumns[col] = {rowSpanCount:1,element:cell};\n\t\t\t// Check for a colspan\n\t\t\tif(colSpanCount > 1) {\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"colspan\",colSpanCount);\n\t\t\t\tcolSpanCount = 1;\n\t\t\t}\n\t\t\t// Parse the cell\n\t\t\tcell.children = this.parser.parseInlineRun(cellTermRegExp,{eatTerminator: true});\n\t\t\t// Set the alignment for the cell\n\t\t\tif(vAlign) {\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"valign\",vAlign);\n\t\t\t}\n\t\t\tif(this.parser.source.substr(this.parser.pos - 2,1) === \" \") { // spaceRight\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"align\",spaceLeft ? \"center\" : \"left\");\n\t\t\t} else if(spaceLeft) {\n\t\t\t\t$tw.utils.addAttributeToParseTreeNode(cell,\"align\",\"right\");\n\t\t\t}\n\t\t\t// Move back to the closing `|`\n\t\t\tthis.parser.pos--;\n\t\t}\n\t\tcol++;\n\t\tcellRegExp.lastIndex = this.parser.pos;\n\t\tcellMatch = cellRegExp.exec(this.parser.source);\n\t}\n\treturn tree;\n};\n\nexports.parse = function() {\n\tvar rowContainerTypes = {\"c\":\"caption\", \"h\":\"thead\", \"\":\"tbody\", \"f\":\"tfoot\"},\n\t\ttable = {type: \"element\", tag: \"table\", children: []},\n\t\trowRegExp = /^\\|([^\\n]*)\\|([fhck]?)\\r?(?:\\n|$)/mg,\n\t\trowTermRegExp = /(\\|(?:[fhck]?)\\r?(?:\\n|$))/mg,\n\t\tprevColumns = [],\n\t\tcurrRowType,\n\t\trowContainer,\n\t\trowCount = 0;\n\t// Match the row\n\trowRegExp.lastIndex = this.parser.pos;\n\tvar rowMatch = rowRegExp.exec(this.parser.source);\n\twhile(rowMatch && rowMatch.index === this.parser.pos) {\n\t\tvar rowType = rowMatch[2];\n\t\t// Check if it is a class assignment\n\t\tif(rowType === \"k\") {\n\t\t\t$tw.utils.addClassToParseTreeNode(table,rowMatch[1]);\n\t\t\tthis.parser.pos = rowMatch.index + rowMatch[0].length;\n\t\t} else {\n\t\t\t// Otherwise, create a new row if this one is of a different type\n\t\t\tif(rowType !== currRowType) {\n\t\t\t\trowContainer = {type: \"element\", tag: rowContainerTypes[rowType], children: []};\n\t\t\t\ttable.children.push(rowContainer);\n\t\t\t\tcurrRowType = rowType;\n\t\t\t}\n\t\t\t// Is this a caption row?\n\t\t\tif(currRowType === \"c\") {\n\t\t\t\t// If so, move past the opening `|` of the row\n\t\t\t\tthis.parser.pos++;\n\t\t\t\t// Move the caption to the first row if it isn't already\n\t\t\t\tif(table.children.length !== 1) {\n\t\t\t\t\ttable.children.pop(); // Take rowContainer out of the children array\n\t\t\t\t\ttable.children.splice(0,0,rowContainer); // Insert it at the bottom\t\t\t\t\t\t\n\t\t\t\t}\n\t\t\t\t// Set the alignment - TODO: figure out why TW did this\n//\t\t\t\trowContainer.attributes.align = rowCount === 0 ? \"top\" : \"bottom\";\n\t\t\t\t// Parse the caption\n\t\t\t\trowContainer.children = this.parser.parseInlineRun(rowTermRegExp,{eatTerminator: true});\n\t\t\t} else {\n\t\t\t\t// Create the row\n\t\t\t\tvar theRow = {type: \"element\", tag: \"tr\", children: []};\n\t\t\t\t$tw.utils.addClassToParseTreeNode(theRow,rowCount%2 ? \"oddRow\" : \"evenRow\");\n\t\t\t\trowContainer.children.push(theRow);\n\t\t\t\t// Process the row\n\t\t\t\ttheRow.children = processRow.call(this,prevColumns);\n\t\t\t\tthis.parser.pos = rowMatch.index + rowMatch[0].length;\n\t\t\t\t// Increment the row count\n\t\t\t\trowCount++;\n\t\t\t}\n\t\t}\n\t\trowMatch = rowRegExp.exec(this.parser.source);\n\t}\n\treturn [table];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/transcludeblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/transcludeblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/transcludeblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for block-level transclusion. For example:\n\n```\n{{MyTiddler}}\n{{MyTiddler||TemplateTitle}}\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"transcludeblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{([^\\{\\}\\|]*)(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}(?:\\r?\\n|$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar template = $tw.utils.trim(this.match[2]),\n\t\ttextRef = $tw.utils.trim(this.match[1]);\n\t// Prepare the transclude widget\n\tvar transcludeNode = {\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {},\n\t\t\tisBlock: true\n\t\t};\n\t// Prepare the tiddler widget\n\tvar tr, targetTitle, targetField, targetIndex, tiddlerNode;\n\tif(textRef) {\n\t\ttr = $tw.utils.parseTextReference(textRef);\n\t\ttargetTitle = tr.title;\n\t\ttargetField = tr.field;\n\t\ttargetIndex = tr.index;\n\t\ttiddlerNode = {\n\t\t\ttype: \"tiddler\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: targetTitle}\n\t\t\t},\n\t\t\tisBlock: true,\n\t\t\tchildren: [transcludeNode]\n\t\t};\n\t}\n\tif(template) {\n\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: template};\n\t\tif(textRef) {\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t} else {\n\t\tif(textRef) {\n\t\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: targetTitle};\n\t\t\tif(targetField) {\n\t\t\t\ttranscludeNode.attributes.field = {type: \"string\", value: targetField};\n\t\t\t}\n\t\t\tif(targetIndex) {\n\t\t\t\ttranscludeNode.attributes.index = {type: \"string\", value: targetIndex};\n\t\t\t}\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/transcludeinline.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/transcludeinline.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/transcludeinline.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for inline-level transclusion. For example:\n\n```\n{{MyTiddler}}\n{{MyTiddler||TemplateTitle}}\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"transcludeinline\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\{\\{([^\\{\\}\\|]*)(?:\\|\\|([^\\|\\{\\}]+))?\\}\\}/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Get the match details\n\tvar template = $tw.utils.trim(this.match[2]),\n\t\ttextRef = $tw.utils.trim(this.match[1]);\n\t// Prepare the transclude widget\n\tvar transcludeNode = {\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {}\n\t\t};\n\t// Prepare the tiddler widget\n\tvar tr, targetTitle, targetField, targetIndex, tiddlerNode;\n\tif(textRef) {\n\t\ttr = $tw.utils.parseTextReference(textRef);\n\t\ttargetTitle = tr.title;\n\t\ttargetField = tr.field;\n\t\ttargetIndex = tr.index;\n\t\ttiddlerNode = {\n\t\t\ttype: \"tiddler\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: targetTitle}\n\t\t\t},\n\t\t\tchildren: [transcludeNode]\n\t\t};\n\t}\n\tif(template) {\n\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: template};\n\t\tif(textRef) {\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t} else {\n\t\tif(textRef) {\n\t\t\ttranscludeNode.attributes.tiddler = {type: \"string\", value: targetTitle};\n\t\t\tif(targetField) {\n\t\t\t\ttranscludeNode.attributes.field = {type: \"string\", value: targetField};\n\t\t\t}\n\t\t\tif(targetIndex) {\n\t\t\t\ttranscludeNode.attributes.index = {type: \"string\", value: targetIndex};\n\t\t\t}\n\t\t\treturn [tiddlerNode];\n\t\t} else {\n\t\t\treturn [transcludeNode];\n\t\t}\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/typedblock.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/typedblock.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/typedblock.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text rule for typed blocks. For example:\n\n```\n$$$.js\nThis will be rendered as JavaScript\n$$$\n\n$$$.svg\n<svg xmlns=\"http://www.w3.org/2000/svg\" width=\"150\" height=\"100\">\n <circle cx=\"100\" cy=\"50\" r=\"40\" stroke=\"black\" stroke-width=\"2\" fill=\"red\" />\n</svg>\n$$$\n\n$$$text/vnd.tiddlywiki>text/html\nThis will be rendered as an //HTML representation// of WikiText\n$$$\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.name = \"typedblock\";\nexports.types = {block: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\$\\$\\$([^ >\\r\\n]*)(?: *> *([^ \\r\\n]+))?\\r?\\n/mg;\n};\n\nexports.parse = function() {\n\tvar reEnd = /\\r?\\n\\$\\$\\$\\r?(?:\\n|$)/mg;\n\t// Save the type\n\tvar parseType = this.match[1],\n\t\trenderType = this.match[2];\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Look for the end of the block\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext;\n\t// Process the block\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\t// Parse the block according to the specified type\n\tvar parser = this.parser.wiki.parseText(parseType,text,{defaultType: \"text/plain\"});\n\t// If there's no render type, just return the parse tree\n\tif(!renderType) {\n\t\treturn parser.tree;\n\t} else {\n\t\t// Otherwise, render to the rendertype and return in a <PRE> tag\n\t\tvar widgetNode = this.parser.wiki.makeWidget(parser),\n\t\t\tcontainer = $tw.fakeDocument.createElement(\"div\");\n\t\twidgetNode.render(container,null);\n\t\ttext = renderType === \"text/html\" ? container.innerHTML : container.textContent;\n\t\treturn [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"pre\",\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\",\n\t\t\t\ttext: text\n\t\t\t}]\n\t\t}];\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/whitespace.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/whitespace.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/whitespace.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki pragma rule for whitespace specifications\n\n```\n\\whitespace trim\n\\whitespace notrim\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"whitespace\";\nexports.types = {pragma: true};\n\n/*\nInstantiate parse rule\n*/\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /^\\\\whitespace[^\\S\\n]/mg;\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\tvar self = this;\n\t// Move past the pragma invocation\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// Parse whitespace delimited tokens terminated by a line break\n\tvar reMatch = /[^\\S\\n]*(\\S+)|(\\r?\\n)/mg,\n\t\ttokens = [];\n\treMatch.lastIndex = this.parser.pos;\n\tvar match = reMatch.exec(this.parser.source);\n\twhile(match && match.index === this.parser.pos) {\n\t\tthis.parser.pos = reMatch.lastIndex;\n\t\t// Exit if we've got the line break\n\t\tif(match[2]) {\n\t\t\tbreak;\n\t\t}\n\t\t// Process the token\n\t\tif(match[1]) {\n\t\t\ttokens.push(match[1]);\n\t\t}\n\t\t// Match the next token\n\t\tmatch = reMatch.exec(this.parser.source);\n\t}\n\t// Process the tokens\n\t$tw.utils.each(tokens,function(token) {\n\t\tswitch(token) {\n\t\t\tcase \"trim\":\n\t\t\t\tself.parser.configTrimWhiteSpace = true;\n\t\t\t\tbreak;\n\t\t\tcase \"notrim\":\n\t\t\t\tself.parser.configTrimWhiteSpace = false;\n\t\t\t\tbreak;\n\t\t}\n\t});\n\t// No parse tree nodes to return\n\treturn [];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/rules/wikilink.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/wikilink.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/wikilink.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for wiki links. For example:\n\n```\nAWikiLink\nAnotherLink\n~SuppressedLink\n```\n\nPrecede a camel case word with `~` to prevent it from being recognised as a link.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"wikilink\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = new RegExp($tw.config.textPrimitives.unWikiLink + \"?\" + $tw.config.textPrimitives.wikiLink,\"mg\");\n};\n\n/*\nParse the most recent match\n*/\nexports.parse = function() {\n\t// Get the details of the match\n\tvar linkText = this.match[0];\n\t// Move past the macro call\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// If the link starts with the unwikilink character then just output it as plain text\n\tif(linkText.substr(0,1) === $tw.config.textPrimitives.unWikiLink) {\n\t\treturn [{type: \"text\", text: linkText.substr(1)}];\n\t}\n\t// If the link has been preceded with a blocked letter then don't treat it as a link\n\tif(this.match.index > 0) {\n\t\tvar preRegExp = new RegExp($tw.config.textPrimitives.blockPrefixLetters,\"mg\");\n\t\tpreRegExp.lastIndex = this.match.index-1;\n\t\tvar preMatch = preRegExp.exec(this.parser.source);\n\t\tif(preMatch && preMatch.index === this.match.index-1) {\n\t\t\treturn [{type: \"text\", text: linkText}];\n\t\t}\n\t}\n\treturn [{\n\t\ttype: \"link\",\n\t\tattributes: {\n\t\t\tto: {type: \"string\", value: linkText}\n\t\t},\n\t\tchildren: [{\n\t\t\ttype: \"text\",\n\t\t\ttext: linkText\n\t\t}]\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/core/modules/parsers/wikiparser/wikiparser.js": {
"title": "$:/core/modules/parsers/wikiparser/wikiparser.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/wikiparser.js\ntype: application/javascript\nmodule-type: parser\n\nThe wiki text parser processes blocks of source text into a parse tree.\n\nThe parse tree is made up of nested arrays of these JavaScript objects:\n\n\t{type: \"element\", tag: <string>, attributes: {}, children: []} - an HTML element\n\t{type: \"text\", text: <string>} - a text node\n\t{type: \"entity\", value: <string>} - an entity\n\t{type: \"raw\", html: <string>} - raw HTML\n\nAttributes are stored as hashmaps of the following objects:\n\n\t{type: \"string\", value: <string>} - literal string\n\t{type: \"indirect\", textReference: <textReference>} - indirect through a text reference\n\t{type: \"macro\", macro: <TBD>} - indirect through a macro invocation\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\ntype: content type of text\ntext: text to be parsed\noptions: see below:\n\tparseAsInline: true to parse text as inline instead of block\n\twiki: reference to wiki to use\n\t_canonical_uri: optional URI of content if text is missing or empty\n*/\nvar WikiParser = function(type,text,options) {\n\tthis.wiki = options.wiki;\n\tvar self = this;\n\t// Check for an externally linked tiddler\n\tif($tw.browser && (text || \"\") === \"\" && options._canonical_uri) {\n\t\tthis.loadRemoteTiddler(options._canonical_uri);\n\t\ttext = $tw.language.getRawString(\"LazyLoadingWarning\");\n\t}\n\t// Save the parse text\n\tthis.type = type || \"text/vnd.tiddlywiki\";\n\tthis.source = text || \"\";\n\tthis.sourceLength = this.source.length;\n\t// Flag for ignoring whitespace\n\tthis.configTrimWhiteSpace = false;\n\t// Set current parse position\n\tthis.pos = 0;\n\t// Start with empty output\n\tthis.tree = [];\n\t// Assemble the rule classes we're going to use\n\tvar pragmaRuleClasses, blockRuleClasses, inlineRuleClasses;\n\tif(options.rules) {\n\t\tpragmaRuleClasses = options.rules.pragma;\n\t\tblockRuleClasses = options.rules.block;\n\t\tinlineRuleClasses = options.rules.inline;\n\t} else {\n\t\t// Setup the rule classes if we don't have them already\n\t\tif(!this.pragmaRuleClasses) {\n\t\t\tWikiParser.prototype.pragmaRuleClasses = $tw.modules.createClassesFromModules(\"wikirule\",\"pragma\",$tw.WikiRuleBase);\n\t\t\tthis.setupRules(WikiParser.prototype.pragmaRuleClasses,\"$:/config/WikiParserRules/Pragmas/\");\n\t\t}\n\t\tpragmaRuleClasses = this.pragmaRuleClasses;\n\t\tif(!this.blockRuleClasses) {\n\t\t\tWikiParser.prototype.blockRuleClasses = $tw.modules.createClassesFromModules(\"wikirule\",\"block\",$tw.WikiRuleBase);\n\t\t\tthis.setupRules(WikiParser.prototype.blockRuleClasses,\"$:/config/WikiParserRules/Block/\");\n\t\t}\n\t\tblockRuleClasses = this.blockRuleClasses;\n\t\tif(!this.inlineRuleClasses) {\n\t\t\tWikiParser.prototype.inlineRuleClasses = $tw.modules.createClassesFromModules(\"wikirule\",\"inline\",$tw.WikiRuleBase);\n\t\t\tthis.setupRules(WikiParser.prototype.inlineRuleClasses,\"$:/config/WikiParserRules/Inline/\");\n\t\t}\n\t\tinlineRuleClasses = this.inlineRuleClasses;\n\t}\n\t// Instantiate the pragma parse rules\n\tthis.pragmaRules = this.instantiateRules(pragmaRuleClasses,\"pragma\",0);\n\t// Instantiate the parser block and inline rules\n\tthis.blockRules = this.instantiateRules(blockRuleClasses,\"block\",0);\n\tthis.inlineRules = this.instantiateRules(inlineRuleClasses,\"inline\",0);\n\t// Parse any pragmas\n\tvar topBranch = this.parsePragmas();\n\t// Parse the text into inline runs or blocks\n\tif(options.parseAsInline) {\n\t\ttopBranch.push.apply(topBranch,this.parseInlineRun());\n\t} else {\n\t\ttopBranch.push.apply(topBranch,this.parseBlocks());\n\t}\n\t// Return the parse tree\n};\n\n/*\n*/\nWikiParser.prototype.loadRemoteTiddler = function(url) {\n\tvar self = this;\n\t$tw.utils.httpRequest({\n\t\turl: url,\n\t\ttype: \"GET\",\n\t\tcallback: function(err,data) {\n\t\t\tif(!err) {\n\t\t\t\tvar tiddlers = self.wiki.deserializeTiddlers(\".tid\",data,self.wiki.getCreationFields());\n\t\t\t\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\t\t\t\ttiddler[\"_canonical_uri\"] = url;\n\t\t\t\t});\n\t\t\t\tif(tiddlers) {\n\t\t\t\t\tself.wiki.addTiddlers(tiddlers);\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\n*/\nWikiParser.prototype.setupRules = function(proto,configPrefix) {\n\tvar self = this;\n\tif(!$tw.safemode) {\n\t\t$tw.utils.each(proto,function(object,name) {\n\t\t\tif(self.wiki.getTiddlerText(configPrefix + name,\"enable\") !== \"enable\") {\n\t\t\t\tdelete proto[name];\n\t\t\t}\n\t\t});\n\t}\n};\n\n/*\nInstantiate an array of parse rules\n*/\nWikiParser.prototype.instantiateRules = function(classes,type,startPos) {\n\tvar rulesInfo = [],\n\t\tself = this;\n\t$tw.utils.each(classes,function(RuleClass) {\n\t\t// Instantiate the rule\n\t\tvar rule = new RuleClass(self);\n\t\trule.is = {};\n\t\trule.is[type] = true;\n\t\trule.init(self);\n\t\tvar matchIndex = rule.findNextMatch(startPos);\n\t\tif(matchIndex !== undefined) {\n\t\t\trulesInfo.push({\n\t\t\t\trule: rule,\n\t\t\t\tmatchIndex: matchIndex\n\t\t\t});\n\t\t}\n\t});\n\treturn rulesInfo;\n};\n\n/*\nSkip any whitespace at the current position. Options are:\n\ttreatNewlinesAsNonWhitespace: true if newlines are NOT to be treated as whitespace\n*/\nWikiParser.prototype.skipWhitespace = function(options) {\n\toptions = options || {};\n\tvar whitespaceRegExp = options.treatNewlinesAsNonWhitespace ? /([^\\S\\n]+)/mg : /(\\s+)/mg;\n\twhitespaceRegExp.lastIndex = this.pos;\n\tvar whitespaceMatch = whitespaceRegExp.exec(this.source);\n\tif(whitespaceMatch && whitespaceMatch.index === this.pos) {\n\t\tthis.pos = whitespaceRegExp.lastIndex;\n\t}\n};\n\n/*\nGet the next match out of an array of parse rule instances\n*/\nWikiParser.prototype.findNextMatch = function(rules,startPos) {\n\t// Find the best matching rule by finding the closest match position\n\tvar matchingRule,\n\t\tmatchingRulePos = this.sourceLength;\n\t// Step through each rule\n\tfor(var t=0; t<rules.length; t++) {\n\t\tvar ruleInfo = rules[t];\n\t\t// Ask the rule to get the next match if we've moved past the current one\n\t\tif(ruleInfo.matchIndex !== undefined && ruleInfo.matchIndex < startPos) {\n\t\t\truleInfo.matchIndex = ruleInfo.rule.findNextMatch(startPos);\n\t\t}\n\t\t// Adopt this match if it's closer than the current best match\n\t\tif(ruleInfo.matchIndex !== undefined && ruleInfo.matchIndex <= matchingRulePos) {\n\t\t\tmatchingRule = ruleInfo;\n\t\t\tmatchingRulePos = ruleInfo.matchIndex;\n\t\t}\n\t}\n\treturn matchingRule;\n};\n\n/*\nParse any pragmas at the beginning of a block of parse text\n*/\nWikiParser.prototype.parsePragmas = function() {\n\tvar currentTreeBranch = this.tree;\n\twhile(true) {\n\t\t// Skip whitespace\n\t\tthis.skipWhitespace();\n\t\t// Check for the end of the text\n\t\tif(this.pos >= this.sourceLength) {\n\t\t\tbreak;\n\t\t}\n\t\t// Check if we've arrived at a pragma rule match\n\t\tvar nextMatch = this.findNextMatch(this.pragmaRules,this.pos);\n\t\t// If not, just exit\n\t\tif(!nextMatch || nextMatch.matchIndex !== this.pos) {\n\t\t\tbreak;\n\t\t}\n\t\t// Process the pragma rule\n\t\tvar subTree = nextMatch.rule.parse();\n\t\tif(subTree.length > 0) {\n\t\t\t// Quick hack; we only cope with a single parse tree node being returned, which is true at the moment\n\t\t\tcurrentTreeBranch.push.apply(currentTreeBranch,subTree);\n\t\t\tsubTree[0].children = [];\n\t\t\tcurrentTreeBranch = subTree[0].children;\n\t\t}\n\t}\n\treturn currentTreeBranch;\n};\n\n/*\nParse a block from the current position\n\tterminatorRegExpString: optional regular expression string that identifies the end of plain paragraphs. Must not include capturing parenthesis\n*/\nWikiParser.prototype.parseBlock = function(terminatorRegExpString) {\n\tvar terminatorRegExp = terminatorRegExpString ? new RegExp(\"(\" + terminatorRegExpString + \"|\\\\r?\\\\n\\\\r?\\\\n)\",\"mg\") : /(\\r?\\n\\r?\\n)/mg;\n\tthis.skipWhitespace();\n\tif(this.pos >= this.sourceLength) {\n\t\treturn [];\n\t}\n\t// Look for a block rule that applies at the current position\n\tvar nextMatch = this.findNextMatch(this.blockRules,this.pos);\n\tif(nextMatch && nextMatch.matchIndex === this.pos) {\n\t\treturn nextMatch.rule.parse();\n\t}\n\t// Treat it as a paragraph if we didn't find a block rule\n\treturn [{type: \"element\", tag: \"p\", children: this.parseInlineRun(terminatorRegExp)}];\n};\n\n/*\nParse a series of blocks of text until a terminating regexp is encountered or the end of the text\n\tterminatorRegExpString: terminating regular expression\n*/\nWikiParser.prototype.parseBlocks = function(terminatorRegExpString) {\n\tif(terminatorRegExpString) {\n\t\treturn this.parseBlocksTerminated(terminatorRegExpString);\n\t} else {\n\t\treturn this.parseBlocksUnterminated();\n\t}\n};\n\n/*\nParse a block from the current position to the end of the text\n*/\nWikiParser.prototype.parseBlocksUnterminated = function() {\n\tvar tree = [];\n\twhile(this.pos < this.sourceLength) {\n\t\ttree.push.apply(tree,this.parseBlock());\n\t}\n\treturn tree;\n};\n\n/*\nParse blocks of text until a terminating regexp is encountered\n*/\nWikiParser.prototype.parseBlocksTerminated = function(terminatorRegExpString) {\n\tvar terminatorRegExp = new RegExp(\"(\" + terminatorRegExpString + \")\",\"mg\"),\n\t\ttree = [];\n\t// Skip any whitespace\n\tthis.skipWhitespace();\n\t// Check if we've got the end marker\n\tterminatorRegExp.lastIndex = this.pos;\n\tvar match = terminatorRegExp.exec(this.source);\n\t// Parse the text into blocks\n\twhile(this.pos < this.sourceLength && !(match && match.index === this.pos)) {\n\t\tvar blocks = this.parseBlock(terminatorRegExpString);\n\t\ttree.push.apply(tree,blocks);\n\t\t// Skip any whitespace\n\t\tthis.skipWhitespace();\n\t\t// Check if we've got the end marker\n\t\tterminatorRegExp.lastIndex = this.pos;\n\t\tmatch = terminatorRegExp.exec(this.source);\n\t}\n\tif(match && match.index === this.pos) {\n\t\tthis.pos = match.index + match[0].length;\n\t}\n\treturn tree;\n};\n\n/*\nParse a run of text at the current position\n\tterminatorRegExp: a regexp at which to stop the run\n\toptions: see below\nOptions available:\n\teatTerminator: move the parse position past any encountered terminator (default false)\n*/\nWikiParser.prototype.parseInlineRun = function(terminatorRegExp,options) {\n\tif(terminatorRegExp) {\n\t\treturn this.parseInlineRunTerminated(terminatorRegExp,options);\n\t} else {\n\t\treturn this.parseInlineRunUnterminated(options);\n\t}\n};\n\nWikiParser.prototype.parseInlineRunUnterminated = function(options) {\n\tvar tree = [];\n\t// Find the next occurrence of an inline rule\n\tvar nextMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t// Loop around the matches until we've reached the end of the text\n\twhile(this.pos < this.sourceLength && nextMatch) {\n\t\t// Process the text preceding the run rule\n\t\tif(nextMatch.matchIndex > this.pos) {\n\t\t\tthis.pushTextWidget(tree,this.source.substring(this.pos,nextMatch.matchIndex));\n\t\t\tthis.pos = nextMatch.matchIndex;\n\t\t}\n\t\t// Process the run rule\n\t\ttree.push.apply(tree,nextMatch.rule.parse());\n\t\t// Look for the next run rule\n\t\tnextMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t}\n\t// Process the remaining text\n\tif(this.pos < this.sourceLength) {\n\t\tthis.pushTextWidget(tree,this.source.substr(this.pos));\n\t}\n\tthis.pos = this.sourceLength;\n\treturn tree;\n};\n\nWikiParser.prototype.parseInlineRunTerminated = function(terminatorRegExp,options) {\n\toptions = options || {};\n\tvar tree = [];\n\t// Find the next occurrence of the terminator\n\tterminatorRegExp.lastIndex = this.pos;\n\tvar terminatorMatch = terminatorRegExp.exec(this.source);\n\t// Find the next occurrence of a inlinerule\n\tvar inlineRuleMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t// Loop around until we've reached the end of the text\n\twhile(this.pos < this.sourceLength && (terminatorMatch || inlineRuleMatch)) {\n\t\t// Return if we've found the terminator, and it precedes any inline rule match\n\t\tif(terminatorMatch) {\n\t\t\tif(!inlineRuleMatch || inlineRuleMatch.matchIndex >= terminatorMatch.index) {\n\t\t\t\tif(terminatorMatch.index > this.pos) {\n\t\t\t\t\tthis.pushTextWidget(tree,this.source.substring(this.pos,terminatorMatch.index));\n\t\t\t\t}\n\t\t\t\tthis.pos = terminatorMatch.index;\n\t\t\t\tif(options.eatTerminator) {\n\t\t\t\t\tthis.pos += terminatorMatch[0].length;\n\t\t\t\t}\n\t\t\t\treturn tree;\n\t\t\t}\n\t\t}\n\t\t// Process any inline rule, along with the text preceding it\n\t\tif(inlineRuleMatch) {\n\t\t\t// Preceding text\n\t\t\tif(inlineRuleMatch.matchIndex > this.pos) {\n\t\t\t\tthis.pushTextWidget(tree,this.source.substring(this.pos,inlineRuleMatch.matchIndex));\n\t\t\t\tthis.pos = inlineRuleMatch.matchIndex;\n\t\t\t}\n\t\t\t// Process the inline rule\n\t\t\ttree.push.apply(tree,inlineRuleMatch.rule.parse());\n\t\t\t// Look for the next inline rule\n\t\t\tinlineRuleMatch = this.findNextMatch(this.inlineRules,this.pos);\n\t\t\t// Look for the next terminator match\n\t\t\tterminatorRegExp.lastIndex = this.pos;\n\t\t\tterminatorMatch = terminatorRegExp.exec(this.source);\n\t\t}\n\t}\n\t// Process the remaining text\n\tif(this.pos < this.sourceLength) {\n\t\tthis.pushTextWidget(tree,this.source.substr(this.pos));\n\t}\n\tthis.pos = this.sourceLength;\n\treturn tree;\n};\n\n/*\nPush a text widget onto an array, respecting the configTrimWhiteSpace setting\n*/\nWikiParser.prototype.pushTextWidget = function(array,text) {\n\tif(this.configTrimWhiteSpace) {\n\t\ttext = $tw.utils.trim(text);\n\t}\n\tif(text) {\n\t\tarray.push({type: \"text\", text: text});\t\t\n\t}\n};\n\n/*\nParse zero or more class specifiers `.classname`\n*/\nWikiParser.prototype.parseClasses = function() {\n\tvar classRegExp = /\\.([^\\s\\.]+)/mg,\n\t\tclassNames = [];\n\tclassRegExp.lastIndex = this.pos;\n\tvar match = classRegExp.exec(this.source);\n\twhile(match && match.index === this.pos) {\n\t\tthis.pos = match.index + match[0].length;\n\t\tclassNames.push(match[1]);\n\t\tmatch = classRegExp.exec(this.source);\n\t}\n\treturn classNames;\n};\n\n/*\nAmend the rules used by this instance of the parser\n\ttype: `only` keeps just the named rules, `except` keeps all but the named rules\n\tnames: array of rule names\n*/\nWikiParser.prototype.amendRules = function(type,names) {\n\tnames = names || [];\n\t// Define the filter function\n\tvar target;\n\tif(type === \"only\") {\n\t\ttarget = true;\n\t} else if(type === \"except\") {\n\t\ttarget = false;\n\t} else {\n\t\treturn;\n\t}\n\t// Define a function to process each of our rule arrays\n\tvar processRuleArray = function(ruleArray) {\n\t\tfor(var t=ruleArray.length-1; t>=0; t--) {\n\t\t\tif((names.indexOf(ruleArray[t].rule.name) === -1) === target) {\n\t\t\t\truleArray.splice(t,1);\n\t\t\t}\n\t\t}\n\t};\n\t// Process each rule array\n\tprocessRuleArray(this.pragmaRules);\n\tprocessRuleArray(this.blockRules);\n\tprocessRuleArray(this.inlineRules);\n};\n\nexports[\"text/vnd.tiddlywiki\"] = WikiParser;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "parser"
},
"$:/core/modules/parsers/wikiparser/rules/wikirulebase.js": {
"title": "$:/core/modules/parsers/wikiparser/rules/wikirulebase.js",
"text": "/*\\\ntitle: $:/core/modules/parsers/wikiparser/rules/wikirulebase.js\ntype: application/javascript\nmodule-type: global\n\nBase class for wiki parser rules\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nThis constructor is always overridden with a blank constructor, and so shouldn't be used\n*/\nvar WikiRuleBase = function() {\n};\n\n/*\nTo be overridden by individual rules\n*/\nWikiRuleBase.prototype.init = function(parser) {\n\tthis.parser = parser;\n};\n\n/*\nDefault implementation of findNextMatch uses RegExp matching\n*/\nWikiRuleBase.prototype.findNextMatch = function(startPos) {\n\tthis.matchRegExp.lastIndex = startPos;\n\tthis.match = this.matchRegExp.exec(this.parser.source);\n\treturn this.match ? this.match.index : undefined;\n};\n\nexports.WikiRuleBase = WikiRuleBase;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/pluginswitcher.js": {
"title": "$:/core/modules/pluginswitcher.js",
"text": "/*\\\ntitle: $:/core/modules/pluginswitcher.js\ntype: application/javascript\nmodule-type: global\n\nManages switching plugins for themes and languages.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\noptions:\nwiki: wiki store to be used\npluginType: type of plugin to be switched\ncontrollerTitle: title of tiddler used to control switching of this resource\ndefaultPlugins: array of default plugins to be used if nominated plugin isn't found\nonSwitch: callback when plugin is switched (single parameter is array of plugin titles)\n*/\nfunction PluginSwitcher(options) {\n\tthis.wiki = options.wiki;\n\tthis.pluginType = options.pluginType;\n\tthis.controllerTitle = options.controllerTitle;\n\tthis.defaultPlugins = options.defaultPlugins || [];\n\tthis.onSwitch = options.onSwitch;\n\t// Switch to the current plugin\n\tthis.switchPlugins();\n\t// Listen for changes to the selected plugin\n\tvar self = this;\n\tthis.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.utils.hop(changes,self.controllerTitle)) {\n\t\t\tself.switchPlugins();\n\t\t}\n\t});\n}\n\nPluginSwitcher.prototype.switchPlugins = function() {\n\t// Get the name of the current theme\n\tvar selectedPluginTitle = this.wiki.getTiddlerText(this.controllerTitle);\n\t// If it doesn't exist, then fallback to one of the default themes\n\tvar index = 0;\n\twhile(!this.wiki.getTiddler(selectedPluginTitle) && index < this.defaultPlugins.length) {\n\t\tselectedPluginTitle = this.defaultPlugins[index++];\n\t}\n\t// Accumulate the titles of the plugins that we need to load\n\tvar plugins = [],\n\t\tself = this,\n\t\taccumulatePlugin = function(title) {\n\t\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\t\tif(tiddler && tiddler.isPlugin() && plugins.indexOf(title) === -1) {\n\t\t\t\tplugins.push(title);\n\t\t\t\tvar pluginInfo = JSON.parse(self.wiki.getTiddlerText(title)),\n\t\t\t\t\tdependents = $tw.utils.parseStringArray(tiddler.fields.dependents || \"\");\n\t\t\t\t$tw.utils.each(dependents,function(title) {\n\t\t\t\t\taccumulatePlugin(title);\n\t\t\t\t});\n\t\t\t}\n\t\t};\n\taccumulatePlugin(selectedPluginTitle);\n\t// Read the plugin info for the incoming plugins\n\tvar changes = $tw.wiki.readPluginInfo(plugins);\n\t// Unregister any existing theme tiddlers\n\tvar unregisteredTiddlers = $tw.wiki.unregisterPluginTiddlers(this.pluginType);\n\t// Register any new theme tiddlers\n\tvar registeredTiddlers = $tw.wiki.registerPluginTiddlers(this.pluginType,plugins);\n\t// Unpack the current theme tiddlers\n\t$tw.wiki.unpackPluginTiddlers();\n\t// Call the switch handler\n\tif(this.onSwitch) {\n\t\tthis.onSwitch(plugins);\n\t}\n};\n\nexports.PluginSwitcher = PluginSwitcher;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/saver-handler.js": {
"title": "$:/core/modules/saver-handler.js",
"text": "/*\\\ntitle: $:/core/modules/saver-handler.js\ntype: application/javascript\nmodule-type: global\n\nThe saver handler tracks changes to the store and handles saving the entire wiki via saver modules.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nInstantiate the saver handler with the following options:\nwiki: wiki to be synced\ndirtyTracking: true if dirty tracking should be performed\n*/\nfunction SaverHandler(options) {\n\tvar self = this;\n\tthis.wiki = options.wiki;\n\tthis.dirtyTracking = options.dirtyTracking;\n\tthis.preloadDirty = options.preloadDirty || [];\n\tthis.pendingAutoSave = false;\n\t// Make a logger\n\tthis.logger = new $tw.utils.Logger(\"saver-handler\");\n\t// Initialise our savers\n\tif($tw.browser) {\n\t\tthis.initSavers();\n\t}\n\t// Only do dirty tracking if required\n\tif($tw.browser && this.dirtyTracking) {\n\t\t// Compile the dirty tiddler filter\n\t\tthis.filterFn = this.wiki.compileFilter(this.wiki.getTiddlerText(this.titleSyncFilter));\n\t\t// Count of changes that have not yet been saved\n\t\tvar filteredChanges = self.filterFn.call(self.wiki,function(iterator) {\n\t\t\t\t$tw.utils.each(self.preloadDirty,function(title) {\n\t\t\t\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\t\t\t\titerator(tiddler,title);\n\t\t\t\t});\n\t\t});\n\t\tthis.numChanges = filteredChanges.length;\n\t\t// Listen out for changes to tiddlers\n\t\tthis.wiki.addEventListener(\"change\",function(changes) {\n\t\t\t// Filter the changes so that we only count changes to tiddlers that we care about\n\t\t\tvar filteredChanges = self.filterFn.call(self.wiki,function(iterator) {\n\t\t\t\t$tw.utils.each(changes,function(change,title) {\n\t\t\t\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\t\t\t\titerator(tiddler,title);\n\t\t\t\t});\n\t\t\t});\n\t\t\t// Adjust the number of changes\n\t\t\tself.numChanges += filteredChanges.length;\n\t\t\tself.updateDirtyStatus();\n\t\t\t// Do any autosave if one is pending and there's no more change events\n\t\t\tif(self.pendingAutoSave && self.wiki.getSizeOfTiddlerEventQueue() === 0) {\n\t\t\t\t// Check if we're dirty\n\t\t\t\tif(self.numChanges > 0) {\n\t\t\t\t\tself.saveWiki({\n\t\t\t\t\t\tmethod: \"autosave\",\n\t\t\t\t\t\tdownloadType: \"text/plain\"\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t\tself.pendingAutoSave = false;\n\t\t\t}\n\t\t});\n\t\t// Listen for the autosave event\n\t\t$tw.rootWidget.addEventListener(\"tm-auto-save-wiki\",function(event) {\n\t\t\t// Do the autosave unless there are outstanding tiddler change events\n\t\t\tif(self.wiki.getSizeOfTiddlerEventQueue() === 0) {\n\t\t\t\t// Check if we're dirty\n\t\t\t\tif(self.numChanges > 0) {\n\t\t\t\t\tself.saveWiki({\n\t\t\t\t\t\tmethod: \"autosave\",\n\t\t\t\t\t\tdownloadType: \"text/plain\"\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\t// Otherwise put ourselves in the \"pending autosave\" state and wait for the change event before we do the autosave\n\t\t\t\tself.pendingAutoSave = true;\n\t\t\t}\n\t\t});\n\t\t// Set up our beforeunload handler\n\t\t$tw.addUnloadTask(function(event) {\n\t\t\tvar confirmationMessage;\n\t\t\tif(self.isDirty()) {\n\t\t\t\tconfirmationMessage = $tw.language.getString(\"UnsavedChangesWarning\");\n\t\t\t\tevent.returnValue = confirmationMessage; // Gecko\n\t\t\t}\n\t\t\treturn confirmationMessage;\n\t\t});\n\t}\n\t// Install the save action handlers\n\tif($tw.browser) {\n\t\t$tw.rootWidget.addEventListener(\"tm-save-wiki\",function(event) {\n\t\t\tself.saveWiki({\n\t\t\t\ttemplate: event.param,\n\t\t\t\tdownloadType: \"text/plain\",\n\t\t\t\tvariables: event.paramObject\n\t\t\t});\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-download-file\",function(event) {\n\t\t\tself.saveWiki({\n\t\t\t\tmethod: \"download\",\n\t\t\t\ttemplate: event.param,\n\t\t\t\tdownloadType: \"text/plain\",\n\t\t\t\tvariables: event.paramObject\n\t\t\t});\n\t\t});\n\t}\n}\n\nSaverHandler.prototype.titleSyncFilter = \"$:/config/SaverFilter\";\nSaverHandler.prototype.titleAutoSave = \"$:/config/AutoSave\";\nSaverHandler.prototype.titleSavedNotification = \"$:/language/Notifications/Save/Done\";\n\n/*\nSelect the appropriate saver modules and set them up\n*/\nSaverHandler.prototype.initSavers = function(moduleType) {\n\tmoduleType = moduleType || \"saver\";\n\t// Instantiate the available savers\n\tthis.savers = [];\n\tvar self = this;\n\t$tw.modules.forEachModuleOfType(moduleType,function(title,module) {\n\t\tif(module.canSave(self)) {\n\t\t\tself.savers.push(module.create(self.wiki));\n\t\t}\n\t});\n\t// Sort the savers into priority order\n\tthis.savers.sort(function(a,b) {\n\t\tif(a.info.priority < b.info.priority) {\n\t\t\treturn -1;\n\t\t} else {\n\t\t\tif(a.info.priority > b.info.priority) {\n\t\t\t\treturn +1;\n\t\t\t} else {\n\t\t\t\treturn 0;\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nSave the wiki contents. Options are:\n\tmethod: \"save\", \"autosave\" or \"download\"\n\ttemplate: the tiddler containing the template to save\n\tdownloadType: the content type for the saved file\n*/\nSaverHandler.prototype.saveWiki = function(options) {\n\toptions = options || {};\n\tvar self = this,\n\t\tmethod = options.method || \"save\";\n\t// Ignore autosave if disabled\n\tif(method === \"autosave\" && ($tw.config.disableAutoSave || this.wiki.getTiddlerText(this.titleAutoSave,\"yes\") !== \"yes\")) {\n\t\treturn false;\n\t}\n\tvar\tvariables = options.variables || {},\n\t\ttemplate = options.template || \"$:/core/save/all\",\n\t\tdownloadType = options.downloadType || \"text/plain\",\n\t\ttext = this.wiki.renderTiddler(downloadType,template,options),\n\t\tcallback = function(err) {\n\t\t\tif(err) {\n\t\t\t\talert($tw.language.getString(\"Error/WhileSaving\") + \":\\n\\n\" + err);\n\t\t\t} else {\n\t\t\t\t// Clear the task queue if we're saving (rather than downloading)\n\t\t\t\tif(method !== \"download\") {\n\t\t\t\t\tself.numChanges = 0;\n\t\t\t\t\tself.updateDirtyStatus();\n\t\t\t\t}\n\t\t\t\t$tw.notifier.display(self.titleSavedNotification);\n\t\t\t\tif(options.callback) {\n\t\t\t\t\toptions.callback();\n\t\t\t\t}\n\t\t\t}\n\t\t};\n\t// Call the highest priority saver that supports this method\n\tfor(var t=this.savers.length-1; t>=0; t--) {\n\t\tvar saver = this.savers[t];\n\t\tif(saver.info.capabilities.indexOf(method) !== -1 && saver.save(text,method,callback,{variables: {filename: variables.filename}})) {\n\t\t\tthis.logger.log(\"Saving wiki with method\",method,\"through saver\",saver.info.name);\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false;\n};\n\n/*\nChecks whether the wiki is dirty (ie the window shouldn't be closed)\n*/\nSaverHandler.prototype.isDirty = function() {\n\treturn this.numChanges > 0;\n};\n\n/*\nUpdate the document body with the class \"tc-dirty\" if the wiki has unsaved/unsynced changes\n*/\nSaverHandler.prototype.updateDirtyStatus = function() {\n\tvar self = this;\n\tif($tw.browser) {\n\t\t$tw.utils.toggleClass(document.body,\"tc-dirty\",this.isDirty());\n\t\t$tw.utils.each($tw.windows,function(win) {\n\t\t\t$tw.utils.toggleClass(win.document.body,\"tc-dirty\",self.isDirty());\n\t\t});\n\t}\n};\n\nexports.SaverHandler = SaverHandler;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/savers/andtidwiki.js": {
"title": "$:/core/modules/savers/andtidwiki.js",
"text": "/*\\\ntitle: $:/core/modules/savers/andtidwiki.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via the AndTidWiki Android app\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false, netscape: false, Components: false */\n\"use strict\";\n\nvar AndTidWiki = function(wiki) {\n};\n\nAndTidWiki.prototype.save = function(text,method,callback,options) {\n\tvar filename = options && options.variables ? options.variables.filename : null;\n\tif (method === \"download\") {\n\t\t// Support download\n\t\tif (window.twi.saveDownload) {\n\t\t\ttry {\n\t\t\t\twindow.twi.saveDownload(text,filename);\n\t\t\t} catch(err) {\n\t\t\t\tif (err.message === \"Method not found\") {\n\t\t\t\t\twindow.twi.saveDownload(text);\n\t\t\t\t}\n\t\t\t}\n\t\t} else {\n\t\t\tvar link = document.createElement(\"a\");\n\t\t\tlink.setAttribute(\"href\",\"data:text/plain,\" + encodeURIComponent(text));\n\t\t\tif (filename) {\n\t\t\t link.setAttribute(\"download\",filename);\n\t\t\t}\n\t\t\tdocument.body.appendChild(link);\n\t\t\tlink.click();\n\t\t\tdocument.body.removeChild(link);\n\t\t}\n\t} else if (window.twi.saveWiki) {\n\t\t// Direct save in Tiddloid\n\t\twindow.twi.saveWiki(text);\n\t} else {\n\t\t// Get the pathname of this document\n\t\tvar pathname = decodeURIComponent(document.location.toString().split(\"#\")[0]);\n\t\t// Strip the file://\n\t\tif(pathname.indexOf(\"file://\") === 0) {\n\t\t\tpathname = pathname.substr(7);\n\t\t}\n\t\t// Strip any query or location part\n\t\tvar p = pathname.indexOf(\"?\");\n\t\tif(p !== -1) {\n\t\t\tpathname = pathname.substr(0,p);\n\t\t}\n\t\tp = pathname.indexOf(\"#\");\n\t\tif(p !== -1) {\n\t\t\tpathname = pathname.substr(0,p);\n\t\t}\n\t\t// Save the file\n\t\twindow.twi.saveFile(pathname,text);\n\t}\n\t// Call the callback\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nAndTidWiki.prototype.info = {\n\tname: \"andtidwiki\",\n\tpriority: 1600,\n\tcapabilities: [\"save\", \"autosave\", \"download\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.twi && !!window.twi.saveFile;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new AndTidWiki(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/beaker.js": {
"title": "$:/core/modules/savers/beaker.js",
"text": "/*\\\ntitle: $:/core/modules/savers/beaker.js\ntype: application/javascript\nmodule-type: saver\n\nSaves files using the Beaker browser's (https://beakerbrowser.com) Dat protocol (https://datproject.org/)\nCompatible with beaker >= V0.7.2\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSet up the saver\n*/\nvar BeakerSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nBeakerSaver.prototype.save = function(text,method,callback) {\n\tvar dat = new DatArchive(\"\" + window.location),\n\t\tpathname = (\"\" + window.location.pathname).split(\"#\")[0];\n\tdat.stat(pathname).then(function(value) {\n\t\tif(value.isDirectory()) {\n\t\t\tpathname = pathname + \"/index.html\";\n\t\t}\n\t\tdat.writeFile(pathname,text,\"utf8\").then(function(value) {\n\t\t\tcallback(null);\n\t\t},function(reason) {\n\t\t\tcallback(\"Beaker Saver Write Error: \" + reason);\n\t\t});\n\t},function(reason) {\n\t\tcallback(\"Beaker Saver Stat Error: \" + reason);\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nBeakerSaver.prototype.info = {\n\tname: \"beaker\",\n\tpriority: 3000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.DatArchive && location.protocol===\"dat:\";\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new BeakerSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/custom.js": {
"title": "$:/core/modules/savers/custom.js",
"text": "/*\\\ntitle: $:/core/modules/savers/custom.js\ntype: application/javascript\nmodule-type: saver\n\nLooks for `window.$tw.customSaver` first on the current window, then\non the parent window (of an iframe). If present, the saver must define\n\tsave: function(text,method,callback) { ... }\nand the saver may define\n\tpriority: number\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar findSaver = function(window) {\n\ttry {\n\t\treturn window && window.$tw && window.$tw.customSaver;\n\t} catch (err) {\n\t\t// Catching the exception is the most reliable way to detect cross-origin iframe errors.\n\t\t// For example, instead of saying that `window.parent.$tw` is undefined, Firefox will throw\n\t\t// Uncaught DOMException: Permission denied to access property \"$tw\" on cross-origin object\n\t\tconsole.log({ msg: \"custom saver is disabled\", reason: err });\n\t\treturn null;\n\t}\n}\nvar saver = findSaver(window) || findSaver(window.parent) || {};\n\nvar CustomSaver = function(wiki) {\n};\n\nCustomSaver.prototype.save = function(text,method,callback) {\n\treturn saver.save(text, method, callback);\n};\n\n/*\nInformation about this saver\n*/\nCustomSaver.prototype.info = {\n\tname: \"custom\",\n\tpriority: saver.priority || 4000,\n\tcapabilities: [\"save\",\"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!(saver.save);\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new CustomSaver(wiki);\n};\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/download.js": {
"title": "$:/core/modules/savers/download.js",
"text": "/*\\\ntitle: $:/core/modules/savers/download.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via HTML5's download APIs\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar DownloadSaver = function(wiki) {\n};\n\nDownloadSaver.prototype.save = function(text,method,callback,options) {\n\toptions = options || {};\n\t// Get the current filename\n\tvar filename = options.variables.filename;\n\tif(!filename) {\n\t\tvar p = document.location.pathname.lastIndexOf(\"/\");\n\t\tif(p !== -1) {\n\t\t\t// We decode the pathname because document.location is URL encoded by the browser\n\t\t\tfilename = decodeURIComponent(document.location.pathname.substr(p+1));\n\t\t}\n\t}\n\tif(!filename) {\n\t\tfilename = \"tiddlywiki.html\";\n\t}\n\t// Set up the link\n\tvar link = document.createElement(\"a\");\n\tif(Blob !== undefined) {\n\t\tvar blob = new Blob([text], {type: \"text/html\"});\n\t\tlink.setAttribute(\"href\", URL.createObjectURL(blob));\n\t} else {\n\t\tlink.setAttribute(\"href\",\"data:text/html,\" + encodeURIComponent(text));\n\t}\n\tlink.setAttribute(\"download\",filename);\n\tdocument.body.appendChild(link);\n\tlink.click();\n\tdocument.body.removeChild(link);\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nDownloadSaver.prototype.info = {\n\tname: \"download\",\n\tpriority: 100\n};\n\nObject.defineProperty(DownloadSaver.prototype.info, \"capabilities\", {\n\tget: function() {\n\t\tvar capabilities = [\"save\", \"download\"];\n\t\tif(($tw.wiki.getTextReference(\"$:/config/DownloadSaver/AutoSave\") || \"\").toLowerCase() === \"yes\") {\n\t\t\tcapabilities.push(\"autosave\");\n\t\t}\n\t\treturn capabilities;\n\t}\n});\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn document.createElement(\"a\").download !== undefined;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new DownloadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/fsosaver.js": {
"title": "$:/core/modules/savers/fsosaver.js",
"text": "/*\\\ntitle: $:/core/modules/savers/fsosaver.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via MS FileSystemObject ActiveXObject\n\nNote: Since TiddlyWiki's markup contains the MOTW, the FileSystemObject normally won't be available. \nHowever, if the wiki is loaded as an .HTA file (Windows HTML Applications) then the FSO can be used.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar FSOSaver = function(wiki) {\n};\n\nFSOSaver.prototype.save = function(text,method,callback) {\n\t// Get the pathname of this document\n\tvar pathname = unescape(document.location.pathname);\n\t// Test for a Windows path of the form /x:\\blah...\n\tif(/^\\/[A-Z]\\:\\\\[^\\\\]+/i.test(pathname)) {\t// ie: ^/[a-z]:/[^/]+\n\t\t// Remove the leading slash\n\t\tpathname = pathname.substr(1);\n\t} else if(document.location.hostname !== \"\" && /^\\/\\\\[^\\\\]+\\\\[^\\\\]+/i.test(pathname)) {\t// test for \\\\server\\share\\blah... - ^/[^/]+/[^/]+\n\t\t// Remove the leading slash\n\t\tpathname = pathname.substr(1);\n\t\t// reconstruct UNC path\n\t\tpathname = \"\\\\\\\\\" + document.location.hostname + pathname;\n\t} else {\n\t\treturn false;\n\t}\n\t// Save the file (as UTF-16)\n\tvar fso = new ActiveXObject(\"Scripting.FileSystemObject\");\n\tvar file = fso.OpenTextFile(pathname,2,-1,-1);\n\tfile.Write(text);\n\tfile.Close();\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nFSOSaver.prototype.info = {\n\tname: \"FSOSaver\",\n\tpriority: 120,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\ttry {\n\t\treturn (window.location.protocol === \"file:\") && !!(new ActiveXObject(\"Scripting.FileSystemObject\"));\n\t} catch(e) { return false; }\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new FSOSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/gitea.js": {
"title": "$:/core/modules/savers/gitea.js",
"text": "/*\\\ntitle: $:/core/modules/savers/gitea.js\ntype: application/javascript\nmodule-type: saver\n\nSaves wiki by pushing a commit to the gitea\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar GiteaSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nGiteaSaver.prototype.save = function(text,method,callback) {\n\tvar self = this,\n\t\tusername = this.wiki.getTiddlerText(\"$:/Gitea/Username\"),\n\t\tpassword = $tw.utils.getPassword(\"Gitea\"),\n\t\trepo = this.wiki.getTiddlerText(\"$:/Gitea/Repo\"),\n\t\tpath = this.wiki.getTiddlerText(\"$:/Gitea/Path\",\"\"),\n\t\tfilename = this.wiki.getTiddlerText(\"$:/Gitea/Filename\"),\n\t\tbranch = this.wiki.getTiddlerText(\"$:/Gitea/Branch\") || \"master\",\n\t\tendpoint = this.wiki.getTiddlerText(\"$:/Gitea/ServerURL\") || \"https://gitea\",\n\t\theaders = {\n\t\t\t\"Accept\": \"application/json\",\n\t\t\t\"Content-Type\": \"application/json;charset=UTF-8\",\n\t\t\t\"Authorization\": \"token \" + password\n\t\t};\n\t// Bail if we don't have everything we need\n\tif(!username || !password || !repo || !filename) {\n\t\treturn false;\n\t}\n\t// Make sure the path start and ends with a slash\n\tif(path.substring(0,1) !== \"/\") {\n\t\tpath = \"/\" + path;\n\t}\n\tif(path.substring(path.length - 1) !== \"/\") {\n\t\tpath = path + \"/\";\n\t}\n\t// Compose the base URI\n\tvar uri = endpoint + \"/repos/\" + repo + \"/contents\" + path;\n\t// Perform a get request to get the details (inc shas) of files in the same path as our file\n\t$tw.utils.httpRequest({\n\t\turl: uri,\n\t\ttype: \"GET\",\n\t\theaders: headers,\n\t\tdata: {\n\t\t\tref: branch\n\t\t},\n\t\tcallback: function(err,getResponseDataJson,xhr) {\n\t\t\tvar getResponseData,sha = \"\";\n\t\t\tif(err && xhr.status !== 404) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tvar use_put = true;\n\t\t\tif(xhr.status !== 404) {\n\t\t\t\tgetResponseData = JSON.parse(getResponseDataJson);\n\t\t\t\t$tw.utils.each(getResponseData,function(details) {\n\t\t\t\t\tif(details.name === filename) {\n\t\t\t\t\t\tsha = details.sha;\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\tif(sha === \"\"){\n\t\t\t\t\tuse_put = false;\n\t\t\t\t}\n\t\t\t}\n\t\t\tvar data = {\n\t\t\t\tmessage: $tw.language.getRawString(\"ControlPanel/Saving/GitService/CommitMessage\"),\n\t\t\t\tcontent: $tw.utils.base64Encode(text),\n\t\t\t\tsha: sha\n\t\t\t};\n\t\t\t$tw.utils.httpRequest({\n\t\t\t\turl: endpoint + \"/repos/\" + repo + \"/branches/\" + branch,\n\t\t\t\ttype: \"GET\",\n\t\t\t\theaders: headers,\n\t\t\t\tcallback: function(err,getResponseDataJson,xhr) {\n\t\t\t\t\tif(xhr.status === 404) {\n\t\t\t\t\t\tcallback(\"Please ensure the branch in the Gitea repo exists\");\n\t\t\t\t\t}else{\n\t\t\t\t\t\tdata[\"branch\"] = branch;\n\t\t\t\t\t\tself.upload(uri + filename, use_put?\"PUT\":\"POST\", headers, data, callback);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\treturn true;\n};\n\nGiteaSaver.prototype.upload = function(uri,method,headers,data,callback) {\n\t$tw.utils.httpRequest({\n\t\turl: uri,\n\t\ttype: method,\n\t\theaders: headers,\n\t\tdata: JSON.stringify(data),\n\t\tcallback: function(err,putResponseDataJson,xhr) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tvar putResponseData = JSON.parse(putResponseDataJson);\n\t\t\tcallback(null);\n\t\t}\n\t});\n};\n\n/*\nInformation about this saver\n*/\nGiteaSaver.prototype.info = {\n\tname: \"Gitea\",\n\tpriority: 2000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new GiteaSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/github.js": {
"title": "$:/core/modules/savers/github.js",
"text": "/*\\\ntitle: $:/core/modules/savers/github.js\ntype: application/javascript\nmodule-type: saver\n\nSaves wiki by pushing a commit to the GitHub v3 REST API\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar GitHubSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nGitHubSaver.prototype.save = function(text,method,callback) {\n\tvar self = this,\n\t\tusername = this.wiki.getTiddlerText(\"$:/GitHub/Username\"),\n\t\tpassword = $tw.utils.getPassword(\"github\"),\n\t\trepo = this.wiki.getTiddlerText(\"$:/GitHub/Repo\"),\n\t\tpath = this.wiki.getTiddlerText(\"$:/GitHub/Path\",\"\"),\n\t\tfilename = this.wiki.getTiddlerText(\"$:/GitHub/Filename\"),\n\t\tbranch = this.wiki.getTiddlerText(\"$:/GitHub/Branch\") || \"main\",\n\t\tendpoint = this.wiki.getTiddlerText(\"$:/GitHub/ServerURL\") || \"https://api.github.com\",\n\t\theaders = {\n\t\t\t\"Accept\": \"application/vnd.github.v3+json\",\n\t\t\t\"Content-Type\": \"application/json;charset=UTF-8\",\n\t\t\t\"Authorization\": \"Basic \" + window.btoa(username + \":\" + password),\n\t\t\t\"If-None-Match\": \"\"\n\t\t};\n\t// Bail if we don't have everything we need\n\tif(!username || !password || !repo || !filename) {\n\t\treturn false;\n\t}\n\t// Make sure the path start and ends with a slash\n\tif(path.substring(0,1) !== \"/\") {\n\t\tpath = \"/\" + path;\n\t}\n\tif(path.substring(path.length - 1) !== \"/\") {\n\t\tpath = path + \"/\";\n\t}\n\t// Compose the base URI\n\tvar uri = endpoint + \"/repos/\" + repo + \"/contents\" + path;\n\t// Perform a get request to get the details (inc shas) of files in the same path as our file\n\t$tw.utils.httpRequest({\n\t\turl: uri,\n\t\ttype: \"GET\",\n\t\theaders: headers,\n\t\tdata: {\n\t\t\tref: branch\n\t\t},\n\t\tcallback: function(err,getResponseDataJson,xhr) {\n\t\t\tvar getResponseData,sha = \"\";\n\t\t\tif(err && xhr.status !== 404) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tif(xhr.status !== 404) {\n\t\t\t\tgetResponseData = JSON.parse(getResponseDataJson);\n\t\t\t\t$tw.utils.each(getResponseData,function(details) {\n\t\t\t\t\tif(details.name === filename) {\n\t\t\t\t\t\tsha = details.sha;\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t}\n\t\t\tvar data = {\n\t\t\t\tmessage: $tw.language.getRawString(\"ControlPanel/Saving/GitService/CommitMessage\"),\n\t\t\t\tcontent: $tw.utils.base64Encode(text),\n\t\t\t\tbranch: branch,\n\t\t\t\tsha: sha\n\t\t\t};\n\t\t\t// Perform a PUT request to save the file\n\t\t\t$tw.utils.httpRequest({\n\t\t\t\turl: uri + filename,\n\t\t\t\ttype: \"PUT\",\n\t\t\t\theaders: headers,\n\t\t\t\tdata: JSON.stringify(data),\n\t\t\t\tcallback: function(err,putResponseDataJson,xhr) {\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\treturn callback(err);\n\t\t\t\t\t}\n\t\t\t\t\tvar putResponseData = JSON.parse(putResponseDataJson);\n\t\t\t\t\tcallback(null);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nGitHubSaver.prototype.info = {\n\tname: \"github\",\n\tpriority: 2000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new GitHubSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/gitlab.js": {
"title": "$:/core/modules/savers/gitlab.js",
"text": "/*\\\ntitle: $:/core/modules/savers/gitlab.js\ntype: application/javascript\nmodule-type: saver\n\nSaves wiki by pushing a commit to the GitLab REST API\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: true */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar GitLabSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nGitLabSaver.prototype.save = function(text,method,callback) {\n\t/* See https://docs.gitlab.com/ee/api/repository_files.html */\n\tvar self = this,\n\t\tusername = this.wiki.getTiddlerText(\"$:/GitLab/Username\"),\n\t\tpassword = $tw.utils.getPassword(\"gitlab\"),\n\t\trepo = this.wiki.getTiddlerText(\"$:/GitLab/Repo\"),\n\t\tpath = this.wiki.getTiddlerText(\"$:/GitLab/Path\",\"\"),\n\t\tfilename = this.wiki.getTiddlerText(\"$:/GitLab/Filename\"),\n\t\tbranch = this.wiki.getTiddlerText(\"$:/GitLab/Branch\") || \"master\",\n\t\tendpoint = this.wiki.getTiddlerText(\"$:/GitLab/ServerURL\") || \"https://gitlab.com/api/v4\",\n\t\theaders = {\n\t\t\t\"Content-Type\": \"application/json;charset=UTF-8\",\n\t\t\t\"Private-Token\": password\n\t\t};\n\t// Bail if we don't have everything we need\n\tif(!username || !password || !repo || !filename) {\n\t\treturn false;\n\t}\n\t// Make sure the path start and ends with a slash\n\tif(path.substring(0,1) !== \"/\") {\n\t\tpath = \"/\" + path;\n\t}\n\tif(path.substring(path.length - 1) !== \"/\") {\n\t\tpath = path + \"/\";\n\t}\n\t// Compose the base URI\n\tvar uri = endpoint + \"/projects/\" + encodeURIComponent(repo) + \"/repository/\";\n\t// Perform a get request to get the details (inc shas) of files in the same path as our file\n\t$tw.utils.httpRequest({\n\t\turl: uri + \"tree/?path=\" + encodeURIComponent(path.replace(/^\\/+|\\/$/g, '')) + \"&branch=\" + encodeURIComponent(branch.replace(/^\\/+|\\/$/g, '')),\n\t\ttype: \"GET\",\n\t\theaders: headers,\n\t\tcallback: function(err,getResponseDataJson,xhr) {\n\t\t\tvar getResponseData,sha = \"\";\n\t\t\tif(err && xhr.status !== 404) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tvar requestType = \"POST\";\n\t\t\tif(xhr.status !== 404) {\n\t\t\t\tgetResponseData = JSON.parse(getResponseDataJson);\n\t\t\t\t$tw.utils.each(getResponseData,function(details) {\n\t\t\t\t\tif(details.name === filename) {\n\t\t\t\t\t\trequestType = \"PUT\";\n\t\t\t\t\t\tsha = details.sha;\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t}\n\t\t\tvar data = {\n\t\t\t\tcommit_message: $tw.language.getRawString(\"ControlPanel/Saving/GitService/CommitMessage\"),\n\t\t\t\tcontent: text,\n\t\t\t\tbranch: branch,\n\t\t\t\tsha: sha\n\t\t\t};\n\t\t\t// Perform a request to save the file\n\t\t\t$tw.utils.httpRequest({\n\t\t\t\turl: uri + \"files/\" + encodeURIComponent(path.replace(/^\\/+/, '') + filename),\n\t\t\t\ttype: requestType,\n\t\t\t\theaders: headers,\n\t\t\t\tdata: JSON.stringify(data),\n\t\t\t\tcallback: function(err,putResponseDataJson,xhr) {\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\treturn callback(err);\n\t\t\t\t\t}\n\t\t\t\t\tvar putResponseData = JSON.parse(putResponseDataJson);\n\t\t\t\t\tcallback(null);\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nGitLabSaver.prototype.info = {\n\tname: \"gitlab\",\n\tpriority: 2000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new GitLabSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/hyperdrive.js": {
"title": "$:/core/modules/savers/hyperdrive.js",
"text": "/*\\\ntitle: $:/core/modules/savers/hyperdrive.js\ntype: application/javascript\nmodule-type: saver\n\nSaves files using the Hyperdrive Protocol (https://hypercore-protocol.org/#hyperdrive) Beaker browser beta-1.0 and later (https://beakerbrowser.com)\nCompatible with beaker >= V1.0.0\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSet up the saver\n*/\nvar HyperdriveSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nHyperdriveSaver.prototype.save = function(text,method,callback) {\n\tvar dat = beaker.hyperdrive.drive(\"\" + window.location),\n\t\tpathname = (\"\" + window.location.pathname).split(\"#\")[0];\n\tdat.stat(pathname).then(function(value) {\n\t\tif(value.isDirectory()) {\n\t\t\tpathname = pathname + \"/index.html\";\n\t\t}\n\t\tdat.writeFile(pathname,text,\"utf8\").then(function(value) {\n\t\t\tcallback(null);\n\t\t},function(reason) {\n\t\t\tcallback(\"Hyperdrive Saver Write Error: \" + reason);\n\t\t});\n\t},function(reason) {\n\t\tcallback(\"Hyperdrive Saver Stat Error: \" + reason);\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nHyperdriveSaver.prototype.info = {\n\tname: \"beaker-1.x\",\n\tpriority: 3000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.beaker && !!beaker.hyperdrive && location.protocol===\"hyper:\";\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new HyperdriveSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/manualdownload.js": {
"title": "$:/core/modules/savers/manualdownload.js",
"text": "/*\\\ntitle: $:/core/modules/savers/manualdownload.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via HTML5's download APIs\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Title of the tiddler containing the download message\nvar downloadInstructionsTitle = \"$:/language/Modals/Download\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar ManualDownloadSaver = function(wiki) {\n};\n\nManualDownloadSaver.prototype.save = function(text,method,callback) {\n\t$tw.modal.display(downloadInstructionsTitle,{\n\t\tdownloadLink: \"data:text/html,\" + encodeURIComponent(text)\n\t});\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nManualDownloadSaver.prototype.info = {\n\tname: \"manualdownload\",\n\tpriority: 0,\n\tcapabilities: [\"save\", \"download\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new ManualDownloadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/msdownload.js": {
"title": "$:/core/modules/savers/msdownload.js",
"text": "/*\\\ntitle: $:/core/modules/savers/msdownload.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via window.navigator.msSaveBlob()\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar MsDownloadSaver = function(wiki) {\n};\n\nMsDownloadSaver.prototype.save = function(text,method,callback) {\n\t// Get the current filename\n\tvar filename = \"tiddlywiki.html\",\n\t\tp = document.location.pathname.lastIndexOf(\"/\");\n\tif(p !== -1) {\n\t\tfilename = document.location.pathname.substr(p+1);\n\t}\n\t// Set up the link\n\tvar blob = new Blob([text], {type: \"text/html\"});\n\twindow.navigator.msSaveBlob(blob,filename);\n\t// Callback that we succeeded\n\tcallback(null);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nMsDownloadSaver.prototype.info = {\n\tname: \"msdownload\",\n\tpriority: 110,\n\tcapabilities: [\"save\", \"download\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn !!window.navigator.msSaveBlob;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new MsDownloadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/put.js": {
"title": "$:/core/modules/savers/put.js",
"text": "/*\\\ntitle: $:/core/modules/savers/put.js\ntype: application/javascript\nmodule-type: saver\n\nSaves wiki by performing a PUT request to the server\n\nWorks with any server which accepts a PUT request\nto the current URL, such as a WebDAV server.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nRetrieve ETag if available\n*/\nvar retrieveETag = function(self) {\n\tvar headers = {\n\t\tAccept: \"*/*;charset=UTF-8\"\n\t};\n\t$tw.utils.httpRequest({\n\t\turl: self.uri(),\n\t\ttype: \"HEAD\",\n\t\theaders: headers,\n\t\tcallback: function(err,data,xhr) {\n\t\t\tif(err) {\n\t\t\t\treturn;\n\t\t\t}\n\t\t\tvar etag = xhr.getResponseHeader(\"ETag\");\n\t\t\tif(!etag) {\n\t\t\t\treturn;\n\t\t\t}\n\t\t\tself.etag = etag.replace(/^W\\//,\"\");\n\t\t}\n\t});\n};\n\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar PutSaver = function(wiki) {\n\tthis.wiki = wiki;\n\tvar self = this;\n\tvar uri = this.uri();\n\t// Async server probe. Until probe finishes, save will fail fast\n\t// See also https://github.com/Jermolene/TiddlyWiki5/issues/2276\n\t$tw.utils.httpRequest({\n\t\turl: uri,\n\t\ttype: \"OPTIONS\",\n\t\tcallback: function(err,data,xhr) {\n\t\t\t// Check DAV header http://www.webdav.org/specs/rfc2518.html#rfc.section.9.1\n\t\t\tif(!err) {\n\t\t\t\tself.serverAcceptsPuts = xhr.status === 200 && !!xhr.getResponseHeader(\"dav\");\n\t\t\t}\n\t\t}\n\t});\n\tretrieveETag(this);\n};\n\nPutSaver.prototype.uri = function() {\n\treturn document.location.toString().split(\"#\")[0];\n};\n\n// TODO: in case of edit conflict\n// Prompt: Do you want to save over this? Y/N\n// Merging would be ideal, and may be possible using future generic merge flow\nPutSaver.prototype.save = function(text,method,callback) {\n\tif(!this.serverAcceptsPuts) {\n\t\treturn false;\n\t}\n\tvar self = this;\n\tvar headers = {\n\t\t\"Content-Type\": \"text/html;charset=UTF-8\"\n\t};\n\tif(this.etag) {\n\t\theaders[\"If-Match\"] = this.etag;\n\t}\n\t$tw.utils.httpRequest({\n\t\turl: this.uri(),\n\t\ttype: \"PUT\",\n\t\theaders: headers,\n\t\tdata: text,\n\t\tcallback: function(err,data,xhr) {\n\t\t\tif(err) {\n\t\t\t\t// response is textual: \"XMLHttpRequest error code: 412\"\n\t\t\t\tvar status = Number(err.substring(err.indexOf(':') + 2, err.length))\n\t\t\t\tif(status === 412) { // edit conflict\n\t\t\t\t\tvar message = $tw.language.getString(\"Error/EditConflict\");\n\t\t\t\t\tcallback(message);\n\t\t\t\t} else {\n\t\t\t\t\tcallback(err); // fail\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tself.etag = xhr.getResponseHeader(\"ETag\");\n\t\t\t\tif(self.etag == null) {\n\t\t\t\t\tretrieveETag(self);\n\t\t\t\t}\n\t\t\t\tcallback(null); // success\n\t\t\t}\n\t\t}\n\t});\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nPutSaver.prototype.info = {\n\tname: \"put\",\n\tpriority: 2000,\n\tcapabilities: [\"save\",\"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn /^https?:/.test(location.protocol);\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new PutSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/tiddlyfox.js": {
"title": "$:/core/modules/savers/tiddlyfox.js",
"text": "/*\\\ntitle: $:/core/modules/savers/tiddlyfox.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via the TiddlyFox file extension\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false, netscape: false, Components: false */\n\"use strict\";\n\nvar TiddlyFoxSaver = function(wiki) {\n};\n\nTiddlyFoxSaver.prototype.save = function(text,method,callback) {\n\tvar messageBox = document.getElementById(\"tiddlyfox-message-box\");\n\tif(messageBox) {\n\t\t// Get the pathname of this document\n\t\tvar pathname = document.location.toString().split(\"#\")[0];\n\t\t// Replace file://localhost/ with file:///\n\t\tif(pathname.indexOf(\"file://localhost/\") === 0) {\n\t\t\tpathname = \"file://\" + pathname.substr(16);\n\t\t}\n\t\t// Windows path file:///x:/blah/blah --> x:\\blah\\blah\n\t\tif(/^file\\:\\/\\/\\/[A-Z]\\:\\//i.test(pathname)) {\n\t\t\t// Remove the leading slash and convert slashes to backslashes\n\t\t\tpathname = pathname.substr(8).replace(/\\//g,\"\\\\\");\n\t\t// Firefox Windows network path file://///server/share/blah/blah --> //server/share/blah/blah\n\t\t} else if(pathname.indexOf(\"file://///\") === 0) {\n\t\t\tpathname = \"\\\\\\\\\" + unescape(pathname.substr(10)).replace(/\\//g,\"\\\\\");\n\t\t// Mac/Unix local path file:///path/path --> /path/path\n\t\t} else if(pathname.indexOf(\"file:///\") === 0) {\n\t\t\tpathname = unescape(pathname.substr(7));\n\t\t// Mac/Unix local path file:/path/path --> /path/path\n\t\t} else if(pathname.indexOf(\"file:/\") === 0) {\n\t\t\tpathname = unescape(pathname.substr(5));\n\t\t// Otherwise Windows networth path file://server/share/path/path --> \\\\server\\share\\path\\path\n\t\t} else {\n\t\t\tpathname = \"\\\\\\\\\" + unescape(pathname.substr(7)).replace(new RegExp(\"/\",\"g\"),\"\\\\\");\n\t\t}\n\t\t// Create the message element and put it in the message box\n\t\tvar message = document.createElement(\"div\");\n\t\tmessage.setAttribute(\"data-tiddlyfox-path\",decodeURIComponent(pathname));\n\t\tmessage.setAttribute(\"data-tiddlyfox-content\",text);\n\t\tmessageBox.appendChild(message);\n\t\t// Add an event handler for when the file has been saved\n\t\tmessage.addEventListener(\"tiddlyfox-have-saved-file\",function(event) {\n\t\t\tcallback(null);\n\t\t}, false);\n\t\t// Create and dispatch the custom event to the extension\n\t\tvar event = document.createEvent(\"Events\");\n\t\tevent.initEvent(\"tiddlyfox-save-file\",true,false);\n\t\tmessage.dispatchEvent(event);\n\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n};\n\n/*\nInformation about this saver\n*/\nTiddlyFoxSaver.prototype.info = {\n\tname: \"tiddlyfox\",\n\tpriority: 1500,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new TiddlyFoxSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/tiddlyie.js": {
"title": "$:/core/modules/savers/tiddlyie.js",
"text": "/*\\\ntitle: $:/core/modules/savers/tiddlyie.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via Internet Explorer BHO extenion (TiddlyIE)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar TiddlyIESaver = function(wiki) {\n};\n\nTiddlyIESaver.prototype.save = function(text,method,callback) {\n\t// Check existence of TiddlyIE BHO extension (note: only works after document is complete)\n\tif(typeof(window.TiddlyIE) != \"undefined\") {\n\t\t// Get the pathname of this document\n\t\tvar pathname = unescape(document.location.pathname);\n\t\t// Test for a Windows path of the form /x:/blah...\n\t\tif(/^\\/[A-Z]\\:\\/[^\\/]+/i.test(pathname)) {\t// ie: ^/[a-z]:/[^/]+ (is this better?: ^/[a-z]:/[^/]+(/[^/]+)*\\.[^/]+ )\n\t\t\t// Remove the leading slash\n\t\t\tpathname = pathname.substr(1);\n\t\t\t// Convert slashes to backslashes\n\t\t\tpathname = pathname.replace(/\\//g,\"\\\\\");\n\t\t} else if(document.hostname !== \"\" && /^\\/[^\\/]+\\/[^\\/]+/i.test(pathname)) {\t// test for \\\\server\\share\\blah... - ^/[^/]+/[^/]+\n\t\t\t// Convert slashes to backslashes\n\t\t\tpathname = pathname.replace(/\\//g,\"\\\\\");\n\t\t\t// reconstruct UNC path\n\t\t\tpathname = \"\\\\\\\\\" + document.location.hostname + pathname;\n\t\t} else return false;\n\t\t// Prompt the user to save the file\n\t\twindow.TiddlyIE.save(pathname, text);\n\t\t// Callback that we succeeded\n\t\tcallback(null);\n\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n};\n\n/*\nInformation about this saver\n*/\nTiddlyIESaver.prototype.info = {\n\tname: \"tiddlyiesaver\",\n\tpriority: 1500,\n\tcapabilities: [\"save\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn (window.location.protocol === \"file:\");\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new TiddlyIESaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/twedit.js": {
"title": "$:/core/modules/savers/twedit.js",
"text": "/*\\\ntitle: $:/core/modules/savers/twedit.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via the TWEdit iOS app\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false, netscape: false, Components: false */\n\"use strict\";\n\nvar TWEditSaver = function(wiki) {\n};\n\nTWEditSaver.prototype.save = function(text,method,callback) {\n\t// Bail if we're not running under TWEdit\n\tif(typeof DeviceInfo !== \"object\") {\n\t\treturn false;\n\t}\n\t// Get the pathname of this document\n\tvar pathname = decodeURIComponent(document.location.pathname);\n\t// Strip any query or location part\n\tvar p = pathname.indexOf(\"?\");\n\tif(p !== -1) {\n\t\tpathname = pathname.substr(0,p);\n\t}\n\tp = pathname.indexOf(\"#\");\n\tif(p !== -1) {\n\t\tpathname = pathname.substr(0,p);\n\t}\n\t// Remove the leading \"/Documents\" from path\n\tvar prefix = \"/Documents\";\n\tif(pathname.indexOf(prefix) === 0) {\n\t\tpathname = pathname.substr(prefix.length);\n\t}\n\t// Error handler\n\tvar errorHandler = function(event) {\n\t\t// Error\n\t\tcallback($tw.language.getString(\"Error/SavingToTWEdit\") + \": \" + event.target.error.code);\n\t};\n\t// Get the file system\n\twindow.requestFileSystem(LocalFileSystem.PERSISTENT,0,function(fileSystem) {\n\t\t// Now we've got the filesystem, get the fileEntry\n\t\tfileSystem.root.getFile(pathname, {create: true}, function(fileEntry) {\n\t\t\t// Now we've got the fileEntry, create the writer\n\t\t\tfileEntry.createWriter(function(writer) {\n\t\t\t\twriter.onerror = errorHandler;\n\t\t\t\twriter.onwrite = function() {\n\t\t\t\t\tcallback(null);\n\t\t\t\t};\n\t\t\t\twriter.position = 0;\n\t\t\t\twriter.write(text);\n\t\t\t},errorHandler);\n\t\t}, errorHandler);\n\t}, errorHandler);\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nTWEditSaver.prototype.info = {\n\tname: \"twedit\",\n\tpriority: 1600,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new TWEditSaver(wiki);\n};\n\n/////////////////////////// Hack\n// HACK: This ensures that TWEdit recognises us as a TiddlyWiki document\nif($tw.browser) {\n\twindow.version = {title: \"TiddlyWiki\"};\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/savers/upload.js": {
"title": "$:/core/modules/savers/upload.js",
"text": "/*\\\ntitle: $:/core/modules/savers/upload.js\ntype: application/javascript\nmodule-type: saver\n\nHandles saving changes via upload to a server.\n\nDesigned to be compatible with BidiX's UploadPlugin at http://tiddlywiki.bidix.info/#UploadPlugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSelect the appropriate saver module and set it up\n*/\nvar UploadSaver = function(wiki) {\n\tthis.wiki = wiki;\n};\n\nUploadSaver.prototype.save = function(text,method,callback) {\n\t// Get the various parameters we need\n\tvar backupDir = this.wiki.getTextReference(\"$:/UploadBackupDir\") || \".\",\n\t\tusername = this.wiki.getTextReference(\"$:/UploadName\"),\n\t\tpassword = $tw.utils.getPassword(\"upload\"),\n\t\tuploadDir = this.wiki.getTextReference(\"$:/UploadDir\") || \".\",\n\t\tuploadFilename = this.wiki.getTextReference(\"$:/UploadFilename\") || \"index.html\",\n\t\tuploadWithUrlOnly = this.wiki.getTextReference(\"$:/UploadWithUrlOnly\") || \"no\",\n\t\turl = this.wiki.getTextReference(\"$:/UploadURL\");\n\t// Bail out if we don't have the bits we need\n\tif (uploadWithUrlOnly === \"yes\") {\n\t\t// The url is good enough. No need for a username and password.\n\t\t// Assume the server uses some other kind of auth mechanism.\n\t\tif(!url || url.toString().trim() === \"\") {\n\t\t\treturn false;\n\t\t}\n\t}\n\telse {\n\t\t// Require username and password to be present.\n\t\t// Assume the server uses the standard UploadPlugin username/password.\n\t\tif(!username || username.toString().trim() === \"\" || !password || password.toString().trim() === \"\") {\n\t\t\treturn false;\n\t\t}\n\t}\n\t// Construct the url if not provided\n\tif(!url) {\n\t\turl = \"http://\" + username + \".tiddlyspot.com/store.cgi\";\n\t}\n\t// Assemble the header\n\tvar boundary = \"---------------------------\" + \"AaB03x\";\t\n\tvar uploadFormName = \"UploadPlugin\";\n\tvar head = [];\n\thead.push(\"--\" + boundary + \"\\r\\nContent-disposition: form-data; name=\\\"UploadPlugin\\\"\\r\\n\");\n\thead.push(\"backupDir=\" + backupDir + \";user=\" + username + \";password=\" + password + \";uploaddir=\" + uploadDir + \";;\"); \n\thead.push(\"\\r\\n\" + \"--\" + boundary);\n\thead.push(\"Content-disposition: form-data; name=\\\"userfile\\\"; filename=\\\"\" + uploadFilename + \"\\\"\");\n\thead.push(\"Content-Type: text/html;charset=UTF-8\");\n\thead.push(\"Content-Length: \" + text.length + \"\\r\\n\");\n\thead.push(\"\");\n\t// Assemble the tail and the data itself\n\tvar tail = \"\\r\\n--\" + boundary + \"--\\r\\n\",\n\t\tdata = head.join(\"\\r\\n\") + text + tail;\n\t// Do the HTTP post\n\tvar http = new XMLHttpRequest();\n\thttp.open(\"POST\",url,true,username,password);\n\thttp.setRequestHeader(\"Content-Type\",\"multipart/form-data; charset=UTF-8; boundary=\" + boundary);\n\thttp.onreadystatechange = function() {\n\t\tif(http.readyState == 4 && http.status == 200) {\n\t\t\tif(http.responseText.substr(0,4) === \"0 - \") {\n\t\t\t\tcallback(null);\n\t\t\t} else {\n\t\t\t\tcallback(http.responseText);\n\t\t\t}\n\t\t}\n\t};\n\ttry {\n\t\thttp.send(data);\n\t} catch(ex) {\n\t\treturn callback($tw.language.getString(\"Error/Caption\") + \":\" + ex);\n\t}\n\t$tw.notifier.display(\"$:/language/Notifications/Save/Starting\");\n\treturn true;\n};\n\n/*\nInformation about this saver\n*/\nUploadSaver.prototype.info = {\n\tname: \"upload\",\n\tpriority: 2000,\n\tcapabilities: [\"save\", \"autosave\"]\n};\n\n/*\nStatic method that returns true if this saver is capable of working\n*/\nexports.canSave = function(wiki) {\n\treturn true;\n};\n\n/*\nCreate an instance of this saver\n*/\nexports.create = function(wiki) {\n\treturn new UploadSaver(wiki);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "saver"
},
"$:/core/modules/server/authenticators/basic.js": {
"title": "$:/core/modules/server/authenticators/basic.js",
"text": "/*\\\ntitle: $:/core/modules/server/authenticators/basic.js\ntype: application/javascript\nmodule-type: authenticator\n\nAuthenticator for WWW basic authentication\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nif($tw.node) {\n\tvar util = require(\"util\"),\n\t\tfs = require(\"fs\"),\n\t\turl = require(\"url\"),\n\t\tpath = require(\"path\");\n}\n\nfunction BasicAuthenticator(server) {\n\tthis.server = server;\n\tthis.credentialsData = [];\n}\n\n/*\nReturns true if the authenticator is active, false if it is inactive, or a string if there is an error\n*/\nBasicAuthenticator.prototype.init = function() {\n\t// Read the credentials data\n\tthis.credentialsFilepath = this.server.get(\"credentials\");\n\tif(this.credentialsFilepath) {\n\t\tvar resolveCredentialsFilepath = path.resolve(this.server.boot.wikiPath,this.credentialsFilepath);\n\t\tif(fs.existsSync(resolveCredentialsFilepath) && !fs.statSync(resolveCredentialsFilepath).isDirectory()) {\n\t\t\tvar credentialsText = fs.readFileSync(resolveCredentialsFilepath,\"utf8\"),\n\t\t\t\tcredentialsData = $tw.utils.parseCsvStringWithHeader(credentialsText);\n\t\t\tif(typeof credentialsData === \"string\") {\n\t\t\t\treturn \"Error: \" + credentialsData + \" reading credentials from '\" + resolveCredentialsFilepath + \"'\";\n\t\t\t} else {\n\t\t\t\tthis.credentialsData = credentialsData;\n\t\t\t}\n\t\t} else {\n\t\t\treturn \"Error: Unable to load user credentials from '\" + resolveCredentialsFilepath + \"'\";\n\t\t}\n\t}\n\t// Add the hardcoded username and password if specified\n\tif(this.server.get(\"username\") && this.server.get(\"password\")) {\n\t\tthis.credentialsData = this.credentialsData || [];\n\t\tthis.credentialsData.push({\n\t\t\tusername: this.server.get(\"username\"),\n\t\t\tpassword: this.server.get(\"password\")\n\t\t});\n\t}\n\treturn this.credentialsData.length > 0;\n};\n\n/*\nReturns true if the request is authenticated and assigns the \"authenticatedUsername\" state variable.\nReturns false if the request couldn't be authenticated having sent an appropriate response to the browser\n*/\nBasicAuthenticator.prototype.authenticateRequest = function(request,response,state) {\n\t// Extract the incoming username and password from the request\n\tvar header = request.headers.authorization || \"\";\n\tif(!header && state.allowAnon) {\n\t\t// If there's no header and anonymous access is allowed then we don't set authenticatedUsername\n\t\treturn true;\n\t}\n\tvar token = header.split(/\\s+/).pop() || \"\",\n\t\tauth = $tw.utils.base64Decode(token),\n\t\tparts = auth.split(/:/),\n\t\tincomingUsername = parts[0],\n\t\tincomingPassword = parts[1];\n\t// Check that at least one of the credentials matches\n\tvar matchingCredentials = this.credentialsData.find(function(credential) {\n\t\treturn credential.username === incomingUsername && credential.password === incomingPassword;\n\t});\n\tif(matchingCredentials) {\n\t\t// If so, add the authenticated username to the request state\n\t\tstate.authenticatedUsername = incomingUsername;\n\t\treturn true;\n\t} else {\n\t\t// If not, return an authentication challenge\n\t\tresponse.writeHead(401,\"Authentication required\",{\n\t\t\t\"WWW-Authenticate\": 'Basic realm=\"Please provide your username and password to login to ' + state.server.servername + '\"'\n\t\t});\n\t\tresponse.end();\n\t\treturn false;\n\t}\n};\n\nexports.AuthenticatorClass = BasicAuthenticator;\n\n})();\n",
"type": "application/javascript",
"module-type": "authenticator"
},
"$:/core/modules/server/authenticators/header.js": {
"title": "$:/core/modules/server/authenticators/header.js",
"text": "/*\\\ntitle: $:/core/modules/server/authenticators/header.js\ntype: application/javascript\nmodule-type: authenticator\n\nAuthenticator for trusted header authentication\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction HeaderAuthenticator(server) {\n\tthis.server = server;\n\tthis.header = server.get(\"authenticated-user-header\") ? server.get(\"authenticated-user-header\").toLowerCase() : undefined;\n}\n\n/*\nReturns true if the authenticator is active, false if it is inactive, or a string if there is an error\n*/\nHeaderAuthenticator.prototype.init = function() {\n\treturn !!this.header;\n};\n\n/*\nReturns true if the request is authenticated and assigns the \"authenticatedUsername\" state variable.\nReturns false if the request couldn't be authenticated having sent an appropriate response to the browser\n*/\nHeaderAuthenticator.prototype.authenticateRequest = function(request,response,state) {\n\t// Otherwise, authenticate as the username in the specified header\n\tvar username = request.headers[this.header];\n\tif(!username && !state.allowAnon) {\n\t\tresponse.writeHead(401,\"Authorization header required to login to '\" + state.server.servername + \"'\");\n\t\tresponse.end();\n\t\treturn false;\n\t} else {\n\t\t// authenticatedUsername will be undefined for anonymous users\n\t\tstate.authenticatedUsername = username;\n\t\treturn true;\n\t}\n};\n\nexports.AuthenticatorClass = HeaderAuthenticator;\n\n})();\n",
"type": "application/javascript",
"module-type": "authenticator"
},
"$:/core/modules/server/routes/delete-tiddler.js": {
"title": "$:/core/modules/server/routes/delete-tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/delete-tiddler.js\ntype: application/javascript\nmodule-type: route\n\nDELETE /recipes/default/tiddlers/:title\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"DELETE\";\n\nexports.path = /^\\/bags\\/default\\/tiddlers\\/(.+)$/;\n\nexports.handler = function(request,response,state) {\n\tvar title = decodeURIComponent(state.params[0]);\n\tstate.wiki.deleteTiddler(title);\n\tresponse.writeHead(204, \"OK\", {\n\t\t\"Content-Type\": \"text/plain\"\n\t});\n\tresponse.end();\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-favicon.js": {
"title": "$:/core/modules/server/routes/get-favicon.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-favicon.js\ntype: application/javascript\nmodule-type: route\n\nGET /favicon.ico\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/favicon.ico$/;\n\nexports.handler = function(request,response,state) {\n\tresponse.writeHead(200, {\"Content-Type\": \"image/x-icon\"});\n\tvar buffer = state.wiki.getTiddlerText(\"$:/favicon.ico\",\"\");\n\tresponse.end(buffer,\"base64\");\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-file.js": {
"title": "$:/core/modules/server/routes/get-file.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-file.js\ntype: application/javascript\nmodule-type: route\n\nGET /files/:filepath\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/files\\/(.+)$/;\n\nexports.handler = function(request,response,state) {\n\tvar path = require(\"path\"),\n\t\tfs = require(\"fs\"),\n\t\tutil = require(\"util\"),\n\t\tsuppliedFilename = decodeURIComponent(state.params[0]),\n\t\tfilename = path.resolve(state.boot.wikiPath,\"files\",suppliedFilename),\n\t\textension = path.extname(filename);\n\tfs.readFile(filename,function(err,content) {\n\t\tvar status,content,type = \"text/plain\";\n\t\tif(err) {\n\t\t\tconsole.log(\"Error accessing file \" + filename + \": \" + err.toString());\n\t\t\tstatus = 404;\n\t\t\tcontent = \"File '\" + suppliedFilename + \"' not found\";\n\t\t} else {\n\t\t\tstatus = 200;\n\t\t\tcontent = content;\n\t\t\ttype = ($tw.config.fileExtensionInfo[extension] ? $tw.config.fileExtensionInfo[extension].type : \"application/octet-stream\");\n\t\t}\n\t\tresponse.writeHead(status,{\n\t\t\t\"Content-Type\": type\n\t\t});\n\t\tresponse.end(content);\n\t});\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-index.js": {
"title": "$:/core/modules/server/routes/get-index.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-index.js\ntype: application/javascript\nmodule-type: route\n\nGET /\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar zlib = require(\"zlib\");\n\nexports.method = \"GET\";\n\nexports.path = /^\\/$/;\n\nexports.handler = function(request,response,state) {\n\tvar acceptEncoding = request.headers[\"accept-encoding\"];\n\tif(!acceptEncoding) {\n\t\tacceptEncoding = \"\";\n\t}\n\tvar text = state.wiki.renderTiddler(state.server.get(\"root-render-type\"),state.server.get(\"root-tiddler\")),\n\t\tresponseHeaders = {\n\t\t\"Content-Type\": state.server.get(\"root-serve-type\")\n\t};\n\t/*\n\tIf the gzip=yes flag for `listen` is set, check if the user agent permits\n\tcompression. If so, compress our response. Note that we use the synchronous\n\tfunctions from zlib to stay in the imperative style. The current `Server`\n\tdoesn't depend on this, and we may just as well use the async versions.\n\t*/\n\tif(state.server.enableGzip) {\n\t\tif (/\\bdeflate\\b/.test(acceptEncoding)) {\n\t\t\tresponseHeaders[\"Content-Encoding\"] = \"deflate\";\n\t\t\ttext = zlib.deflateSync(text);\n\t\t} else if (/\\bgzip\\b/.test(acceptEncoding)) {\n\t\t\tresponseHeaders[\"Content-Encoding\"] = \"gzip\";\n\t\t\ttext = zlib.gzipSync(text);\n\t\t}\n\t}\n\tresponse.writeHead(200,responseHeaders);\n\tresponse.end(text);\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-login-basic.js": {
"title": "$:/core/modules/server/routes/get-login-basic.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-login-basic.js\ntype: application/javascript\nmodule-type: route\n\nGET /login-basic -- force a Basic Authentication challenge\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/login-basic$/;\n\nexports.handler = function(request,response,state) {\n\tif(!state.authenticatedUsername) {\n\t\t// Challenge if there's no username\n\t\tresponse.writeHead(401,{\n\t\t\t\"WWW-Authenticate\": 'Basic realm=\"Please provide your username and password to login to ' + state.server.servername + '\"'\n\t\t});\n\t\tresponse.end();\t\t\n\t} else {\n\t\t// Redirect to the root wiki if login worked\n\t\tresponse.writeHead(302,{\n\t\t\tLocation: \"/\"\n\t\t});\n\t\tresponse.end();\n\t}\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-status.js": {
"title": "$:/core/modules/server/routes/get-status.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-status.js\ntype: application/javascript\nmodule-type: route\n\nGET /status\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/status$/;\n\nexports.handler = function(request,response,state) {\n\tresponse.writeHead(200, {\"Content-Type\": \"application/json\"});\n\tvar text = JSON.stringify({\n\t\tusername: state.authenticatedUsername || state.server.get(\"anon-username\") || \"\",\n\t\tanonymous: !state.authenticatedUsername,\n\t\tread_only: !state.server.isAuthorized(\"writers\",state.authenticatedUsername),\n\t\tsse_enabled: state.server.get(\"sse-enabled\") === \"yes\",\n\t\tspace: {\n\t\t\trecipe: \"default\"\n\t\t},\n\t\ttiddlywiki_version: $tw.version\n\t});\n\tresponse.end(text,\"utf8\");\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-tiddler-html.js": {
"title": "$:/core/modules/server/routes/get-tiddler-html.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-tiddler-html.js\ntype: application/javascript\nmodule-type: route\n\nGET /:title\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/([^\\/]+)$/;\n\nexports.handler = function(request,response,state) {\n\tvar title = decodeURIComponent(state.params[0]),\n\t\ttiddler = state.wiki.getTiddler(title);\n\tif(tiddler) {\n\t\tvar renderType = tiddler.getFieldString(\"_render_type\"),\n\t\t\trenderTemplate = tiddler.getFieldString(\"_render_template\");\n\t\t// Tiddler fields '_render_type' and '_render_template' overwrite\n\t\t// system wide settings for render type and template\n\t\tif(state.wiki.isSystemTiddler(title)) {\n\t\t\trenderType = renderType || state.server.get(\"system-tiddler-render-type\");\n\t\t\trenderTemplate = renderTemplate || state.server.get(\"system-tiddler-render-template\");\n\t\t} else {\n\t\t\trenderType = renderType || state.server.get(\"tiddler-render-type\");\n\t\t\trenderTemplate = renderTemplate || state.server.get(\"tiddler-render-template\");\n\t\t}\n\t\tvar text = state.wiki.renderTiddler(renderType,renderTemplate,{parseAsInline: true, variables: {currentTiddler: title}});\n\t\t// Naughty not to set a content-type, but it's the easiest way to ensure the browser will see HTML pages as HTML, and accept plain text tiddlers as CSS or JS\n\t\tresponse.writeHead(200);\n\t\tresponse.end(text,\"utf8\");\n\t} else {\n\t\tresponse.writeHead(404);\n\t\tresponse.end();\n\t}\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-tiddler.js": {
"title": "$:/core/modules/server/routes/get-tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-tiddler.js\ntype: application/javascript\nmodule-type: route\n\nGET /recipes/default/tiddlers/:title\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/recipes\\/default\\/tiddlers\\/(.+)$/;\n\nexports.handler = function(request,response,state) {\n\tvar title = decodeURIComponent(state.params[0]),\n\t\ttiddler = state.wiki.getTiddler(title),\n\t\ttiddlerFields = {},\n\t\tknownFields = [\n\t\t\t\"bag\", \"created\", \"creator\", \"modified\", \"modifier\", \"permissions\", \"recipe\", \"revision\", \"tags\", \"text\", \"title\", \"type\", \"uri\"\n\t\t];\n\tif(tiddler) {\n\t\t$tw.utils.each(tiddler.fields,function(field,name) {\n\t\t\tvar value = tiddler.getFieldString(name);\n\t\t\tif(knownFields.indexOf(name) !== -1) {\n\t\t\t\ttiddlerFields[name] = value;\n\t\t\t} else {\n\t\t\t\ttiddlerFields.fields = tiddlerFields.fields || {};\n\t\t\t\ttiddlerFields.fields[name] = value;\n\t\t\t}\n\t\t});\n\t\ttiddlerFields.revision = state.wiki.getChangeCount(title);\n\t\ttiddlerFields.bag = \"default\";\n\t\ttiddlerFields.type = tiddlerFields.type || \"text/vnd.tiddlywiki\";\n\t\tresponse.writeHead(200, {\"Content-Type\": \"application/json\"});\n\t\tresponse.end(JSON.stringify(tiddlerFields),\"utf8\");\n\t} else {\n\t\tresponse.writeHead(404);\n\t\tresponse.end();\n\t}\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/get-tiddlers-json.js": {
"title": "$:/core/modules/server/routes/get-tiddlers-json.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/get-tiddlers-json.js\ntype: application/javascript\nmodule-type: route\n\nGET /recipes/default/tiddlers.json?filter=<filter>\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar DEFAULT_FILTER = \"[all[tiddlers]!is[system]sort[title]]\";\n\nexports.method = \"GET\";\n\nexports.path = /^\\/recipes\\/default\\/tiddlers.json$/;\n\nexports.handler = function(request,response,state) {\n\tvar filter = state.queryParameters.filter || DEFAULT_FILTER;\n\tif(state.wiki.getTiddlerText(\"$:/config/Server/AllowAllExternalFilters\") !== \"yes\") {\n\t\tif(state.wiki.getTiddlerText(\"$:/config/Server/ExternalFilters/\" + filter) !== \"yes\") {\n\t\t\tconsole.log(\"Blocked attempt to GET /recipes/default/tiddlers.json with filter: \" + filter);\n\t\t\tresponse.writeHead(403);\n\t\t\tresponse.end();\n\t\t\treturn;\n\t\t}\n\t}\n\tif(state.wiki.getTiddlerText(\"$:/config/SyncSystemTiddlersFromServer\") === \"no\") {\n\t\tfilter += \"+[!is[system]]\";\n\t}\n\tvar excludeFields = (state.queryParameters.exclude || \"text\").split(\",\"),\n\t\ttitles = state.wiki.filterTiddlers(filter);\n\tresponse.writeHead(200, {\"Content-Type\": \"application/json\"});\n\tvar tiddlers = [];\n\t$tw.utils.each(titles,function(title) {\n\t\tvar tiddler = state.wiki.getTiddler(title);\n\t\tif(tiddler) {\n\t\t\tvar tiddlerFields = tiddler.getFieldStrings({exclude: excludeFields});\n\t\t\ttiddlerFields.revision = state.wiki.getChangeCount(title);\n\t\t\ttiddlerFields.type = tiddlerFields.type || \"text/vnd.tiddlywiki\";\n\t\t\ttiddlers.push(tiddlerFields);\n\t\t}\n\t});\n\tvar text = JSON.stringify(tiddlers);\n\tresponse.end(text,\"utf8\");\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/routes/put-tiddler.js": {
"title": "$:/core/modules/server/routes/put-tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/server/routes/put-tiddler.js\ntype: application/javascript\nmodule-type: route\n\nPUT /recipes/default/tiddlers/:title\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.method = \"PUT\";\n\nexports.path = /^\\/recipes\\/default\\/tiddlers\\/(.+)$/;\n\nexports.handler = function(request,response,state) {\n\tvar title = decodeURIComponent(state.params[0]),\n\tfields = JSON.parse(state.data);\n\t// Pull up any subfields in the `fields` object\n\tif(fields.fields) {\n\t\t$tw.utils.each(fields.fields,function(field,name) {\n\t\t\tfields[name] = field;\n\t\t});\n\t\tdelete fields.fields;\n\t}\n\t// Remove any revision field\n\tif(fields.revision) {\n\t\tdelete fields.revision;\n\t}\n\tstate.wiki.addTiddler(new $tw.Tiddler(state.wiki.getCreationFields(),fields,{title: title},state.wiki.getModificationFields()));\n\tvar changeCount = state.wiki.getChangeCount(title).toString();\n\tresponse.writeHead(204, \"OK\",{\n\t\tEtag: \"\\\"default/\" + encodeURIComponent(title) + \"/\" + changeCount + \":\\\"\",\n\t\t\"Content-Type\": \"text/plain\"\n\t});\n\tresponse.end();\n};\n\n}());\n",
"type": "application/javascript",
"module-type": "route"
},
"$:/core/modules/server/server-sent-events.js": {
"title": "$:/core/modules/server/server-sent-events.js",
"text": "/*\\\ntitle: $:/core/modules/server/server-sent-events.js\ntype: application/javascript\nmodule-type: library\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nparameters:\n\t\tprefix - usually the plugin path, such as `plugins/tiddlywiki/tiddlyweb`. The\n\t\t\troute will match `/events/${prefix}` exactly.\n\n\t\thandler - a function that will be called each time a request comes in with the\n\t\t\trequest and state from the route and an emit function to call.\n*/\n\nvar ServerSentEvents = function ServerSentEvents(prefix, handler) {\n\tthis.handler = handler;\n\tthis.prefix = prefix;\n};\n\nServerSentEvents.prototype.getExports = function() {\n\treturn {\n\t\tbodyFormat: \"stream\",\n\t\tmethod: \"GET\",\n\t\tpath: new RegExp(\"^/events/\" + this.prefix + \"$\"),\n\t\thandler: this.handleEventRequest.bind(this)\n\t};\n};\n\nServerSentEvents.prototype.handleEventRequest = function(request,response,state) {\n\tif(ServerSentEvents.prototype.isEventStreamRequest(request)) {\n\t\tresponse.writeHead(200, {\n\t\t\t\"Content-Type\": \"text/event-stream\",\n\t\t\t\"Cache-Control\": \"no-cache\",\n\t\t\t\"Connection\": \"keep-alive\"\n\t\t});\n\t\tthis.handler(request,state,this.emit.bind(this,response),this.end.bind(this,response));\n\t} else {\n\t\tresponse.writeHead(406,\"Not Acceptable\",{});\n\t\tresponse.end();\n\t}\n};\n\nServerSentEvents.prototype.isEventStreamRequest = function(request) {\n\treturn request.headers.accept &&\n\t\trequest.headers.accept.match(/^text\\/event-stream/);\n};\n\nServerSentEvents.prototype.emit = function(response,event,data) {\n\tif(typeof event !== \"string\" || event.indexOf(\"\\n\") !== -1) {\n\t\tthrow new Error(\"Type must be a single-line string\");\n\t}\n\tif(typeof data !== \"string\" || data.indexOf(\"\\n\") !== -1) {\n\t\tthrow new Error(\"Data must be a single-line string\");\n\t}\n\tresponse.write(\"event: \" + event + \"\\ndata: \" + data + \"\\n\\n\", \"utf8\");\n};\n\nServerSentEvents.prototype.end = function(response) {\n\tresponse.end();\n};\n\nexports.ServerSentEvents = ServerSentEvents;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/server/server.js": {
"title": "$:/core/modules/server/server.js",
"text": "/*\\\ntitle: $:/core/modules/server/server.js\ntype: application/javascript\nmodule-type: library\n\nServe tiddlers over http\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nif($tw.node) {\n\tvar util = require(\"util\"),\n\t\tfs = require(\"fs\"),\n\t\turl = require(\"url\"),\n\t\tpath = require(\"path\"),\n\t\tquerystring = require(\"querystring\");\n}\n\n/*\nA simple HTTP server with regexp-based routes\noptions: variables - optional hashmap of variables to set (a misnomer - they are really constant parameters)\n\t\t routes - optional array of routes to use\n\t\t wiki - reference to wiki object\n*/\nfunction Server(options) {\n\tvar self = this;\n\tthis.routes = options.routes || [];\n\tthis.authenticators = options.authenticators || [];\n\tthis.wiki = options.wiki;\n\tthis.boot = options.boot || $tw.boot;\n\tthis.servername = $tw.utils.transliterateToSafeASCII(this.wiki.getTiddlerText(\"$:/SiteTitle\") || \"TiddlyWiki5\");\n\t// Initialise the variables\n\tthis.variables = $tw.utils.extend({},this.defaultVariables);\n\tif(options.variables) {\n\t\tfor(var variable in options.variables) {\n\t\t\tif(options.variables[variable]) {\n\t\t\t\tthis.variables[variable] = options.variables[variable];\n\t\t\t}\n\t\t}\t\t\n\t}\n\t$tw.utils.extend({},this.defaultVariables,options.variables);\n\t// Initialise CSRF\n\tthis.csrfDisable = this.get(\"csrf-disable\") === \"yes\";\n\t// Initialize Gzip compression\n\tthis.enableGzip = this.get(\"gzip\") === \"yes\";\n\t// Initialise authorization\n\tvar authorizedUserName = (this.get(\"username\") && this.get(\"password\")) ? this.get(\"username\") : \"(anon)\";\n\tthis.authorizationPrincipals = {\n\t\treaders: (this.get(\"readers\") || authorizedUserName).split(\",\").map($tw.utils.trim),\n\t\twriters: (this.get(\"writers\") || authorizedUserName).split(\",\").map($tw.utils.trim)\n\t}\n\t// Load and initialise authenticators\n\t$tw.modules.forEachModuleOfType(\"authenticator\", function(title,authenticatorDefinition) {\n\t\t// console.log(\"Loading server route \" + title);\n\t\tself.addAuthenticator(authenticatorDefinition.AuthenticatorClass);\n\t});\n\t// Load route handlers\n\t$tw.modules.forEachModuleOfType(\"route\", function(title,routeDefinition) {\n\t\t// console.log(\"Loading server route \" + title);\n\t\tself.addRoute(routeDefinition);\n\t});\n\t// Initialise the http vs https\n\tthis.listenOptions = null;\n\tthis.protocol = \"http\";\n\tvar tlsKeyFilepath = this.get(\"tls-key\"),\n\t\ttlsCertFilepath = this.get(\"tls-cert\");\n\tif(tlsCertFilepath && tlsKeyFilepath) {\n\t\tthis.listenOptions = {\n\t\t\tkey: fs.readFileSync(path.resolve(this.boot.wikiPath,tlsKeyFilepath),\"utf8\"),\n\t\t\tcert: fs.readFileSync(path.resolve(this.boot.wikiPath,tlsCertFilepath),\"utf8\")\n\t\t};\n\t\tthis.protocol = \"https\";\n\t}\n\tthis.transport = require(this.protocol);\n}\n\nServer.prototype.defaultVariables = {\n\tport: \"8080\",\n\thost: \"127.0.0.1\",\n\t\"root-tiddler\": \"$:/core/save/all\",\n\t\"root-render-type\": \"text/plain\",\n\t\"root-serve-type\": \"text/html\",\n\t\"tiddler-render-type\": \"text/html\",\n\t\"tiddler-render-template\": \"$:/core/templates/server/static.tiddler.html\",\n\t\"system-tiddler-render-type\": \"text/plain\",\n\t\"system-tiddler-render-template\": \"$:/core/templates/wikified-tiddler\",\n\t\"debug-level\": \"none\",\n\t\"gzip\": \"no\"\n};\n\nServer.prototype.get = function(name) {\n\treturn this.variables[name];\n};\n\nServer.prototype.addRoute = function(route) {\n\tthis.routes.push(route);\n};\n\nServer.prototype.addAuthenticator = function(AuthenticatorClass) {\n\t// Instantiate and initialise the authenticator\n\tvar authenticator = new AuthenticatorClass(this),\n\t\tresult = authenticator.init();\n\tif(typeof result === \"string\") {\n\t\t$tw.utils.error(\"Error: \" + result);\n\t} else if(result) {\n\t\t// Only use the authenticator if it initialised successfully\n\t\tthis.authenticators.push(authenticator);\n\t}\n};\n\nServer.prototype.findMatchingRoute = function(request,state) {\n\tfor(var t=0; t<this.routes.length; t++) {\n\t\tvar potentialRoute = this.routes[t],\n\t\t\tpathRegExp = potentialRoute.path,\n\t\t\tpathname = state.urlInfo.pathname,\n\t\t\tmatch;\n\t\tif(state.pathPrefix) {\n\t\t\tif(pathname.substr(0,state.pathPrefix.length) === state.pathPrefix) {\n\t\t\t\tpathname = pathname.substr(state.pathPrefix.length) || \"/\";\n\t\t\t\tmatch = potentialRoute.path.exec(pathname);\n\t\t\t} else {\n\t\t\t\tmatch = false;\n\t\t\t}\n\t\t} else {\n\t\t\tmatch = potentialRoute.path.exec(pathname);\n\t\t}\n\t\tif(match && request.method === potentialRoute.method) {\n\t\t\tstate.params = [];\n\t\t\tfor(var p=1; p<match.length; p++) {\n\t\t\t\tstate.params.push(match[p]);\n\t\t\t}\n\t\t\treturn potentialRoute;\n\t\t}\n\t}\n\treturn null;\n};\n\nServer.prototype.methodMappings = {\n\t\"GET\": \"readers\",\n\t\"OPTIONS\": \"readers\",\n\t\"HEAD\": \"readers\",\n\t\"PUT\": \"writers\",\n\t\"POST\": \"writers\",\n\t\"DELETE\": \"writers\"\n};\n\n/*\nCheck whether a given user is authorized for the specified authorizationType (\"readers\" or \"writers\"). Pass null or undefined as the username to check for anonymous access\n*/\nServer.prototype.isAuthorized = function(authorizationType,username) {\n\tvar principals = this.authorizationPrincipals[authorizationType] || [];\n\treturn principals.indexOf(\"(anon)\") !== -1 || (username && (principals.indexOf(\"(authenticated)\") !== -1 || principals.indexOf(username) !== -1));\n}\n\nServer.prototype.requestHandler = function(request,response,options) {\n\toptions = options || {};\n\t// Compose the state object\n\tvar self = this;\n\tvar state = {};\n\tstate.wiki = options.wiki || self.wiki;\n\tstate.boot = options.boot || self.boot;\n\tstate.server = self;\n\tstate.urlInfo = url.parse(request.url);\n\tstate.queryParameters = querystring.parse(state.urlInfo.query);\n\tstate.pathPrefix = options.pathPrefix || this.get(\"path-prefix\") || \"\";\n\t// Get the principals authorized to access this resource\n\tvar authorizationType = this.methodMappings[request.method] || \"readers\";\n\t// Check for the CSRF header if this is a write\n\tif(!this.csrfDisable && authorizationType === \"writers\" && request.headers[\"x-requested-with\"] !== \"TiddlyWiki\") {\n\t\tresponse.writeHead(403,\"'X-Requested-With' header required to login to '\" + this.servername + \"'\");\n\t\tresponse.end();\n\t\treturn;\t\t\n\t}\n\t// Check whether anonymous access is granted\n\tstate.allowAnon = this.isAuthorized(authorizationType,null);\n\t// Authenticate with the first active authenticator\n\tif(this.authenticators.length > 0) {\n\t\tif(!this.authenticators[0].authenticateRequest(request,response,state)) {\n\t\t\t// Bail if we failed (the authenticator will have sent the response)\n\t\t\treturn;\n\t\t}\t\t\n\t}\n\t// Authorize with the authenticated username\n\tif(!this.isAuthorized(authorizationType,state.authenticatedUsername)) {\n\t\tresponse.writeHead(401,\"'\" + state.authenticatedUsername + \"' is not authorized to access '\" + this.servername + \"'\");\n\t\tresponse.end();\n\t\treturn;\n\t}\n\t// Find the route that matches this path\n\tvar route = self.findMatchingRoute(request,state);\n\t// Optionally output debug info\n\tif(self.get(\"debug-level\") !== \"none\") {\n\t\tconsole.log(\"Request path:\",JSON.stringify(state.urlInfo));\n\t\tconsole.log(\"Request headers:\",JSON.stringify(request.headers));\n\t\tconsole.log(\"authenticatedUsername:\",state.authenticatedUsername);\n\t}\n\t// Return a 404 if we didn't find a route\n\tif(!route) {\n\t\tresponse.writeHead(404);\n\t\tresponse.end();\n\t\treturn;\n\t}\n\t// Receive the request body if necessary and hand off to the route handler\n\tif(route.bodyFormat === \"stream\" || request.method === \"GET\" || request.method === \"HEAD\") {\n\t\t// Let the route handle the request stream itself\n\t\troute.handler(request,response,state);\n\t} else if(route.bodyFormat === \"string\" || !route.bodyFormat) {\n\t\t// Set the encoding for the incoming request\n\t\trequest.setEncoding(\"utf8\");\n\t\tvar data = \"\";\n\t\trequest.on(\"data\",function(chunk) {\n\t\t\tdata += chunk.toString();\n\t\t});\n\t\trequest.on(\"end\",function() {\n\t\t\tstate.data = data;\n\t\t\troute.handler(request,response,state);\n\t\t});\n\t} else if(route.bodyFormat === \"buffer\") {\n\t\tvar data = [];\n\t\trequest.on(\"data\",function(chunk) {\n\t\t\tdata.push(chunk);\n\t\t});\n\t\trequest.on(\"end\",function() {\n\t\t\tstate.data = Buffer.concat(data);\n\t\t\troute.handler(request,response,state);\n\t\t})\n\t} else {\n\t\tresponse.writeHead(400,\"Invalid bodyFormat \" + route.bodyFormat + \" in route \" + route.method + \" \" + route.path.source);\n\t\tresponse.end();\n\t}\n};\n\n/*\nListen for requests\nport: optional port number (falls back to value of \"port\" variable)\nhost: optional host address (falls back to value of \"host\" variable)\nprefix: optional prefix (falls back to value of \"path-prefix\" variable)\n*/\nServer.prototype.listen = function(port,host,prefix) {\n\tvar self = this;\n\t// Handle defaults for port and host\n\tport = port || this.get(\"port\");\n\thost = host || this.get(\"host\");\n\tprefix = prefix || this.get(\"path-prefix\") || \"\";\n\t// Check for the port being a string and look it up as an environment variable\n\tif(parseInt(port,10).toString() !== port) {\n\t\tport = process.env[port] || 8080;\n\t}\n\t// Warn if required plugins are missing\n\tif(!this.wiki.getTiddler(\"$:/plugins/tiddlywiki/tiddlyweb\") || !this.wiki.getTiddler(\"$:/plugins/tiddlywiki/filesystem\")) {\n\t\t$tw.utils.warning(\"Warning: Plugins required for client-server operation (\\\"tiddlywiki/filesystem\\\" and \\\"tiddlywiki/tiddlyweb\\\") are missing from tiddlywiki.info file\");\n\t}\n\t// Create the server\n\tvar server;\n\tif(this.listenOptions) {\n\t\tserver = this.transport.createServer(this.listenOptions,this.requestHandler.bind(this));\n\t} else {\n\t\tserver = this.transport.createServer(this.requestHandler.bind(this));\n\t}\n\t// Display the port number after we've started listening (the port number might have been specified as zero, in which case we will get an assigned port)\n\tserver.on(\"listening\",function() {\n\t\tvar address = server.address();\n\t\t$tw.utils.log(\"Serving on \" + self.protocol + \"://\" + address.address + \":\" + address.port + prefix,\"brown/orange\");\n\t\t$tw.utils.log(\"(press ctrl-C to exit)\",\"red\");\n\t});\n\t// Listen\n\treturn server.listen(port,host);\n};\n\nexports.Server = Server;\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/core/modules/browser-messaging.js": {
"title": "$:/core/modules/browser-messaging.js",
"text": "/*\\\ntitle: $:/core/modules/browser-messaging.js\ntype: application/javascript\nmodule-type: startup\n\nBrowser message handling\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"browser-messaging\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n/*\nLoad a specified url as an iframe and call the callback when it is loaded. If the url is already loaded then the existing iframe instance is used\n*/\nfunction loadIFrame(url,callback) {\n\t// Check if iframe already exists\n\tvar iframeInfo = $tw.browserMessaging.iframeInfoMap[url];\n\tif(iframeInfo) {\n\t\t// We've already got the iframe\n\t\tcallback(null,iframeInfo);\n\t} else {\n\t\t// Create the iframe and save it in the list\n\t\tvar iframe = document.createElement(\"iframe\");\n\t\tiframeInfo = {\n\t\t\turl: url,\n\t\t\tstatus: \"loading\",\n\t\t\tdomNode: iframe\n\t\t};\n\t\t$tw.browserMessaging.iframeInfoMap[url] = iframeInfo;\n\t\tsaveIFrameInfoTiddler(iframeInfo);\n\t\t// Add the iframe to the DOM and hide it\n\t\tiframe.style.display = \"none\";\n\t\tiframe.setAttribute(\"library\",\"true\");\n\t\tdocument.body.appendChild(iframe);\n\t\t// Set up onload\n\t\tiframe.onload = function() {\n\t\t\tiframeInfo.status = \"loaded\";\n\t\t\tsaveIFrameInfoTiddler(iframeInfo);\n\t\t\tcallback(null,iframeInfo);\n\t\t};\n\t\tiframe.onerror = function() {\n\t\t\tcallback(\"Cannot load iframe\");\n\t\t};\n\t\ttry {\n\t\t\tiframe.src = url;\n\t\t} catch(ex) {\n\t\t\tcallback(ex);\n\t\t}\n\t}\n}\n\n/*\nUnload library iframe for given url\n*/\nfunction unloadIFrame(url){\n\t$tw.utils.each(document.getElementsByTagName('iframe'), function(iframe) {\n\t\tif(iframe.getAttribute(\"library\") === \"true\" &&\n\t\t iframe.getAttribute(\"src\") === url) {\n\t\t\tiframe.parentNode.removeChild(iframe);\n\t\t}\n\t});\n}\n\nfunction saveIFrameInfoTiddler(iframeInfo) {\n\t$tw.wiki.addTiddler(new $tw.Tiddler($tw.wiki.getCreationFields(),{\n\t\ttitle: \"$:/temp/ServerConnection/\" + iframeInfo.url,\n\t\ttext: iframeInfo.status,\n\t\ttags: [\"$:/tags/ServerConnection\"],\n\t\turl: iframeInfo.url\n\t},$tw.wiki.getModificationFields()));\n}\n\nexports.startup = function() {\n\t// Initialise the store of iframes we've created\n\t$tw.browserMessaging = {\n\t\tiframeInfoMap: {} // Hashmap by URL of {url:,status:\"loading/loaded\",domNode:}\n\t};\n\t// Listen for widget messages to control loading the plugin library\n\t$tw.rootWidget.addEventListener(\"tm-load-plugin-library\",function(event) {\n\t\tvar paramObject = event.paramObject || {},\n\t\t\turl = paramObject.url;\n\t\tif(url) {\n\t\t\tloadIFrame(url,function(err,iframeInfo) {\n\t\t\t\tif(err) {\n\t\t\t\t\talert($tw.language.getString(\"Error/LoadingPluginLibrary\") + \": \" + url);\n\t\t\t\t} else {\n\t\t\t\t\tiframeInfo.domNode.contentWindow.postMessage({\n\t\t\t\t\t\tverb: \"GET\",\n\t\t\t\t\t\turl: \"recipes/library/tiddlers.json\",\n\t\t\t\t\t\tcookies: {\n\t\t\t\t\t\t\ttype: \"save-info\",\n\t\t\t\t\t\t\tinfoTitlePrefix: paramObject.infoTitlePrefix || \"$:/temp/RemoteAssetInfo/\",\n\t\t\t\t\t\t\turl: url\n\t\t\t\t\t\t}\n\t\t\t\t\t},\"*\");\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\t// Listen for widget messages to control unloading the plugin library\n\t$tw.rootWidget.addEventListener(\"tm-unload-plugin-library\",function(event) {\n\t\tvar paramObject = event.paramObject || {},\n\t\t\turl = paramObject.url;\n\t\t$tw.browserMessaging.iframeInfoMap[url] = undefined;\n\t\tif(url) {\n\t\t\tunloadIFrame(url);\n\t\t\t$tw.utils.each(\n\t\t\t\t$tw.wiki.filterTiddlers(\"[[$:/temp/ServerConnection/\" + url + \"]] [prefix[$:/temp/RemoteAssetInfo/\" + url + \"/]]\"),\n\t\t\t\tfunction(title) {\n\t\t\t\t\t$tw.wiki.deleteTiddler(title);\n\t\t\t\t}\n\t\t\t);\n\t\t}\n\t});\n\t$tw.rootWidget.addEventListener(\"tm-load-plugin-from-library\",function(event) {\n\t\tvar paramObject = event.paramObject || {},\n\t\t\turl = paramObject.url,\n\t\t\ttitle = paramObject.title;\n\t\tif(url && title) {\n\t\t\tloadIFrame(url,function(err,iframeInfo) {\n\t\t\t\tif(err) {\n\t\t\t\t\talert($tw.language.getString(\"Error/LoadingPluginLibrary\") + \": \" + url);\n\t\t\t\t} else {\n\t\t\t\t\tiframeInfo.domNode.contentWindow.postMessage({\n\t\t\t\t\t\tverb: \"GET\",\n\t\t\t\t\t\turl: \"recipes/library/tiddlers/\" + encodeURIComponent(title) + \".json\",\n\t\t\t\t\t\tcookies: {\n\t\t\t\t\t\t\ttype: \"save-tiddler\",\n\t\t\t\t\t\t\turl: url\n\t\t\t\t\t\t}\n\t\t\t\t\t},\"*\");\n\t\t\t\t}\n\t\t\t});\n\t\t}\n\t});\n\t// Listen for window messages from other windows\n\twindow.addEventListener(\"message\",function listener(event){\n\t\t// console.log(\"browser-messaging: \",document.location.toString())\n\t\t// console.log(\"browser-messaging: Received message from\",event.origin);\n\t\t// console.log(\"browser-messaging: Message content\",event.data);\n\t\tswitch(event.data.verb) {\n\t\t\tcase \"GET-RESPONSE\":\n\t\t\t\tif(event.data.status.charAt(0) === \"2\") {\n\t\t\t\t\tif(event.data.cookies) {\n\t\t\t\t\t\tif(event.data.cookies.type === \"save-info\") {\n\t\t\t\t\t\t\tvar tiddlers = JSON.parse(event.data.body);\n\t\t\t\t\t\t\t$tw.utils.each(tiddlers,function(tiddler) {\n\t\t\t\t\t\t\t\t$tw.wiki.addTiddler(new $tw.Tiddler($tw.wiki.getCreationFields(),tiddler,{\n\t\t\t\t\t\t\t\t\ttitle: event.data.cookies.infoTitlePrefix + event.data.cookies.url + \"/\" + tiddler.title,\n\t\t\t\t\t\t\t\t\t\"original-title\": tiddler.title,\n\t\t\t\t\t\t\t\t\ttext: \"\",\n\t\t\t\t\t\t\t\t\ttype: \"text/vnd.tiddlywiki\",\n\t\t\t\t\t\t\t\t\t\"original-type\": tiddler.type,\n\t\t\t\t\t\t\t\t\t\"plugin-type\": undefined,\n\t\t\t\t\t\t\t\t\t\"original-plugin-type\": tiddler[\"plugin-type\"],\n\t\t\t\t\t\t\t\t\t\"module-type\": undefined,\n\t\t\t\t\t\t\t\t\t\"original-module-type\": tiddler[\"module-type\"],\n\t\t\t\t\t\t\t\t\ttags: [\"$:/tags/RemoteAssetInfo\"],\n\t\t\t\t\t\t\t\t\t\"original-tags\": $tw.utils.stringifyList(tiddler.tags || []),\n\t\t\t\t\t\t\t\t\t\"server-url\": event.data.cookies.url\n\t\t\t\t\t\t\t\t},$tw.wiki.getModificationFields()));\n\t\t\t\t\t\t\t});\n\t\t\t\t\t\t} else if(event.data.cookies.type === \"save-tiddler\") {\n\t\t\t\t\t\t\tvar tiddler = JSON.parse(event.data.body);\n\t\t\t\t\t\t\t$tw.wiki.addTiddler(new $tw.Tiddler(tiddler));\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tbreak;\n\t\t}\n\t},false);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/commands.js": {
"title": "$:/core/modules/startup/commands.js",
"text": "/*\\\ntitle: $:/core/modules/startup/commands.js\ntype: application/javascript\nmodule-type: startup\n\nCommand processing\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"commands\";\nexports.platforms = [\"node\"];\nexports.after = [\"story\"];\nexports.synchronous = false;\n\nexports.startup = function(callback) {\n\t// On the server, start a commander with the command line arguments\n\tvar commander = new $tw.Commander(\n\t\t$tw.boot.argv,\n\t\tfunction(err) {\n\t\t\tif(err) {\n\t\t\t\treturn $tw.utils.error(\"Error: \" + err);\n\t\t\t}\n\t\t\tcallback();\n\t\t},\n\t\t$tw.wiki,\n\t\t{output: process.stdout, error: process.stderr}\n\t);\n\tcommander.execute();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/CSSescape.js": {
"title": "$:/core/modules/startup/CSSescape.js",
"text": "/*\\\ntitle: $:/core/modules/startup/CSSescape.js\ntype: application/javascript\nmodule-type: startup\n\nPolyfill for CSS.escape()\n\n\\*/\n(function(root,factory){\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"css-escape\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n/*! https://mths.be/cssescape v1.5.1 by @mathias | MIT license */\n// https://github.com/umdjs/umd/blob/master/returnExports.js\nexports.startup = function() {factory(root);}; \n}(typeof global != 'undefined' ? global : this, function(root) {\n\n\tif (root.CSS && root.CSS.escape) {\n\t\treturn;\n\t}\n\n\t// https://drafts.csswg.org/cssom/#serialize-an-identifier\n\tvar cssEscape = function(value) {\n\t\tif (arguments.length == 0) {\n\t\t\tthrow new TypeError('`CSS.escape` requires an argument.');\n\t\t}\n\t\tvar string = String(value);\n\t\tvar length = string.length;\n\t\tvar index = -1;\n\t\tvar codeUnit;\n\t\tvar result = '';\n\t\tvar firstCodeUnit = string.charCodeAt(0);\n\t\twhile (++index < length) {\n\t\t\tcodeUnit = string.charCodeAt(index);\n\t\t\t// Note: there’s no need to special-case astral symbols, surrogate\n\t\t\t// pairs, or lone surrogates.\n\n\t\t\t// If the character is NULL (U+0000), then the REPLACEMENT CHARACTER\n\t\t\t// (U+FFFD).\n\t\t\tif (codeUnit == 0x0000) {\n\t\t\t\tresult += '\\uFFFD';\n\t\t\t\tcontinue;\n\t\t\t}\n\n\t\t\tif (\n\t\t\t\t// If the character is in the range [\\1-\\1F] (U+0001 to U+001F) or is\n\t\t\t\t// U+007F, […]\n\t\t\t\t(codeUnit >= 0x0001 && codeUnit <= 0x001F) || codeUnit == 0x007F ||\n\t\t\t\t// If the character is the first character and is in the range [0-9]\n\t\t\t\t// (U+0030 to U+0039), […]\n\t\t\t\t(index == 0 && codeUnit >= 0x0030 && codeUnit <= 0x0039) ||\n\t\t\t\t// If the character is the second character and is in the range [0-9]\n\t\t\t\t// (U+0030 to U+0039) and the first character is a `-` (U+002D), […]\n\t\t\t\t(\n\t\t\t\t\tindex == 1 &&\n\t\t\t\t\tcodeUnit >= 0x0030 && codeUnit <= 0x0039 &&\n\t\t\t\t\tfirstCodeUnit == 0x002D\n\t\t\t\t)\n\t\t\t) {\n\t\t\t\t// https://drafts.csswg.org/cssom/#escape-a-character-as-code-point\n\t\t\t\tresult += '\\\\' + codeUnit.toString(16) + ' ';\n\t\t\t\tcontinue;\n\t\t\t}\n\n\t\t\tif (\n\t\t\t\t// If the character is the first character and is a `-` (U+002D), and\n\t\t\t\t// there is no second character, […]\n\t\t\t\tindex == 0 &&\n\t\t\t\tlength == 1 &&\n\t\t\t\tcodeUnit == 0x002D\n\t\t\t) {\n\t\t\t\tresult += '\\\\' + string.charAt(index);\n\t\t\t\tcontinue;\n\t\t\t}\n\n\t\t\t// If the character is not handled by one of the above rules and is\n\t\t\t// greater than or equal to U+0080, is `-` (U+002D) or `_` (U+005F), or\n\t\t\t// is in one of the ranges [0-9] (U+0030 to U+0039), [A-Z] (U+0041 to\n\t\t\t// U+005A), or [a-z] (U+0061 to U+007A), […]\n\t\t\tif (\n\t\t\t\tcodeUnit >= 0x0080 ||\n\t\t\t\tcodeUnit == 0x002D ||\n\t\t\t\tcodeUnit == 0x005F ||\n\t\t\t\tcodeUnit >= 0x0030 && codeUnit <= 0x0039 ||\n\t\t\t\tcodeUnit >= 0x0041 && codeUnit <= 0x005A ||\n\t\t\t\tcodeUnit >= 0x0061 && codeUnit <= 0x007A\n\t\t\t) {\n\t\t\t\t// the character itself\n\t\t\t\tresult += string.charAt(index);\n\t\t\t\tcontinue;\n\t\t\t}\n\n\t\t\t// Otherwise, the escaped character.\n\t\t\t// https://drafts.csswg.org/cssom/#escape-a-character\n\t\t\tresult += '\\\\' + string.charAt(index);\n\n\t\t}\n\t\treturn result;\n\t};\n\n\tif (!root.CSS) {\n\t\troot.CSS = {};\n\t}\n\n\tObject.getPrototypeOf(root.CSS).escape = cssEscape;\n\n}));\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/favicon.js": {
"title": "$:/core/modules/startup/favicon.js",
"text": "/*\\\ntitle: $:/core/modules/startup/favicon.js\ntype: application/javascript\nmodule-type: startup\n\nFavicon handling\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"favicon\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\t\t\n// Favicon tiddler\nvar FAVICON_TITLE = \"$:/favicon.ico\";\n\nexports.startup = function() {\n\t// Set up the favicon\n\tsetFavicon();\n\t// Reset the favicon when the tiddler changes\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.utils.hop(changes,FAVICON_TITLE)) {\n\t\t\tsetFavicon();\n\t\t}\n\t});\n};\n\nfunction setFavicon() {\n\tvar tiddler = $tw.wiki.getTiddler(FAVICON_TITLE);\n\tif(tiddler) {\n\t\tvar faviconLink = document.getElementById(\"faviconLink\");\n\t\tfaviconLink.setAttribute(\"href\",$tw.utils.makeDataUri(tiddler.fields.text,tiddler.fields.type,tiddler.fields._canonical_uri));\n\t}\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/info.js": {
"title": "$:/core/modules/startup/info.js",
"text": "/*\\\ntitle: $:/core/modules/startup/info.js\ntype: application/javascript\nmodule-type: startup\n\nInitialise $:/info tiddlers via $:/temp/info-plugin pseudo-plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"info\";\nexports.before = [\"startup\"];\nexports.after = [\"load-modules\"];\nexports.synchronous = true;\n\nvar TITLE_INFO_PLUGIN = \"$:/temp/info-plugin\";\n\nexports.startup = function() {\n\t// Function to bake the info plugin with new tiddlers\n\tvar updateInfoPlugin = function(tiddlerFieldsArray) {\n\t\t// Get the existing tiddlers\n\t\tvar json = $tw.wiki.getTiddlerData(TITLE_INFO_PLUGIN,{tiddlers: {}});\n\t\t// Add the new ones\n\t\t$tw.utils.each(tiddlerFieldsArray,function(fields) {\n\t\t\tif(fields && fields.title) {\n\t\t\t\tjson.tiddlers[fields.title] = fields;\n\t\t\t}\n\t\t});\n\t\t// Bake the info tiddlers into a plugin. We use the non-standard plugin-type \"info\" because ordinary plugins are only registered asynchronously after being loaded dynamically\n\t\tvar fields = {\n\t\t\ttitle: TITLE_INFO_PLUGIN,\n\t\t\ttype: \"application/json\",\n\t\t\t\"plugin-type\": \"info\",\n\t\t\ttext: JSON.stringify(json,null,$tw.config.preferences.jsonSpaces)\n\t\t};\n\t\t$tw.wiki.addTiddler(new $tw.Tiddler(fields));\n\n\t};\n\t// Collect up the info tiddlers\n\tvar tiddlerFieldsArray = [];\n\t// Give each info module a chance to provide as many info tiddlers as they want as an array, and give them a callback for dynamically updating them\n\t$tw.modules.forEachModuleOfType(\"info\",function(title,moduleExports) {\n\t\tif(moduleExports && moduleExports.getInfoTiddlerFields) {\n\t\t\tArray.prototype.push.apply(tiddlerFieldsArray,moduleExports.getInfoTiddlerFields(updateInfoPlugin));\n\t\t}\n\t});\n\tupdateInfoPlugin(tiddlerFieldsArray);\n\tvar changes = $tw.wiki.readPluginInfo([TITLE_INFO_PLUGIN]);\n\t$tw.wiki.registerPluginTiddlers(\"info\",[TITLE_INFO_PLUGIN]);\n\t$tw.wiki.unpackPluginTiddlers();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/load-modules.js": {
"title": "$:/core/modules/startup/load-modules.js",
"text": "/*\\\ntitle: $:/core/modules/startup/load-modules.js\ntype: application/javascript\nmodule-type: startup\n\nLoad core modules\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"load-modules\";\nexports.synchronous = true;\n\nexports.startup = function() {\n\t// Load modules\n\t$tw.modules.applyMethods(\"utils\",$tw.utils);\n\tif($tw.node) {\n\t\t$tw.modules.applyMethods(\"utils-node\",$tw.utils);\n\t}\n\tif($tw.browser) {\n\t\t$tw.modules.applyMethods(\"utils-browser\",$tw.utils);\n\t}\n\t$tw.modules.applyMethods(\"global\",$tw);\n\t$tw.modules.applyMethods(\"config\",$tw.config);\n\t$tw.Tiddler.fieldModules = $tw.modules.getModulesByTypeAsHashmap(\"tiddlerfield\");\n\t$tw.modules.applyMethods(\"tiddlermethod\",$tw.Tiddler.prototype);\n\t$tw.modules.applyMethods(\"wikimethod\",$tw.Wiki.prototype);\n\t$tw.wiki.addIndexersToWiki();\n\t$tw.modules.applyMethods(\"tiddlerdeserializer\",$tw.Wiki.tiddlerDeserializerModules);\n\t$tw.macros = $tw.modules.getModulesByTypeAsHashmap(\"macro\");\n\t$tw.wiki.initParsers();\n\t$tw.Commander.initCommands();\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/password.js": {
"title": "$:/core/modules/startup/password.js",
"text": "/*\\\ntitle: $:/core/modules/startup/password.js\ntype: application/javascript\nmodule-type: startup\n\nPassword handling\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"password\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\nexports.startup = function() {\n\t$tw.rootWidget.addEventListener(\"tm-set-password\",function(event) {\n\t\t$tw.passwordPrompt.createPrompt({\n\t\t\tserviceName: $tw.language.getString(\"Encryption/PromptSetPassword\"),\n\t\t\tnoUserName: true,\n\t\t\tsubmitText: $tw.language.getString(\"Encryption/SetPassword\"),\n\t\t\tcanCancel: true,\n\t\t\trepeatPassword: true,\n\t\t\tcallback: function(data) {\n\t\t\t\tif(data) {\n\t\t\t\t\t$tw.crypto.setPassword(data.password);\n\t\t\t\t}\n\t\t\t\treturn true; // Get rid of the password prompt\n\t\t\t}\n\t\t});\n\t});\n\t$tw.rootWidget.addEventListener(\"tm-clear-password\",function(event) {\n\t\tif($tw.browser) {\n\t\t\tif(!confirm($tw.language.getString(\"Encryption/ConfirmClearPassword\"))) {\n\t\t\t\treturn;\n\t\t\t}\n\t\t}\n\t\t$tw.crypto.setPassword(null);\n\t});\n\t// Ensure that $:/isEncrypted is maintained properly\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.utils.hop(changes,\"$:/isEncrypted\")) {\n\t\t\t$tw.crypto.updateCryptoStateTiddler();\n\t\t}\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/plugins.js": {
"title": "$:/core/modules/startup/plugins.js",
"text": "/*\\\ntitle: $:/core/modules/startup/plugins.js\ntype: application/javascript\nmodule-type: startup\n\nStartup logic concerned with managing plugins\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"plugins\";\nexports.after = [\"load-modules\"];\nexports.synchronous = true;\n\nvar TITLE_REQUIRE_RELOAD_DUE_TO_PLUGIN_CHANGE = \"$:/status/RequireReloadDueToPluginChange\";\n\nvar PREFIX_CONFIG_REGISTER_PLUGIN_TYPE = \"$:/config/RegisterPluginType/\";\n\nexports.startup = function() {\n\t$tw.wiki.addTiddler({title: TITLE_REQUIRE_RELOAD_DUE_TO_PLUGIN_CHANGE,text: \"no\"});\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\t// Work out which of the changed tiddlers are plugins that we need to reregister\n\t\tvar changesToProcess = [],\n\t\t\trequireReloadDueToPluginChange = false;\n\t\t$tw.utils.each(Object.keys(changes),function(title) {\n\t\t\tvar tiddler = $tw.wiki.getTiddler(title),\n\t\t\t\trequiresReload = $tw.wiki.doesPluginRequireReload(title);\n\t\t\tif(requiresReload) {\n\t\t\t\trequireReloadDueToPluginChange = true;\n\t\t\t} else if(tiddler) {\n\t\t\t\tvar pluginType = tiddler.fields[\"plugin-type\"];\n\t\t\t\tif($tw.wiki.getTiddlerText(PREFIX_CONFIG_REGISTER_PLUGIN_TYPE + (tiddler.fields[\"plugin-type\"] || \"\"),\"no\") === \"yes\") {\n\t\t\t\t\tchangesToProcess.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t\t// Issue warning if any of the tiddlers require a reload\n\t\tif(requireReloadDueToPluginChange) {\n\t\t\t$tw.wiki.addTiddler({title: TITLE_REQUIRE_RELOAD_DUE_TO_PLUGIN_CHANGE,text: \"yes\"});\n\t\t}\n\t\t// Read or delete the plugin info of the changed tiddlers\n\t\tif(changesToProcess.length > 0) {\n\t\t\tvar changes = $tw.wiki.readPluginInfo(changesToProcess);\n\t\t\tif(changes.modifiedPlugins.length > 0 || changes.deletedPlugins.length > 0) {\n\t\t\t\tvar changedShadowTiddlers = {};\n\t\t\t\t// Collect the shadow tiddlers of any deleted plugins\n\t\t\t\t$tw.utils.each(changes.deletedPlugins,function(pluginTitle) {\n\t\t\t\t\tvar pluginInfo = $tw.wiki.getPluginInfo(pluginTitle);\n\t\t\t\t\tif(pluginInfo) {\n\t\t\t\t\t\t$tw.utils.each(Object.keys(pluginInfo.tiddlers),function(title) {\n\t\t\t\t\t\t\tchangedShadowTiddlers[title] = true;\n\t\t\t\t\t\t});\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\t// Collect the shadow tiddlers of any modified plugins\n\t\t\t\t$tw.utils.each(changes.modifiedPlugins,function(pluginTitle) {\n\t\t\t\t\tvar pluginInfo = $tw.wiki.getPluginInfo(pluginTitle);\n\t\t\t\t\tif(pluginInfo) {\n\t\t\t\t\t\t$tw.utils.each(Object.keys(pluginInfo.tiddlers),function(title) {\n\t\t\t\t\t\t\tchangedShadowTiddlers[title] = false;\n\t\t\t\t\t\t});\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\t// (Re-)register any modified plugins\n\t\t\t\t$tw.wiki.registerPluginTiddlers(null,changes.modifiedPlugins);\n\t\t\t\t// Unregister any deleted plugins\n\t\t\t\t$tw.wiki.unregisterPluginTiddlers(null,changes.deletedPlugins);\n\t\t\t\t// Unpack the shadow tiddlers\n\t\t\t\t$tw.wiki.unpackPluginTiddlers();\n\t\t\t\t// Queue change events for the changed shadow tiddlers\n\t\t\t\t$tw.utils.each(Object.keys(changedShadowTiddlers),function(title) {\n\t\t\t\t\t$tw.wiki.enqueueTiddlerEvent(title,changedShadowTiddlers[title]);\n\t\t\t\t});\n\t\t\t}\n\t\t}\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/render.js": {
"title": "$:/core/modules/startup/render.js",
"text": "/*\\\ntitle: $:/core/modules/startup/render.js\ntype: application/javascript\nmodule-type: startup\n\nTitle, stylesheet and page rendering\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"render\";\nexports.platforms = [\"browser\"];\nexports.after = [\"story\"];\nexports.synchronous = true;\n\n// Default story and history lists\nvar PAGE_TITLE_TITLE = \"$:/core/wiki/title\";\nvar PAGE_STYLESHEET_TITLE = \"$:/core/ui/PageStylesheet\";\nvar PAGE_TEMPLATE_TITLE = \"$:/core/ui/RootTemplate\";\n\n// Time (in ms) that we defer refreshing changes to draft tiddlers\nvar DRAFT_TIDDLER_TIMEOUT_TITLE = \"$:/config/Drafts/TypingTimeout\";\nvar THROTTLE_REFRESH_TIMEOUT = 400;\n\nexports.startup = function() {\n\t// Set up the title\n\t$tw.titleWidgetNode = $tw.wiki.makeTranscludeWidget(PAGE_TITLE_TITLE,{document: $tw.fakeDocument, parseAsInline: true});\n\t$tw.titleContainer = $tw.fakeDocument.createElement(\"div\");\n\t$tw.titleWidgetNode.render($tw.titleContainer,null);\n\tdocument.title = $tw.titleContainer.textContent;\n\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\tif($tw.titleWidgetNode.refresh(changes,$tw.titleContainer,null)) {\n\t\t\tdocument.title = $tw.titleContainer.textContent;\n\t\t}\n\t});\n\t// Set up the styles\n\t$tw.styleWidgetNode = $tw.wiki.makeTranscludeWidget(PAGE_STYLESHEET_TITLE,{document: $tw.fakeDocument});\n\t$tw.styleContainer = $tw.fakeDocument.createElement(\"style\");\n\t$tw.styleWidgetNode.render($tw.styleContainer,null);\n\t$tw.styleElement = document.createElement(\"style\");\n\t$tw.styleElement.innerHTML = $tw.styleContainer.textContent;\n\tdocument.head.insertBefore($tw.styleElement,document.head.firstChild);\n\t$tw.wiki.addEventListener(\"change\",$tw.perf.report(\"styleRefresh\",function(changes) {\n\t\tif($tw.styleWidgetNode.refresh(changes,$tw.styleContainer,null)) {\n\t\t\t$tw.styleElement.innerHTML = $tw.styleContainer.textContent;\n\t\t}\n\t}));\n\t// Display the $:/core/ui/PageTemplate tiddler to kick off the display\n\t$tw.perf.report(\"mainRender\",function() {\n\t\t$tw.pageWidgetNode = $tw.wiki.makeTranscludeWidget(PAGE_TEMPLATE_TITLE,{document: document, parentWidget: $tw.rootWidget, recursionMarker: \"no\"});\n\t\t$tw.pageContainer = document.createElement(\"div\");\n\t\t$tw.utils.addClass($tw.pageContainer,\"tc-page-container-wrapper\");\n\t\tdocument.body.insertBefore($tw.pageContainer,document.body.firstChild);\n\t\t$tw.pageWidgetNode.render($tw.pageContainer,null);\n \t\t$tw.hooks.invokeHook(\"th-page-refreshed\");\n\t})();\n\t// Remove any splash screen elements\n\tvar removeList = document.querySelectorAll(\".tc-remove-when-wiki-loaded\");\n\t$tw.utils.each(removeList,function(removeItem) {\n\t\tif(removeItem.parentNode) {\n\t\t\tremoveItem.parentNode.removeChild(removeItem);\n\t\t}\n\t});\n\t// Prepare refresh mechanism\n\tvar deferredChanges = Object.create(null),\n\t\ttimerId;\n\tfunction refresh() {\n\t\t// Process the refresh\n\t\t$tw.hooks.invokeHook(\"th-page-refreshing\");\n\t\t$tw.pageWidgetNode.refresh(deferredChanges);\n\t\tdeferredChanges = Object.create(null);\n\t\t$tw.hooks.invokeHook(\"th-page-refreshed\");\n\t}\n\t// Add the change event handler\n\t$tw.wiki.addEventListener(\"change\",$tw.perf.report(\"mainRefresh\",function(changes) {\n\t\t// Check if only tiddlers that are throttled have changed\n\t\tvar onlyThrottledTiddlersHaveChanged = true;\n\t\tfor(var title in changes) {\n\t\t\tvar tiddler = $tw.wiki.getTiddler(title);\n\t\t\tif(!tiddler || !(tiddler.hasField(\"draft.of\") || tiddler.hasField(\"throttle.refresh\"))) {\n\t\t\t\tonlyThrottledTiddlersHaveChanged = false;\n\t\t\t}\n\t\t}\n\t\t// Defer the change if only drafts have changed\n\t\tif(timerId) {\n\t\t\tclearTimeout(timerId);\n\t\t}\n\t\ttimerId = null;\n\t\tif(onlyThrottledTiddlersHaveChanged) {\n\t\t\tvar timeout = parseInt($tw.wiki.getTiddlerText(DRAFT_TIDDLER_TIMEOUT_TITLE,\"\"),10);\n\t\t\tif(isNaN(timeout)) {\n\t\t\t\ttimeout = THROTTLE_REFRESH_TIMEOUT;\n\t\t\t}\n\t\t\ttimerId = setTimeout(refresh,timeout);\n\t\t\t$tw.utils.extend(deferredChanges,changes);\n\t\t} else {\n\t\t\t$tw.utils.extend(deferredChanges,changes);\n\t\t\trefresh();\n\t\t}\n\t}));\n\t// Fix up the link between the root widget and the page container\n\t$tw.rootWidget.domNodes = [$tw.pageContainer];\n\t$tw.rootWidget.children = [$tw.pageWidgetNode];\n\t// Run any post-render startup actions\n\t$tw.rootWidget.invokeActionsByTag(\"$:/tags/StartupAction/PostRender\");\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/rootwidget.js": {
"title": "$:/core/modules/startup/rootwidget.js",
"text": "/*\\\ntitle: $:/core/modules/startup/rootwidget.js\ntype: application/javascript\nmodule-type: startup\n\nSetup the root widget and the core root widget handlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"rootwidget\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.before = [\"story\"];\nexports.synchronous = true;\n\nexports.startup = function() {\n\t// Install the modal message mechanism\n\t$tw.modal = new $tw.utils.Modal($tw.wiki);\n\t$tw.rootWidget.addEventListener(\"tm-modal\",function(event) {\n\t\t$tw.modal.display(event.param,{variables: event.paramObject, event: event});\n\t});\n\t$tw.rootWidget.addEventListener(\"tm-show-switcher\",function(event) {\n\t\t$tw.modal.display(\"$:/core/ui/SwitcherModal\",{variables: event.paramObject, event: event});\n\t});\t\n\t// Install the notification mechanism\n\t$tw.notifier = new $tw.utils.Notifier($tw.wiki);\n\t$tw.rootWidget.addEventListener(\"tm-notify\",function(event) {\n\t\t$tw.notifier.display(event.param,{variables: event.paramObject});\n\t});\n\t// Install the copy-to-clipboard mechanism\n\t$tw.rootWidget.addEventListener(\"tm-copy-to-clipboard\",function(event) {\n\t\t$tw.utils.copyToClipboard(event.param);\n\t});\n\t// Install the tm-focus-selector message\n\t$tw.rootWidget.addEventListener(\"tm-focus-selector\",function(event) {\n\t\tvar selector = event.param || \"\",\n\t\t\telement;\n\t\ttry {\n\t\t\telement = document.querySelector(selector);\n\t\t} catch(e) {\n\t\t\tconsole.log(\"Error in selector: \",selector)\n\t\t}\n\t\tif(element && element.focus) {\n\t\t\telement.focus(event.paramObject);\n\t\t}\n\t});\n\t// Install the scroller\n\t$tw.pageScroller = new $tw.utils.PageScroller();\n\t$tw.rootWidget.addEventListener(\"tm-scroll\",function(event) {\n\t\t$tw.pageScroller.handleEvent(event);\n\t});\n\tvar fullscreen = $tw.utils.getFullScreenApis();\n\tif(fullscreen) {\n\t\t$tw.rootWidget.addEventListener(\"tm-full-screen\",function(event) {\n\t\t\tvar fullScreenDocument = event.event ? event.event.target.ownerDocument : document;\n\t\t\tif(event.param === \"enter\") {\n\t\t\t\tfullScreenDocument.documentElement[fullscreen._requestFullscreen](Element.ALLOW_KEYBOARD_INPUT);\n\t\t\t} else if(event.param === \"exit\") {\n\t\t\t\tfullScreenDocument[fullscreen._exitFullscreen]();\n\t\t\t} else {\n\t\t\t\tif(fullScreenDocument[fullscreen._fullscreenElement]) {\n\t\t\t\t\tfullScreenDocument[fullscreen._exitFullscreen]();\n\t\t\t\t} else {\n\t\t\t\t\tfullScreenDocument.documentElement[fullscreen._requestFullscreen](Element.ALLOW_KEYBOARD_INPUT);\n\t\t\t\t}\t\t\t\t\n\t\t\t}\n\t\t});\n\t}\n\t// If we're being viewed on a data: URI then give instructions for how to save\n\tif(document.location.protocol === \"data:\") {\n\t\t$tw.rootWidget.dispatchEvent({\n\t\t\ttype: \"tm-modal\",\n\t\t\tparam: \"$:/language/Modals/SaveInstructions\"\n\t\t});\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup.js": {
"title": "$:/core/modules/startup.js",
"text": "/*\\\ntitle: $:/core/modules/startup.js\ntype: application/javascript\nmodule-type: startup\n\nMiscellaneous startup logic for both the client and server.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"startup\";\nexports.after = [\"load-modules\"];\nexports.synchronous = true;\n\n// Set to `true` to enable performance instrumentation\nvar PERFORMANCE_INSTRUMENTATION_CONFIG_TITLE = \"$:/config/Performance/Instrumentation\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nexports.startup = function() {\n\t// Minimal browser detection\n\tif($tw.browser) {\n\t\t$tw.browser.isIE = (/msie|trident/i.test(navigator.userAgent));\n\t\t$tw.browser.isFirefox = !!document.mozFullScreenEnabled;\n\t}\n\t// Platform detection\n\t$tw.platform = {};\n\tif($tw.browser) {\n\t\t$tw.platform.isMac = /Mac/.test(navigator.platform);\n\t\t$tw.platform.isWindows = /win/i.test(navigator.platform);\n\t\t$tw.platform.isLinux = /Linux/i.test(navigator.platform);\n\t} else {\n\t\tswitch(require(\"os\").platform()) {\n\t\t\tcase \"darwin\":\n\t\t\t\t$tw.platform.isMac = true;\n\t\t\t\tbreak;\n\t\t\tcase \"win32\":\n\t\t\t\t$tw.platform.isWindows = true;\n\t\t\t\tbreak;\n\t\t\tcase \"freebsd\":\n\t\t\t\t$tw.platform.isLinux = true;\n\t\t\t\tbreak;\n\t\t\tcase \"linux\":\n\t\t\t\t$tw.platform.isLinux = true;\n\t\t\t\tbreak;\n\t\t}\n\t}\n\t// Initialise version\n\t$tw.version = $tw.utils.extractVersionInfo();\n\t// Set up the performance framework\n\t$tw.perf = new $tw.Performance($tw.wiki.getTiddlerText(PERFORMANCE_INSTRUMENTATION_CONFIG_TITLE,\"no\") === \"yes\");\n\t// Create a root widget for attaching event handlers. By using it as the parentWidget for another widget tree, one can reuse the event handlers\n\t$tw.rootWidget = new widget.widget({\n\t\ttype: \"widget\",\n\t\tchildren: []\n\t},{\n\t\twiki: $tw.wiki,\n\t\tdocument: $tw.browser ? document : $tw.fakeDocument\n\t});\n\t// Execute any startup actions\n\t$tw.rootWidget.invokeActionsByTag(\"$:/tags/StartupAction\");\n\tif($tw.browser) {\n\t\t$tw.rootWidget.invokeActionsByTag(\"$:/tags/StartupAction/Browser\");\n\t}\n\tif($tw.node) {\n\t\t$tw.rootWidget.invokeActionsByTag(\"$:/tags/StartupAction/Node\");\n\t}\n\t// Kick off the language manager and switcher\n\t$tw.language = new $tw.Language();\n\t$tw.languageSwitcher = new $tw.PluginSwitcher({\n\t\twiki: $tw.wiki,\n\t\tpluginType: \"language\",\n\t\tcontrollerTitle: \"$:/language\",\n\t\tdefaultPlugins: [\n\t\t\t\"$:/languages/en-GB\"\n\t\t],\n\t\tonSwitch: function(plugins) {\n\t\t\tif($tw.browser) {\n\t\t\t\tvar pluginTiddler = $tw.wiki.getTiddler(plugins[0]);\n\t\t\t\tif(pluginTiddler) {\n\t\t\t\t\tdocument.documentElement.setAttribute(\"dir\",pluginTiddler.getFieldString(\"text-direction\") || \"auto\");\n\t\t\t\t} else {\n\t\t\t\t\tdocument.documentElement.removeAttribute(\"dir\");\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\t// Kick off the theme manager\n\t$tw.themeManager = new $tw.PluginSwitcher({\n\t\twiki: $tw.wiki,\n\t\tpluginType: \"theme\",\n\t\tcontrollerTitle: \"$:/theme\",\n\t\tdefaultPlugins: [\n\t\t\t\"$:/themes/tiddlywiki/snowwhite\",\n\t\t\t\"$:/themes/tiddlywiki/vanilla\"\n\t\t]\n\t});\n\t// Kick off the keyboard manager\n\t$tw.keyboardManager = new $tw.KeyboardManager();\n\t// Listen for shortcuts\n\tif($tw.browser) {\n\t\t$tw.utils.addEventListeners(document,[{\n\t\t\tname: \"keydown\",\n\t\t\thandlerObject: $tw.keyboardManager,\n\t\t\thandlerMethod: \"handleKeydownEvent\"\n\t\t}]);\n\t}\n\t// Clear outstanding tiddler store change events to avoid an unnecessary refresh cycle at startup\n\t$tw.wiki.clearTiddlerEventQueue();\n\t// Find a working syncadaptor\n\t$tw.syncadaptor = undefined;\n\t$tw.modules.forEachModuleOfType(\"syncadaptor\",function(title,module) {\n\t\tif(!$tw.syncadaptor && module.adaptorClass) {\n\t\t\t$tw.syncadaptor = new module.adaptorClass({wiki: $tw.wiki});\n\t\t}\n\t});\n\t// Set up the syncer object if we've got a syncadaptor\n\tif($tw.syncadaptor) {\n\t\t$tw.syncer = new $tw.Syncer({wiki: $tw.wiki, syncadaptor: $tw.syncadaptor});\n\t}\n\t// Setup the saver handler\n\t$tw.saverHandler = new $tw.SaverHandler({\n\t\twiki: $tw.wiki,\n\t\tdirtyTracking: !$tw.syncadaptor,\n\t\tpreloadDirty: $tw.boot.preloadDirty || []\n\t});\n\t// Host-specific startup\n\tif($tw.browser) {\n\t\t// Install the popup manager\n\t\t$tw.popup = new $tw.utils.Popup();\n\t\t// Install the animator\n\t\t$tw.anim = new $tw.utils.Animator();\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/story.js": {
"title": "$:/core/modules/startup/story.js",
"text": "/*\\\ntitle: $:/core/modules/startup/story.js\ntype: application/javascript\nmodule-type: startup\n\nLoad core modules\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"story\";\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n// Default story and history lists\nvar DEFAULT_STORY_TITLE = \"$:/StoryList\";\nvar DEFAULT_HISTORY_TITLE = \"$:/HistoryList\";\n\n// Default tiddlers\nvar DEFAULT_TIDDLERS_TITLE = \"$:/DefaultTiddlers\";\n\n// Config\nvar CONFIG_UPDATE_ADDRESS_BAR = \"$:/config/Navigation/UpdateAddressBar\"; // Can be \"no\", \"permalink\", \"permaview\"\nvar CONFIG_UPDATE_HISTORY = \"$:/config/Navigation/UpdateHistory\"; // Can be \"yes\" or \"no\"\nvar CONFIG_PERMALINKVIEW_COPY_TO_CLIPBOARD = \"$:/config/Navigation/Permalinkview/CopyToClipboard\"; // Can be \"yes\" (default) or \"no\"\nvar CONFIG_PERMALINKVIEW_UPDATE_ADDRESS_BAR = \"$:/config/Navigation/Permalinkview/UpdateAddressBar\"; // Can be \"yes\" (default) or \"no\"\n\n\n// Links to help, if there is no param\nvar HELP_OPEN_EXTERNAL_WINDOW = \"http://tiddlywiki.com/#WidgetMessage%3A%20tm-open-external-window\";\n\nexports.startup = function() {\n\t// Open startup tiddlers\n\topenStartupTiddlers({\n\t\tdisableHistory: $tw.boot.disableStartupNavigation\n\t});\n\tif($tw.browser) {\n\t\t// Set up location hash update\n\t\t$tw.wiki.addEventListener(\"change\",function(changes) {\n\t\t\tif($tw.utils.hop(changes,DEFAULT_STORY_TITLE) || $tw.utils.hop(changes,DEFAULT_HISTORY_TITLE)) {\n\t\t\t\tupdateLocationHash({\n\t\t\t\t\tupdateAddressBar: $tw.wiki.getTiddlerText(CONFIG_UPDATE_ADDRESS_BAR,\"permaview\").trim(),\n\t\t\t\t\tupdateHistory: $tw.wiki.getTiddlerText(CONFIG_UPDATE_HISTORY,\"no\").trim()\n\t\t\t\t});\n\t\t\t}\n\t\t});\n\t\t// Listen for changes to the browser location hash\n\t\twindow.addEventListener(\"hashchange\",function() {\n\t\t\tvar hash = $tw.utils.getLocationHash();\n\t\t\tif(hash !== $tw.locationHash) {\n\t\t\t\t$tw.locationHash = hash;\n\t\t\t\topenStartupTiddlers({defaultToCurrentStory: true});\n\t\t\t}\n\t\t},false);\n\t\t// Listen for the tm-browser-refresh message\n\t\t$tw.rootWidget.addEventListener(\"tm-browser-refresh\",function(event) {\n\t\t\twindow.location.reload(true);\n\t\t});\n\t\t// Listen for tm-open-external-window message\n\t\t$tw.rootWidget.addEventListener(\"tm-open-external-window\",function(event) {\n\t\t\tvar paramObject = event.paramObject || {},\n\t\t\t\tstrUrl = event.param || HELP_OPEN_EXTERNAL_WINDOW,\n\t\t\t\tstrWindowName = paramObject.windowName,\n\t\t\t\tstrWindowFeatures = paramObject.windowFeatures;\n\t\t\twindow.open(strUrl, strWindowName, strWindowFeatures);\n\t\t});\n\t\t// Listen for the tm-print message\n\t\t$tw.rootWidget.addEventListener(\"tm-print\",function(event) {\n\t\t\t(event.event.view || window).print();\n\t\t});\n\t\t// Listen for the tm-home message\n\t\t$tw.rootWidget.addEventListener(\"tm-home\",function(event) {\n\t\t\twindow.location.hash = \"\";\n\t\t\tvar storyFilter = $tw.wiki.getTiddlerText(DEFAULT_TIDDLERS_TITLE),\n\t\t\t\tstoryList = $tw.wiki.filterTiddlers(storyFilter);\n\t\t\t//invoke any hooks that might change the default story list\n\t\t\tstoryList = $tw.hooks.invokeHook(\"th-opening-default-tiddlers-list\",storyList);\n\t\t\t$tw.wiki.addTiddler({title: DEFAULT_STORY_TITLE, text: \"\", list: storyList},$tw.wiki.getModificationFields());\n\t\t\tif(storyList[0]) {\n\t\t\t\t$tw.wiki.addToHistory(storyList[0]);\n\t\t\t}\n\t\t});\n\t\t// Listen for the tm-permalink message\n\t\t$tw.rootWidget.addEventListener(\"tm-permalink\",function(event) {\n\t\t\tupdateLocationHash({\n\t\t\t\tupdateAddressBar: $tw.wiki.getTiddlerText(CONFIG_PERMALINKVIEW_UPDATE_ADDRESS_BAR,\"yes\").trim() === \"yes\" ? \"permalink\" : \"none\",\n\t\t\t\tupdateHistory: $tw.wiki.getTiddlerText(CONFIG_UPDATE_HISTORY,\"no\").trim(),\n\t\t\t\ttargetTiddler: event.param || event.tiddlerTitle,\n\t\t\t\tcopyToClipboard: $tw.wiki.getTiddlerText(CONFIG_PERMALINKVIEW_COPY_TO_CLIPBOARD,\"yes\").trim() === \"yes\" ? \"permalink\" : \"none\"\n\t\t\t});\n\t\t});\n\t\t// Listen for the tm-permaview message\n\t\t$tw.rootWidget.addEventListener(\"tm-permaview\",function(event) {\n\t\t\tupdateLocationHash({\n\t\t\t\tupdateAddressBar: $tw.wiki.getTiddlerText(CONFIG_PERMALINKVIEW_UPDATE_ADDRESS_BAR,\"yes\").trim() === \"yes\" ? \"permaview\" : \"none\",\n\t\t\t\tupdateHistory: $tw.wiki.getTiddlerText(CONFIG_UPDATE_HISTORY,\"no\").trim(),\n\t\t\t\ttargetTiddler: event.param || event.tiddlerTitle,\n\t\t\t\tcopyToClipboard: $tw.wiki.getTiddlerText(CONFIG_PERMALINKVIEW_COPY_TO_CLIPBOARD,\"yes\").trim() === \"yes\" ? \"permaview\" : \"none\"\n\t\t\t});\t\t\t\t\n\t\t});\n\t}\n};\n\n/*\nProcess the location hash to open the specified tiddlers. Options:\ndisableHistory: if true $:/History is NOT updated\ndefaultToCurrentStory: If true, the current story is retained as the default, instead of opening the default tiddlers\n*/\nfunction openStartupTiddlers(options) {\n\toptions = options || {};\n\t// Work out the target tiddler and the story filter. \"null\" means \"unspecified\"\n\tvar target = null,\n\t\tstoryFilter = null;\n\tif($tw.locationHash.length > 1) {\n\t\tvar hash = $tw.locationHash.substr(1),\n\t\t\tsplit = hash.indexOf(\":\");\n\t\tif(split === -1) {\n\t\t\ttarget = decodeURIComponent(hash.trim());\n\t\t} else {\n\t\t\ttarget = decodeURIComponent(hash.substr(0,split).trim());\n\t\t\tstoryFilter = decodeURIComponent(hash.substr(split + 1).trim());\n\t\t}\n\t}\n\t// If the story wasn't specified use the current tiddlers or a blank story\n\tif(storyFilter === null) {\n\t\tif(options.defaultToCurrentStory) {\n\t\t\tvar currStoryList = $tw.wiki.getTiddlerList(DEFAULT_STORY_TITLE);\n\t\t\tstoryFilter = $tw.utils.stringifyList(currStoryList);\n\t\t} else {\n\t\t\tif(target && target !== \"\") {\n\t\t\t\tstoryFilter = \"\";\n\t\t\t} else {\n\t\t\t\tstoryFilter = $tw.wiki.getTiddlerText(DEFAULT_TIDDLERS_TITLE);\n\t\t\t}\n\t\t}\n\t}\n\t// Process the story filter to get the story list\n\tvar storyList = $tw.wiki.filterTiddlers(storyFilter);\n\t// Invoke any hooks that want to change the default story list\n\tstoryList = $tw.hooks.invokeHook(\"th-opening-default-tiddlers-list\",storyList);\n\t// If the target tiddler isn't included then splice it in at the top\n\tif(target && storyList.indexOf(target) === -1) {\n\t\tstoryList.unshift(target);\n\t}\n\t// Save the story list\n\t$tw.wiki.addTiddler({title: DEFAULT_STORY_TITLE, text: \"\", list: storyList},$tw.wiki.getModificationFields());\n\t// Update history\n\tvar story = new $tw.Story({\n\t\twiki: $tw.wiki,\n\t\tstoryTitle: DEFAULT_STORY_TITLE,\n\t\thistoryTitle: DEFAULT_HISTORY_TITLE\n\t});\n\tif(!options.disableHistory) {\n\t\t// If a target tiddler was specified add it to the history stack\n\t\tif(target && target !== \"\") {\n\t\t\t// The target tiddler doesn't need double square brackets, but we'll silently remove them if they're present\n\t\t\tif(target.indexOf(\"[[\") === 0 && target.substr(-2) === \"]]\") {\n\t\t\t\ttarget = target.substr(2,target.length - 4);\n\t\t\t}\n\t\t\tstory.addToHistory(target);\n\t\t} else if(storyList.length > 0) {\n\t\t\tstory.addToHistory(storyList[0]);\n\t\t}\t\t\n\t}\n}\n\n/*\noptions: See below\noptions.updateAddressBar: \"permalink\", \"permaview\" or \"no\" (defaults to \"permaview\")\noptions.updateHistory: \"yes\" or \"no\" (defaults to \"no\")\noptions.copyToClipboard: \"permalink\", \"permaview\" or \"no\" (defaults to \"no\")\noptions.targetTiddler: optional title of target tiddler for permalink\n*/\nfunction updateLocationHash(options) {\n\t// Get the story and the history stack\n\tvar storyList = $tw.wiki.getTiddlerList(DEFAULT_STORY_TITLE),\n\t\thistoryList = $tw.wiki.getTiddlerData(DEFAULT_HISTORY_TITLE,[]),\n\t\ttargetTiddler = \"\";\n\tif(options.targetTiddler) {\n\t\ttargetTiddler = options.targetTiddler;\n\t} else {\n\t\t// The target tiddler is the one at the top of the stack\n\t\tif(historyList.length > 0) {\n\t\t\ttargetTiddler = historyList[historyList.length-1].title;\n\t\t}\n\t\t// Blank the target tiddler if it isn't present in the story\n\t\tif(storyList.indexOf(targetTiddler) === -1) {\n\t\t\ttargetTiddler = \"\";\n\t\t}\n\t}\n\t// Assemble the location hash\n\tswitch(options.updateAddressBar) {\n\t\tcase \"permalink\":\n\t\t\t$tw.locationHash = \"#\" + encodeURIComponent(targetTiddler);\n\t\t\tbreak;\n\t\tcase \"permaview\":\n\t\t\t$tw.locationHash = \"#\" + encodeURIComponent(targetTiddler) + \":\" + encodeURIComponent($tw.utils.stringifyList(storyList));\n\t\t\tbreak;\n\t}\n\t// Copy URL to the clipboard\n\tswitch(options.copyToClipboard) {\n\t\tcase \"permalink\":\n\t\t\t$tw.utils.copyToClipboard($tw.utils.getLocationPath() + \"#\" + encodeURIComponent(targetTiddler));\n\t\t\tbreak;\n\t\tcase \"permaview\":\n\t\t\t$tw.utils.copyToClipboard($tw.utils.getLocationPath() + \"#\" + encodeURIComponent(targetTiddler) + \":\" + encodeURIComponent($tw.utils.stringifyList(storyList)));\n\t\t\tbreak;\n\t}\n\t// Only change the location hash if we must, thus avoiding unnecessary onhashchange events\n\tif($tw.utils.getLocationHash() !== $tw.locationHash) {\n\t\tif(options.updateHistory === \"yes\") {\n\t\t\t// Assign the location hash so that history is updated\n\t\t\twindow.location.hash = $tw.locationHash;\n\t\t} else {\n\t\t\t// We use replace so that browser history isn't affected\n\t\t\twindow.location.replace(window.location.toString().split(\"#\")[0] + $tw.locationHash);\n\t\t}\n\t}\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/startup/windows.js": {
"title": "$:/core/modules/startup/windows.js",
"text": "/*\\\ntitle: $:/core/modules/startup/windows.js\ntype: application/javascript\nmodule-type: startup\n\nSetup root widget handlers for the messages concerned with opening external browser windows\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Export name and synchronous status\nexports.name = \"windows\";\nexports.platforms = [\"browser\"];\nexports.after = [\"startup\"];\nexports.synchronous = true;\n\n// Global to keep track of open windows (hashmap by title)\n$tw.windows = {};\n\nexports.startup = function() {\n\t// Handle open window message\n\t$tw.rootWidget.addEventListener(\"tm-open-window\",function(event) {\n\t\t// Get the parameters\n\t\tvar refreshHandler,\n\t\t\ttitle = event.param || event.tiddlerTitle,\n\t\t\tparamObject = event.paramObject || {},\n\t\t\twindowTitle = paramObject.windowTitle || title,\n\t\t\ttemplate = paramObject.template || \"$:/core/templates/single.tiddler.window\",\n\t\t\twidth = paramObject.width || \"700\",\n\t\t\theight = paramObject.height || \"600\",\n\t\t\tvariables = $tw.utils.extend({},paramObject,{currentTiddler: title});\n\t\t// Open the window\n\t\tvar srcWindow,\n\t\t srcDocument;\n\t\t// In case that popup blockers deny opening a new window\n\t\ttry {\n\t\t\tsrcWindow = window.open(\"\",\"external-\" + title,\"scrollbars,width=\" + width + \",height=\" + height),\n\t\t\tsrcDocument = srcWindow.document;\n\t\t}\n\t\tcatch(e) {\n\t\t\treturn;\n\t\t}\n\t\t$tw.windows[title] = srcWindow;\n\t\t// Check for reopening the same window\n\t\tif(srcWindow.haveInitialisedWindow) {\n\t\t\treturn;\n\t\t}\n\t\t// Initialise the document\n\t\tsrcDocument.write(\"<html><head></head><body class='tc-body tc-single-tiddler-window'></body></html>\");\n\t\tsrcDocument.close();\n\t\tsrcDocument.title = windowTitle;\n\t\tsrcWindow.addEventListener(\"beforeunload\",function(event) {\n\t\t\tdelete $tw.windows[title];\n\t\t\t$tw.wiki.removeEventListener(\"change\",refreshHandler);\n\t\t},false);\n\t\t// Set up the styles\n\t\tvar styleWidgetNode = $tw.wiki.makeTranscludeWidget(\"$:/core/ui/PageStylesheet\",{\n\t\t\t\tdocument: $tw.fakeDocument,\n\t\t\t\tvariables: variables,\n\t\t\t\timportPageMacros: true}),\n\t\t\tstyleContainer = $tw.fakeDocument.createElement(\"style\");\n\t\tstyleWidgetNode.render(styleContainer,null);\n\t\tvar styleElement = srcDocument.createElement(\"style\");\n\t\tstyleElement.innerHTML = styleContainer.textContent;\n\t\tsrcDocument.head.insertBefore(styleElement,srcDocument.head.firstChild);\n\t\t// Render the text of the tiddler\n\t\tvar parser = $tw.wiki.parseTiddler(template),\n\t\t\twidgetNode = $tw.wiki.makeWidget(parser,{document: srcDocument, parentWidget: $tw.rootWidget, variables: variables});\n\t\twidgetNode.render(srcDocument.body,srcDocument.body.firstChild);\n\t\t// Function to handle refreshes\n\t\trefreshHandler = function(changes) {\n\t\t\tif(styleWidgetNode.refresh(changes,styleContainer,null)) {\n\t\t\t\tstyleElement.innerHTML = styleContainer.textContent;\n\t\t\t}\n\t\t\twidgetNode.refresh(changes);\n\t\t};\n\t\t$tw.wiki.addEventListener(\"change\",refreshHandler);\n\t\t// Listen for keyboard shortcuts\n\t\t$tw.utils.addEventListeners(srcDocument,[{\n\t\t\tname: \"keydown\",\n\t\t\thandlerObject: $tw.keyboardManager,\n\t\t\thandlerMethod: \"handleKeydownEvent\"\n\t\t}]);\n\t\tsrcWindow.document.documentElement.addEventListener(\"click\",$tw.popup,true);\n\t\tsrcWindow.haveInitialisedWindow = true;\n\t});\n\t// Close open windows when unloading main window\n\t$tw.addUnloadTask(function() {\n\t\t$tw.utils.each($tw.windows,function(win) {\n\t\t\twin.close();\n\t\t});\n\t});\n\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "startup"
},
"$:/core/modules/story.js": {
"title": "$:/core/modules/story.js",
"text": "/*\\\ntitle: $:/core/modules/story.js\ntype: application/javascript\nmodule-type: global\n\nLightweight object for managing interactions with the story and history lists.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nConstruct Story object with options:\nwiki: reference to wiki object to use to resolve tiddler titles\nstoryTitle: title of story list tiddler\nhistoryTitle: title of history list tiddler\n*/\nfunction Story(options) {\n\toptions = options || {};\n\tthis.wiki = options.wiki || $tw.wiki;\n\tthis.storyTitle = options.storyTitle || \"$:/StoryList\";\n\tthis.historyTitle = options.historyTitle || \"$:/HistoryList\";\n};\n\nStory.prototype.navigateTiddler = function(navigateTo,navigateFromTitle,navigateFromClientRect) {\n\tthis.addToStory(navigateTo,navigateFromTitle);\n\tthis.addToHistory(navigateTo,navigateFromClientRect);\n};\n\nStory.prototype.getStoryList = function() {\n\treturn this.wiki.getTiddlerList(this.storyTitle) || [];\n};\n\nStory.prototype.addToStory = function(navigateTo,navigateFromTitle,options) {\n\toptions = options || {};\n\tvar storyList = this.getStoryList();\n\t// See if the tiddler is already there\n\tvar slot = storyList.indexOf(navigateTo);\n\t// Quit if it already exists in the story river\n\tif(slot >= 0) {\n\t\treturn;\n\t}\n\t// First we try to find the position of the story element we navigated from\n\tvar fromIndex = storyList.indexOf(navigateFromTitle);\n\tif(fromIndex >= 0) {\n\t\t// The tiddler is added from inside the river\n\t\t// Determine where to insert the tiddler; Fallback is \"below\"\n\t\tswitch(options.openLinkFromInsideRiver) {\n\t\t\tcase \"top\":\n\t\t\t\tslot = 0;\n\t\t\t\tbreak;\n\t\t\tcase \"bottom\":\n\t\t\t\tslot = storyList.length;\n\t\t\t\tbreak;\n\t\t\tcase \"above\":\n\t\t\t\tslot = fromIndex;\n\t\t\t\tbreak;\n\t\t\tcase \"below\": // Intentional fall-through\n\t\t\tdefault:\n\t\t\t\tslot = fromIndex + 1;\n\t\t\t\tbreak;\n\t\t}\n\t} else {\n\t\t// The tiddler is opened from outside the river. Determine where to insert the tiddler; default is \"top\"\n\t\tif(options.openLinkFromOutsideRiver === \"bottom\") {\n\t\t\t// Insert at bottom\n\t\t\tslot = storyList.length;\n\t\t} else {\n\t\t\t// Insert at top\n\t\t\tslot = 0;\n\t\t}\n\t}\n\t// Add the tiddler\n\tstoryList.splice(slot,0,navigateTo);\n\t// Save the story\n\tthis.saveStoryList(storyList);\n};\n\nStory.prototype.saveStoryList = function(storyList) {\n\tvar storyTiddler = this.wiki.getTiddler(this.storyTitle);\n\tthis.wiki.addTiddler(new $tw.Tiddler(\n\t\tthis.wiki.getCreationFields(),\n\t\t{title: this.storyTitle},\n\t\tstoryTiddler,\n\t\t{list: storyList},\n\t\tthis.wiki.getModificationFields()\n\t));\n};\n\nStory.prototype.addToHistory = function(navigateTo,navigateFromClientRect) {\n\tvar titles = $tw.utils.isArray(navigateTo) ? navigateTo : [navigateTo];\n\t// Add a new record to the top of the history stack\n\tvar historyList = this.wiki.getTiddlerData(this.historyTitle,[]);\n\t$tw.utils.each(titles,function(title) {\n\t\thistoryList.push({title: title, fromPageRect: navigateFromClientRect});\n\t});\n\tthis.wiki.setTiddlerData(this.historyTitle,historyList,{\"current-tiddler\": titles[titles.length-1]});\n};\n\nStory.prototype.storyCloseTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyCloseAllTiddlers = function() {\n// TBD\n};\n\nStory.prototype.storyCloseOtherTiddlers = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyEditTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyDeleteTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storySaveTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyCancelTiddler = function(targetTitle) {\n// TBD\n};\n\nStory.prototype.storyNewTiddler = function(targetTitle) {\n// TBD\n};\n\nexports.Story = Story;\n\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/storyviews/classic.js": {
"title": "$:/core/modules/storyviews/classic.js",
"text": "/*\\\ntitle: $:/core/modules/storyviews/classic.js\ntype: application/javascript\nmodule-type: storyview\n\nViews the story as a linear sequence\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar easing = \"cubic-bezier(0.645, 0.045, 0.355, 1)\"; // From http://easings.net/#easeInOutCubic\n\nvar ClassicStoryView = function(listWidget) {\n\tthis.listWidget = listWidget;\n};\n\nClassicStoryView.prototype.navigateTo = function(historyInfo) {\n\tvar duration = $tw.utils.getAnimationDuration()\n\tvar listElementIndex = this.listWidget.findListItem(0,historyInfo.title);\n\tif(listElementIndex === undefined) {\n\t\treturn;\n\t}\n\tvar listItemWidget = this.listWidget.children[listElementIndex],\n\t\ttargetElement = listItemWidget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\tif(duration) {\n\t\t// Scroll the node into view\n\t\tthis.listWidget.dispatchEvent({type: \"tm-scroll\", target: targetElement});\t\n\t} else {\n\t\ttargetElement.scrollIntoView();\n\t}\n};\n\nClassicStoryView.prototype.insert = function(widget) {\n\tvar duration = $tw.utils.getAnimationDuration();\n\tif(duration) {\n\t\tvar targetElement = widget.findFirstDomNode();\n\t\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\t\tif(!(targetElement instanceof Element)) {\n\t\t\treturn;\n\t\t}\n\t\t// Get the current height of the tiddler\n\t\tvar computedStyle = window.getComputedStyle(targetElement),\n\t\t\tcurrMarginBottom = parseInt(computedStyle.marginBottom,10),\n\t\t\tcurrMarginTop = parseInt(computedStyle.marginTop,10),\n\t\t\tcurrHeight = targetElement.offsetHeight + currMarginTop;\n\t\t// Reset the margin once the transition is over\n\t\tsetTimeout(function() {\n\t\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t\t{transition: \"none\"},\n\t\t\t\t{marginBottom: \"\"}\n\t\t\t]);\n\t\t},duration);\n\t\t// Set up the initial position of the element\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: \"none\"},\n\t\t\t{marginBottom: (-currHeight) + \"px\"},\n\t\t\t{opacity: \"0.0\"}\n\t\t]);\n\t\t$tw.utils.forceLayout(targetElement);\n\t\t// Transition to the final position\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: \"opacity \" + duration + \"ms \" + easing + \", \" +\n\t\t\t\t\t\t\"margin-bottom \" + duration + \"ms \" + easing},\n\t\t\t{marginBottom: currMarginBottom + \"px\"},\n\t\t\t{opacity: \"1.0\"}\n\t]);\n\t}\n};\n\nClassicStoryView.prototype.remove = function(widget) {\n\tvar duration = $tw.utils.getAnimationDuration();\n\tif(duration) {\n\t\tvar targetElement = widget.findFirstDomNode(),\n\t\t\tremoveElement = function() {\n\t\t\t\twidget.removeChildDomNodes();\n\t\t\t};\n\t\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\t\tif(!(targetElement instanceof Element)) {\n\t\t\tremoveElement();\n\t\t\treturn;\n\t\t}\n\t\t// Get the current height of the tiddler\n\t\tvar currWidth = targetElement.offsetWidth,\n\t\t\tcomputedStyle = window.getComputedStyle(targetElement),\n\t\t\tcurrMarginBottom = parseInt(computedStyle.marginBottom,10),\n\t\t\tcurrMarginTop = parseInt(computedStyle.marginTop,10),\n\t\t\tcurrHeight = targetElement.offsetHeight + currMarginTop;\n\t\t// Remove the dom nodes of the widget at the end of the transition\n\t\tsetTimeout(removeElement,duration);\n\t\t// Animate the closure\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: \"none\"},\n\t\t\t{transform: \"translateX(0px)\"},\n\t\t\t{marginBottom: currMarginBottom + \"px\"},\n\t\t\t{opacity: \"1.0\"}\n\t\t]);\n\t\t$tw.utils.forceLayout(targetElement);\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", \" +\n\t\t\t\t\t\t\"opacity \" + duration + \"ms \" + easing + \", \" +\n\t\t\t\t\t\t\"margin-bottom \" + duration + \"ms \" + easing},\n\t\t\t{transform: \"translateX(-\" + currWidth + \"px)\"},\n\t\t\t{marginBottom: (-currHeight) + \"px\"},\n\t\t\t{opacity: \"0.0\"}\n\t\t]);\n\t} else {\n\t\twidget.removeChildDomNodes();\n\t}\n};\n\nexports.classic = ClassicStoryView;\n\n})();",
"type": "application/javascript",
"module-type": "storyview"
},
"$:/core/modules/storyviews/pop.js": {
"title": "$:/core/modules/storyviews/pop.js",
"text": "/*\\\ntitle: $:/core/modules/storyviews/pop.js\ntype: application/javascript\nmodule-type: storyview\n\nAnimates list insertions and removals\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar PopStoryView = function(listWidget) {\n\tthis.listWidget = listWidget;\n};\n\nPopStoryView.prototype.navigateTo = function(historyInfo) {\n\tvar listElementIndex = this.listWidget.findListItem(0,historyInfo.title);\n\tif(listElementIndex === undefined) {\n\t\treturn;\n\t}\n\tvar listItemWidget = this.listWidget.children[listElementIndex],\n\t\ttargetElement = listItemWidget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Scroll the node into view\n\tthis.listWidget.dispatchEvent({type: \"tm-scroll\", target: targetElement});\n};\n\nPopStoryView.prototype.insert = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Reset once the transition is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(targetElement,[\n\t\t\t{transition: \"none\"},\n\t\t\t{transform: \"none\"}\n\t\t]);\n\t\t$tw.utils.setStyle(widget.document.body,[\n\t\t\t{\"overflow-x\": \"\"}\n\t\t]);\n\t},duration);\n\t// Prevent the page from overscrolling due to the zoom factor\n\t$tw.utils.setStyle(widget.document.body,[\n\t\t{\"overflow-x\": \"hidden\"}\n\t]);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"none\"},\n\t\t{transform: \"scale(2)\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n\t$tw.utils.forceLayout(targetElement);\n\t// Transition to the final position\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{transform: \"scale(1)\"},\n\t\t{opacity: \"1.0\"}\n\t]);\n};\n\nPopStoryView.prototype.remove = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\tremoveElement = function() {\n\t\t\tif(targetElement && targetElement.parentNode) {\n\t\t\t\twidget.removeChildDomNodes();\n\t\t\t}\n\t\t};\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Remove the element at the end of the transition\n\tsetTimeout(removeElement,duration);\n\t// Animate the closure\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: \"none\"},\n\t\t{transform: \"scale(1)\"},\n\t\t{opacity: \"1.0\"}\n\t]);\n\t$tw.utils.forceLayout(targetElement);\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{transform: \"scale(0.1)\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n};\n\nexports.pop = PopStoryView;\n\n})();\n",
"type": "application/javascript",
"module-type": "storyview"
},
"$:/core/modules/storyviews/zoomin.js": {
"title": "$:/core/modules/storyviews/zoomin.js",
"text": "/*\\\ntitle: $:/core/modules/storyviews/zoomin.js\ntype: application/javascript\nmodule-type: storyview\n\nZooms between individual tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar easing = \"cubic-bezier(0.645, 0.045, 0.355, 1)\"; // From http://easings.net/#easeInOutCubic\n\nvar ZoominListView = function(listWidget) {\n\tvar self = this;\n\tthis.listWidget = listWidget;\n\t// Get the index of the tiddler that is at the top of the history\n\tvar history = this.listWidget.wiki.getTiddlerDataCached(this.listWidget.historyTitle,[]),\n\t\ttargetTiddler;\n\tif(history.length > 0) {\n\t\ttargetTiddler = history[history.length-1].title;\n\t}\n\t// Make all the tiddlers position absolute, and hide all but the top (or first) one\n\t$tw.utils.each(this.listWidget.children,function(itemWidget,index) {\n\t\tvar domNode = itemWidget.findFirstDomNode();\n\t\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\t\tif(!(domNode instanceof Element)) {\n\t\t\treturn;\n\t\t}\n\t\tif((targetTiddler && targetTiddler !== itemWidget.parseTreeNode.itemTitle) || (!targetTiddler && index)) {\n\t\t\tdomNode.style.display = \"none\";\n\t\t} else {\n\t\t\tself.currentTiddlerDomNode = domNode;\n\t\t}\n\t\t$tw.utils.addClass(domNode,\"tc-storyview-zoomin-tiddler\");\n\t});\n};\n\nZoominListView.prototype.navigateTo = function(historyInfo) {\n\tvar duration = $tw.utils.getAnimationDuration(),\n\t\tlistElementIndex = this.listWidget.findListItem(0,historyInfo.title);\n\tif(listElementIndex === undefined) {\n\t\treturn;\n\t}\n\tvar listItemWidget = this.listWidget.children[listElementIndex],\n\t\ttargetElement = listItemWidget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Make the new tiddler be position absolute and visible so that we can measure it\n\t$tw.utils.addClass(targetElement,\"tc-storyview-zoomin-tiddler\");\n\t$tw.utils.setStyle(targetElement,[\n\t\t{display: \"block\"},\n\t\t{transformOrigin: \"0 0\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t{transition: \"none\"},\n\t\t{opacity: \"0.0\"}\n\t]);\n\t// Get the position of the source node, or use the centre of the window as the source position\n\tvar sourceBounds = historyInfo.fromPageRect || {\n\t\t\tleft: window.innerWidth/2 - 2,\n\t\t\ttop: window.innerHeight/2 - 2,\n\t\t\twidth: window.innerWidth/8,\n\t\t\theight: window.innerHeight/8\n\t\t};\n\t// Try to find the title node in the target tiddler\n\tvar titleDomNode = findTitleDomNode(listItemWidget) || listItemWidget.findFirstDomNode(),\n\t\tzoomBounds = titleDomNode.getBoundingClientRect();\n\t// Compute the transform for the target tiddler to make the title lie over the source rectange\n\tvar targetBounds = targetElement.getBoundingClientRect(),\n\t\tscale = sourceBounds.width / zoomBounds.width,\n\t\tx = sourceBounds.left - targetBounds.left - (zoomBounds.left - targetBounds.left) * scale,\n\t\ty = sourceBounds.top - targetBounds.top - (zoomBounds.top - targetBounds.top) * scale;\n\t// Transform the target tiddler to its starting position\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transform: \"translateX(\" + x + \"px) translateY(\" + y + \"px) scale(\" + scale + \")\"}\n\t]);\n\t// Force layout\n\t$tw.utils.forceLayout(targetElement);\n\t// Apply the ending transitions with a timeout to ensure that the previously applied transformations are applied first\n\tvar self = this,\n\t\tprevCurrentTiddler = this.currentTiddlerDomNode;\n\tthis.currentTiddlerDomNode = targetElement;\n\t// Transform the target tiddler to its natural size\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t{opacity: \"1.0\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t{zIndex: \"500\"},\n\t]);\n\t// Transform the previous tiddler out of the way and then hide it\n\tif(prevCurrentTiddler && prevCurrentTiddler !== targetElement) {\n\t\tscale = zoomBounds.width / sourceBounds.width;\n\t\tx = zoomBounds.left - targetBounds.left - (sourceBounds.left - targetBounds.left) * scale;\n\t\ty = zoomBounds.top - targetBounds.top - (sourceBounds.top - targetBounds.top) * scale;\n\t\t$tw.utils.setStyle(prevCurrentTiddler,[\n\t\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t\t{opacity: \"0.0\"},\n\t\t\t{transformOrigin: \"0 0\"},\n\t\t\t{transform: \"translateX(\" + x + \"px) translateY(\" + y + \"px) scale(\" + scale + \")\"},\n\t\t\t{zIndex: \"0\"}\n\t\t]);\n\t\t// Hide the tiddler when the transition has finished\n\t\tsetTimeout(function() {\n\t\t\tif(self.currentTiddlerDomNode !== prevCurrentTiddler) {\n\t\t\t\tprevCurrentTiddler.style.display = \"none\";\n\t\t\t}\n\t\t},duration);\n\t}\n\t// Scroll the target into view\n//\t$tw.pageScroller.scrollIntoView(targetElement);\n};\n\n/*\nFind the first child DOM node of a widget that has the class \"tc-title\"\n*/\nfunction findTitleDomNode(widget,targetClass) {\n\ttargetClass = targetClass || \"tc-title\";\n\tvar domNode = widget.findFirstDomNode();\n\tif(domNode && domNode.querySelector) {\n\t\treturn domNode.querySelector(\".\" + targetClass);\n\t}\n\treturn null;\n}\n\nZoominListView.prototype.insert = function(widget) {\n\tvar targetElement = widget.findFirstDomNode();\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\treturn;\n\t}\n\t// Make the newly inserted node position absolute and hidden\n\t$tw.utils.addClass(targetElement,\"tc-storyview-zoomin-tiddler\");\n\t$tw.utils.setStyle(targetElement,[\n\t\t{display: \"none\"}\n\t]);\n};\n\nZoominListView.prototype.remove = function(widget) {\n\tvar targetElement = widget.findFirstDomNode(),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\tremoveElement = function() {\n\t\t\twidget.removeChildDomNodes();\n\t\t};\n\t// Abandon if the list entry isn't a DOM element (it might be a text node)\n\tif(!(targetElement instanceof Element)) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Abandon if hidden\n\tif(targetElement.style.display != \"block\" ) {\n\t\tremoveElement();\n\t\treturn;\n\t}\n\t// Set up the tiddler that is being closed\n\t$tw.utils.addClass(targetElement,\"tc-storyview-zoomin-tiddler\");\n\t$tw.utils.setStyle(targetElement,[\n\t\t{display: \"block\"},\n\t\t{transformOrigin: \"50% 50%\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t{transition: \"none\"},\n\t\t{zIndex: \"0\"}\n\t]);\n\t// We'll move back to the previous or next element in the story\n\tvar toWidget = widget.previousSibling();\n\tif(!toWidget) {\n\t\ttoWidget = widget.nextSibling();\n\t}\n\tvar toWidgetDomNode = toWidget && toWidget.findFirstDomNode();\n\t// Set up the tiddler we're moving back in\n\tif(toWidgetDomNode) {\n\t\t$tw.utils.addClass(toWidgetDomNode,\"tc-storyview-zoomin-tiddler\");\n\t\t$tw.utils.setStyle(toWidgetDomNode,[\n\t\t\t{display: \"block\"},\n\t\t\t{transformOrigin: \"50% 50%\"},\n\t\t\t{transform: \"translateX(0px) translateY(0px) scale(10)\"},\n\t\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t\t{opacity: \"0\"},\n\t\t\t{zIndex: \"500\"}\n\t\t]);\n\t\tthis.currentTiddlerDomNode = toWidgetDomNode;\n\t}\n\t// Animate them both\n\t// Force layout\n\t$tw.utils.forceLayout(this.listWidget.parentDomNode);\n\t// First, the tiddler we're closing\n\t$tw.utils.setStyle(targetElement,[\n\t\t{transformOrigin: \"50% 50%\"},\n\t\t{transform: \"translateX(0px) translateY(0px) scale(0.1)\"},\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms \" + easing + \", opacity \" + duration + \"ms \" + easing},\n\t\t{opacity: \"0\"},\n\t\t{zIndex: \"0\"}\n\t]);\n\tsetTimeout(removeElement,duration);\n\t// Now the tiddler we're going back to\n\tif(toWidgetDomNode) {\n\t\t$tw.utils.setStyle(toWidgetDomNode,[\n\t\t\t{transform: \"translateX(0px) translateY(0px) scale(1)\"},\n\t\t\t{opacity: \"1\"}\n\t\t]);\n\t}\n\treturn true; // Indicate that we'll delete the DOM node\n};\n\nexports.zoomin = ZoominListView;\n\n})();\n",
"type": "application/javascript",
"module-type": "storyview"
},
"$:/core/modules/syncer.js": {
"title": "$:/core/modules/syncer.js",
"text": "/*\\\ntitle: $:/core/modules/syncer.js\ntype: application/javascript\nmodule-type: global\n\nThe syncer tracks changes to the store and synchronises them to a remote data store represented as a \"sync adaptor\"\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nDefaults\n*/\nSyncer.prototype.titleIsLoggedIn = \"$:/status/IsLoggedIn\";\nSyncer.prototype.titleIsAnonymous = \"$:/status/IsAnonymous\";\nSyncer.prototype.titleIsReadOnly = \"$:/status/IsReadOnly\";\nSyncer.prototype.titleUserName = \"$:/status/UserName\";\nSyncer.prototype.titleSyncFilter = \"$:/config/SyncFilter\";\nSyncer.prototype.titleSyncDisablePolling = \"$:/config/SyncDisablePolling\";\nSyncer.prototype.titleSyncPollingInterval = \"$:/config/SyncPollingInterval\";\nSyncer.prototype.titleSyncDisableLazyLoading = \"$:/config/SyncDisableLazyLoading\";\nSyncer.prototype.titleSavedNotification = \"$:/language/Notifications/Save/Done\";\nSyncer.prototype.titleSyncThrottleInterval = \"$:/config/SyncThrottleInterval\";\nSyncer.prototype.taskTimerInterval = 1 * 1000; // Interval for sync timer\nSyncer.prototype.throttleInterval = 1 * 1000; // Defer saving tiddlers if they've changed in the last 1s...\nSyncer.prototype.errorRetryInterval = 5 * 1000; // Interval to retry after an error\nSyncer.prototype.fallbackInterval = 10 * 1000; // Unless the task is older than 10s\nSyncer.prototype.pollTimerInterval = 60 * 1000; // Interval for polling for changes from the adaptor\n\n/*\nInstantiate the syncer with the following options:\nsyncadaptor: reference to syncadaptor to be used\nwiki: wiki to be synced\n*/\nfunction Syncer(options) {\n\tvar self = this;\n\tthis.wiki = options.wiki;\n\t// Save parameters\n\tthis.syncadaptor = options.syncadaptor;\n\tthis.disableUI = !!options.disableUI;\n\tthis.titleIsLoggedIn = options.titleIsLoggedIn || this.titleIsLoggedIn;\n\tthis.titleUserName = options.titleUserName || this.titleUserName;\n\tthis.titleSyncFilter = options.titleSyncFilter || this.titleSyncFilter;\n\tthis.titleSavedNotification = options.titleSavedNotification || this.titleSavedNotification;\n\tthis.taskTimerInterval = options.taskTimerInterval || this.taskTimerInterval;\n\tthis.throttleInterval = options.throttleInterval || parseInt(this.wiki.getTiddlerText(this.titleSyncThrottleInterval,\"\"),10) || this.throttleInterval;\n\tthis.errorRetryInterval = options.errorRetryInterval || this.errorRetryInterval;\n\tthis.fallbackInterval = options.fallbackInterval || this.fallbackInterval;\n\tthis.pollTimerInterval = options.pollTimerInterval || parseInt(this.wiki.getTiddlerText(this.titleSyncPollingInterval,\"\"),10) || this.pollTimerInterval;\n\tthis.logging = \"logging\" in options ? options.logging : true;\n\t// Make a logger\n\tthis.logger = new $tw.utils.Logger(\"syncer\" + ($tw.browser ? \"-browser\" : \"\") + ($tw.node ? \"-server\" : \"\") + (this.syncadaptor.name ? (\"-\" + this.syncadaptor.name) : \"\"),{\n\t\tcolour: \"cyan\",\n\t\tenable: this.logging,\n\t\tsaveHistory: true\n\t});\n\t// Make another logger for connection errors\n\tthis.loggerConnection = new $tw.utils.Logger(\"syncer\" + ($tw.browser ? \"-browser\" : \"\") + ($tw.node ? \"-server\" : \"\") + (this.syncadaptor.name ? (\"-\" + this.syncadaptor.name) : \"\") + \"-connection\",{\n\t\tcolour: \"cyan\",\n\t\tenable: this.logging\n\t});\n\t// Ask the syncadaptor to use the main logger\n\tif(this.syncadaptor.setLoggerSaveBuffer) {\n\t\tthis.syncadaptor.setLoggerSaveBuffer(this.logger);\n\t}\n\t// Compile the dirty tiddler filter\n\tthis.filterFn = this.wiki.compileFilter(this.wiki.getTiddlerText(this.titleSyncFilter));\n\t// Record information for known tiddlers\n\tthis.readTiddlerInfo();\n\tthis.titlesToBeLoaded = {}; // Hashmap of titles of tiddlers that need loading from the server\n\tthis.titlesHaveBeenLazyLoaded = {}; // Hashmap of titles of tiddlers that have already been lazily loaded from the server\n\t// Timers\n\tthis.taskTimerId = null; // Timer for task dispatch\n\tthis.pollTimerId = null; // Timer for polling server\n\t// Number of outstanding requests\n\tthis.numTasksInProgress = 0;\n\t// Listen out for changes to tiddlers\n\tthis.wiki.addEventListener(\"change\",function(changes) {\n\t\t// Filter the changes to just include ones that are being synced\n\t\tvar filteredChanges = self.getSyncedTiddlers(function(callback) {\n\t\t\t$tw.utils.each(changes,function(change,title) {\n\t\t\t\tvar tiddler = self.wiki.tiddlerExists(title) && self.wiki.getTiddler(title);\n\t\t\t\tcallback(tiddler,title);\n\t\t\t});\n\t\t});\n\t\tif(filteredChanges.length > 0) {\n\t\t\tself.processTaskQueue();\n\t\t} else {\n\t\t\t// Look for deletions of tiddlers we're already syncing\n\t\t\tvar outstandingDeletion = false\n\t\t\t$tw.utils.each(changes,function(change,title,object) {\n\t\t\t\tif(change.deleted && $tw.utils.hop(self.tiddlerInfo,title)) {\n\t\t\t\t\toutstandingDeletion = true;\n\t\t\t\t}\n\t\t\t});\n\t\t\tif(outstandingDeletion) {\n\t\t\t\tself.processTaskQueue();\n\t\t\t}\n\t\t}\n\t});\n\t// Browser event handlers\n\tif($tw.browser && !this.disableUI) {\n\t\t// Set up our beforeunload handler\n\t\t$tw.addUnloadTask(function(event) {\n\t\t\tvar confirmationMessage;\n\t\t\tif(self.isDirty()) {\n\t\t\t\tconfirmationMessage = $tw.language.getString(\"UnsavedChangesWarning\");\n\t\t\t\tevent.returnValue = confirmationMessage; // Gecko\n\t\t\t}\n\t\t\treturn confirmationMessage;\n\t\t});\n\t\t// Listen out for login/logout/refresh events in the browser\n\t\t$tw.rootWidget.addEventListener(\"tm-login\",function(event) {\n\t\t\tvar username = event && event.paramObject && event.paramObject.username,\n\t\t\t\tpassword = event && event.paramObject && event.paramObject.password;\n\t\t\tif(username && password) {\n\t\t\t\t// Login with username and password\n\t\t\t\tself.login(username,password,function() {});\n\t\t\t} else {\n\t\t\t\t// No username and password, so we display a prompt\n\t\t\t\tself.handleLoginEvent();\n\t\t\t}\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-logout\",function() {\n\t\t\tself.handleLogoutEvent();\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-server-refresh\",function() {\n\t\t\tself.handleRefreshEvent();\n\t\t});\n\t\t$tw.rootWidget.addEventListener(\"tm-copy-syncer-logs-to-clipboard\",function() {\n\t\t\t$tw.utils.copyToClipboard($tw.utils.getSystemInfo() + \"\\n\\nLog:\\n\" + self.logger.getBuffer());\n\t\t});\n\t}\n\t// Listen out for lazyLoad events\n\tif(!this.disableUI && this.wiki.getTiddlerText(this.titleSyncDisableLazyLoading) !== \"yes\") {\n\t\tthis.wiki.addEventListener(\"lazyLoad\",function(title) {\n\t\t\tself.handleLazyLoadEvent(title);\n\t\t});\n\t}\n\t// Get the login status\n\tthis.getStatus(function(err,isLoggedIn) {\n\t\t// Do a sync from the server\n\t\tself.syncFromServer();\n\t});\n}\n\n/*\nShow a generic network error alert\n*/\nSyncer.prototype.displayError = function(msg,err) {\n\tif(err === ($tw.language.getString(\"Error/XMLHttpRequest\") + \": 0\")) {\n\t\tthis.loggerConnection.alert($tw.language.getString(\"Error/NetworkErrorAlert\"));\n\t\tthis.logger.log(msg + \":\",err);\n\t} else {\n\t\tthis.logger.alert(msg + \":\",err);\n\t}\n};\n\n/*\nReturn an array of the tiddler titles that are subjected to syncing\n*/\nSyncer.prototype.getSyncedTiddlers = function(source) {\n\treturn this.filterFn.call(this.wiki,source);\n};\n\n/*\nReturn an array of the tiddler titles that are subjected to syncing\n*/\nSyncer.prototype.getTiddlerRevision = function(title) {\n\tif(this.syncadaptor && this.syncadaptor.getTiddlerRevision) {\n\t\treturn this.syncadaptor.getTiddlerRevision(title);\n\t} else {\n\t\treturn this.wiki.getTiddler(title).fields.revision;\n\t}\n};\n\n/*\nRead (or re-read) the latest tiddler info from the store\n*/\nSyncer.prototype.readTiddlerInfo = function() {\n\t// Hashmap by title of {revision:,changeCount:,adaptorInfo:}\n\t// \"revision\" is the revision of the tiddler last seen on the server, and \"changecount\" is the corresponding local changecount\n\tthis.tiddlerInfo = {};\n\t// Record information for known tiddlers\n\tvar self = this,\n\t\ttiddlers = this.getSyncedTiddlers();\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.wiki.getTiddler(title);\n\t\tif(tiddler) {\n\t\t\tself.tiddlerInfo[title] = {\n\t\t\t\trevision: self.getTiddlerRevision(title),\n\t\t\t\tadaptorInfo: self.syncadaptor && self.syncadaptor.getTiddlerInfo(tiddler),\n\t\t\t\tchangeCount: self.wiki.getChangeCount(title)\n\t\t\t};\n\t\t}\n\t});\n};\n\n/*\nChecks whether the wiki is dirty (ie the window shouldn't be closed)\n*/\nSyncer.prototype.isDirty = function() {\n\tthis.logger.log(\"Checking dirty status\");\n\t// Check tiddlers that are in the store and included in the filter function\n\tvar titles = this.getSyncedTiddlers();\n\tfor(var index=0; index<titles.length; index++) {\n\t\tvar title = titles[index],\n\t\t\ttiddlerInfo = this.tiddlerInfo[title];\n\t\tif(this.wiki.tiddlerExists(title)) {\n\t\t\tif(tiddlerInfo) {\n\t\t\t\t// If the tiddler is known on the server and has been modified locally then it needs to be saved to the server\n\t\t\t\tif(this.wiki.getChangeCount(title) > tiddlerInfo.changeCount) {\n\t\t\t\t\treturn true;\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\t// If the tiddler isn't known on the server then it needs to be saved to the server\n\t\t\t\treturn true;\n\t\t\t}\n\t\t}\n\t}\n\t// Check tiddlers that are known from the server but not currently in the store\n\ttitles = Object.keys(this.tiddlerInfo);\n\tfor(index=0; index<titles.length; index++) {\n\t\tif(!this.wiki.tiddlerExists(titles[index])) {\n\t\t\t// There must be a pending delete\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false;\n};\n\n/*\nUpdate the document body with the class \"tc-dirty\" if the wiki has unsaved/unsynced changes\n*/\nSyncer.prototype.updateDirtyStatus = function() {\n\tif($tw.browser && !this.disableUI) {\n\t\tvar dirty = this.isDirty();\n\t\t$tw.utils.toggleClass(document.body,\"tc-dirty\",dirty);\n\t\tif(!dirty) {\n\t\t\tthis.loggerConnection.clearAlerts();\n\t\t}\n\t}\n};\n\n/*\nSave an incoming tiddler in the store, and updates the associated tiddlerInfo\n*/\nSyncer.prototype.storeTiddler = function(tiddlerFields) {\n\t// Save the tiddler\n\tvar tiddler = new $tw.Tiddler(tiddlerFields);\n\tthis.wiki.addTiddler(tiddler);\n\t// Save the tiddler revision and changeCount details\n\tthis.tiddlerInfo[tiddlerFields.title] = {\n\t\trevision: this.getTiddlerRevision(tiddlerFields.title),\n\t\tadaptorInfo: this.syncadaptor.getTiddlerInfo(tiddler),\n\t\tchangeCount: this.wiki.getChangeCount(tiddlerFields.title)\n\t};\n};\n\nSyncer.prototype.getStatus = function(callback) {\n\tvar self = this;\n\t// Check if the adaptor supports getStatus()\n\tif(this.syncadaptor && this.syncadaptor.getStatus) {\n\t\t// Mark us as not logged in\n\t\tthis.wiki.addTiddler({title: this.titleIsLoggedIn,text: \"no\"});\n\t\t// Get login status\n\t\tthis.syncadaptor.getStatus(function(err,isLoggedIn,username,isReadOnly,isAnonymous,isPollingDisabled) {\n\t\t\tif(err) {\n\t\t\t\tself.logger.alert(err);\n\t\t\t} else {\n\t\t\t\t// Set the various status tiddlers\n\t\t\t\tself.wiki.addTiddler({title: self.titleIsReadOnly,text: isReadOnly ? \"yes\" : \"no\"});\n\t\t\t\tself.wiki.addTiddler({title: self.titleIsAnonymous,text: isAnonymous ? \"yes\" : \"no\"});\n\t\t\t\tself.wiki.addTiddler({title: self.titleIsLoggedIn,text: isLoggedIn ? \"yes\" : \"no\"});\n\t\t\t\tif(isLoggedIn) {\n\t\t\t\t\tself.wiki.addTiddler({title: self.titleUserName,text: username || \"\"});\n\t\t\t\t}\n\t\t\t\tif(isPollingDisabled) {\n\t\t\t\t\tself.wiki.addTiddler({title: self.titleSyncDisablePolling, text: \"yes\"});\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Invoke the callback\n\t\t\tif(callback) {\n\t\t\t\tcallback(err,isLoggedIn,username);\n\t\t\t}\n\t\t});\n\t} else {\n\t\tcallback(null,true,\"UNAUTHENTICATED\");\n\t}\n};\n\n/*\nSynchronise from the server by reading the skinny tiddler list and queuing up loads for any tiddlers that we don't already have up to date\n*/\nSyncer.prototype.syncFromServer = function() {\n\tvar self = this,\n\t\tcancelNextSync = function() {\n\t\t\tif(self.pollTimerId) {\n\t\t\t\tclearTimeout(self.pollTimerId);\n\t\t\t\tself.pollTimerId = null;\n\t\t\t}\n\t\t},\n\t\ttriggerNextSync = function() {\n\t\t\tif(pollingEnabled) {\n\t\t\t\tself.pollTimerId = setTimeout(function() {\n\t\t\t\t\tself.pollTimerId = null;\n\t\t\t\t\tself.syncFromServer.call(self);\n\t\t\t\t},self.pollTimerInterval);\n\t\t\t}\n\t\t},\n\t\tsyncSystemFromServer = (self.wiki.getTiddlerText(\"$:/config/SyncSystemTiddlersFromServer\") === \"yes\"),\n\t\tpollingEnabled = (self.wiki.getTiddlerText(self.titleSyncDisablePolling) !== \"yes\");\n\tif(this.syncadaptor && this.syncadaptor.getUpdatedTiddlers) {\n\t\tthis.logger.log(\"Retrieving updated tiddler list\");\n\t\tcancelNextSync();\n\t\tthis.syncadaptor.getUpdatedTiddlers(self,function(err,updates) {\n\t\t\ttriggerNextSync();\n\t\t\tif(err) {\n\t\t\t\tself.displayError($tw.language.getString(\"Error/RetrievingSkinny\"),err);\n\t\t\t\treturn;\n\t\t\t}\n\t\t\tif(updates) {\n\t\t\t\t$tw.utils.each(updates.modifications,function(title) {\n\t\t\t\t\tself.titlesToBeLoaded[title] = true;\n\t\t\t\t});\n\t\t\t\t$tw.utils.each(updates.deletions,function(title) {\n\t\t\t\t\tif(syncSystemFromServer || !self.wiki.isSystemTiddler(title)) {\n\t\t\t\t\t\tdelete self.tiddlerInfo[title];\n\t\t\t\t\t\tself.logger.log(\"Deleting tiddler missing from server:\",title);\n\t\t\t\t\t\tself.wiki.deleteTiddler(title);\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\tif(updates.modifications.length > 0 || updates.deletions.length > 0) {\n\t\t\t\t\tself.processTaskQueue();\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t} else if(this.syncadaptor && this.syncadaptor.getSkinnyTiddlers) {\n\t\tthis.logger.log(\"Retrieving skinny tiddler list\");\n\t\tcancelNextSync();\n\t\tthis.syncadaptor.getSkinnyTiddlers(function(err,tiddlers) {\n\t\t\ttriggerNextSync();\n\t\t\t// Check for errors\n\t\t\tif(err) {\n\t\t\t\tself.displayError($tw.language.getString(\"Error/RetrievingSkinny\"),err);\n\t\t\t\treturn;\n\t\t\t}\n\t\t\t// Keep track of which tiddlers we already know about have been reported this time\n\t\t\tvar previousTitles = Object.keys(self.tiddlerInfo);\n\t\t\t// Process each incoming tiddler\n\t\t\tfor(var t=0; t<tiddlers.length; t++) {\n\t\t\t\t// Get the incoming tiddler fields, and the existing tiddler\n\t\t\t\tvar tiddlerFields = tiddlers[t],\n\t\t\t\t\tincomingRevision = tiddlerFields.revision + \"\",\n\t\t\t\t\ttiddler = self.wiki.tiddlerExists(tiddlerFields.title) && self.wiki.getTiddler(tiddlerFields.title),\n\t\t\t\t\ttiddlerInfo = self.tiddlerInfo[tiddlerFields.title],\n\t\t\t\t\tcurrRevision = tiddlerInfo ? tiddlerInfo.revision : null,\n\t\t\t\t\tindexInPreviousTitles = previousTitles.indexOf(tiddlerFields.title);\n\t\t\t\tif(indexInPreviousTitles !== -1) {\n\t\t\t\t\tpreviousTitles.splice(indexInPreviousTitles,1);\n\t\t\t\t}\n\t\t\t\t// Ignore the incoming tiddler if it's the same as the revision we've already got\n\t\t\t\tif(currRevision !== incomingRevision) {\n\t\t\t\t\t// Only load the skinny version if we don't already have a fat version of the tiddler\n\t\t\t\t\tif(!tiddler || tiddler.fields.text === undefined) {\n\t\t\t\t\t\tself.storeTiddler(tiddlerFields);\n\t\t\t\t\t}\n\t\t\t\t\t// Do a full load of this tiddler\n\t\t\t\t\tself.titlesToBeLoaded[tiddlerFields.title] = true;\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Delete any tiddlers that were previously reported but missing this time\n\t\t\t$tw.utils.each(previousTitles,function(title) {\n\t\t\t\tif(syncSystemFromServer || !self.wiki.isSystemTiddler(title)) {\n\t\t\t\t\tdelete self.tiddlerInfo[title];\n\t\t\t\t\tself.logger.log(\"Deleting tiddler missing from server:\",title);\n\t\t\t\t\tself.wiki.deleteTiddler(title);\n\t\t\t\t}\n\t\t\t});\n\t\t\tself.processTaskQueue();\n\t\t});\n\t}\n};\n\n/*\nForce load a tiddler from the server\n*/\nSyncer.prototype.enqueueLoadTiddler = function(title) {\n\tthis.titlesToBeLoaded[title] = true;\n\tthis.processTaskQueue();\n};\n\n/*\nLazily load a skinny tiddler if we can\n*/\nSyncer.prototype.handleLazyLoadEvent = function(title) {\n\t// Ignore if the syncadaptor doesn't handle it\n\tif(!this.syncadaptor.supportsLazyLoading) {\n\t\treturn;\n\t}\n\t// Don't lazy load the same tiddler twice\n\tif(!this.titlesHaveBeenLazyLoaded[title]) {\n\t\t// Don't lazy load if the tiddler isn't included in the sync filter\n\t\tif(this.getSyncedTiddlers().indexOf(title) !== -1) {\n\t\t\t// Mark the tiddler as needing loading, and having already been lazily loaded\n\t\t\tthis.titlesToBeLoaded[title] = true;\n\t\t\tthis.titlesHaveBeenLazyLoaded[title] = true;\n\t\t}\n\t}\n};\n\n/*\nDispay a password prompt and allow the user to login\n*/\nSyncer.prototype.handleLoginEvent = function() {\n\tvar self = this;\n\tthis.getStatus(function(err,isLoggedIn,username) {\n\t\tif(!err && !isLoggedIn) {\n\t\t\tif(self.syncadaptor && self.syncadaptor.displayLoginPrompt) {\n\t\t\t\tself.syncadaptor.displayLoginPrompt(self);\n\t\t\t} else {\n\t\t\t\tself.displayLoginPrompt();\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nDispay a password prompt\n*/\nSyncer.prototype.displayLoginPrompt = function() {\n\tvar self = this;\n\tvar promptInfo = $tw.passwordPrompt.createPrompt({\n\t\tserviceName: $tw.language.getString(\"LoginToTiddlySpace\"),\n\t\tcallback: function(data) {\n\t\t\tself.login(data.username,data.password,function(err,isLoggedIn) {\n\t\t\t\tself.syncFromServer();\n\t\t\t});\n\t\t\treturn true; // Get rid of the password prompt\n\t\t}\n\t});\n};\n\n/*\nAttempt to login to TiddlyWeb.\n\tusername: username\n\tpassword: password\n\tcallback: invoked with arguments (err,isLoggedIn)\n*/\nSyncer.prototype.login = function(username,password,callback) {\n\tthis.logger.log(\"Attempting to login as\",username);\n\tvar self = this;\n\tif(this.syncadaptor.login) {\n\t\tthis.syncadaptor.login(username,password,function(err) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tself.getStatus(function(err,isLoggedIn,username) {\n\t\t\t\tif(callback) {\n\t\t\t\t\tcallback(err,isLoggedIn);\n\t\t\t\t}\n\t\t\t});\n\t\t});\n\t} else {\n\t\tcallback(null,true);\n\t}\n};\n\n/*\nAttempt to log out of TiddlyWeb\n*/\nSyncer.prototype.handleLogoutEvent = function() {\n\tthis.logger.log(\"Attempting to logout\");\n\tvar self = this;\n\tif(this.syncadaptor.logout) {\n\t\tthis.syncadaptor.logout(function(err) {\n\t\t\tif(err) {\n\t\t\t\tself.logger.alert(err);\n\t\t\t} else {\n\t\t\t\tself.getStatus();\n\t\t\t}\n\t\t});\n\t}\n};\n\n/*\nImmediately refresh from the server\n*/\nSyncer.prototype.handleRefreshEvent = function() {\n\tthis.syncFromServer();\n};\n\n/*\nProcess the next task\n*/\nSyncer.prototype.processTaskQueue = function() {\n\tvar self = this;\n\t// Only process a task if the sync adaptor is fully initialised and we're not already performing\n\t// a task. If we are already performing a task then we'll dispatch the next one when it completes\n\tif((!this.syncadaptor.isReady || this.syncadaptor.isReady()) && this.numTasksInProgress === 0) {\n\t\t// Choose the next task to perform\n\t\tvar task = this.chooseNextTask();\n\t\t// Perform the task if we had one\n\t\tif(typeof task === \"object\" && task !== null) {\n\t\t\tthis.numTasksInProgress += 1;\n\t\t\ttask.run(function(err) {\n\t\t\t\tself.numTasksInProgress -= 1;\n\t\t\t\tif(err) {\n\t\t\t\t\tself.displayError(\"Sync error while processing \" + task.type + \" of '\" + task.title + \"'\",err);\n\t\t\t\t\tself.updateDirtyStatus();\n\t\t\t\t\tself.triggerTimeout(self.errorRetryInterval);\n\t\t\t\t} else {\n\t\t\t\t\tself.updateDirtyStatus();\n\t\t\t\t\t// Process the next task\n\t\t\t\t\tself.processTaskQueue.call(self);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\t// No task is ready so update the status\n\t\t\tthis.updateDirtyStatus();\n\t\t\t// And trigger a timeout if there is a pending task\n\t\t\tif(task === true) {\n\t\t\t\tthis.triggerTimeout();\n\t\t\t}\n\t\t}\n\t} else {\n\t\tthis.updateDirtyStatus();\n\t}\n};\n\nSyncer.prototype.triggerTimeout = function(interval) {\n\tvar self = this;\n\tif(!this.taskTimerId) {\n\t\tthis.taskTimerId = setTimeout(function() {\n\t\t\tself.taskTimerId = null;\n\t\t\tself.processTaskQueue.call(self);\n\t\t},interval || self.taskTimerInterval);\n\t}\n};\n\n/*\nChoose the next sync task. We prioritise saves, then deletes, then loads from the server\n\nReturns either a task object, null if there's no upcoming tasks, or the boolean true if there are pending tasks that aren't yet due\n*/\nSyncer.prototype.chooseNextTask = function() {\n\tvar thresholdLastSaved = (new Date()) - this.throttleInterval,\n\t\thavePending = null;\n\t// First we look for tiddlers that have been modified locally and need saving back to the server\n\tvar titles = this.getSyncedTiddlers();\n\tfor(var index=0; index<titles.length; index++) {\n\t\tvar title = titles[index],\n\t\t\ttiddler = this.wiki.tiddlerExists(title) && this.wiki.getTiddler(title),\n\t\t\ttiddlerInfo = this.tiddlerInfo[title];\n\t\tif(tiddler) {\n\t\t\t// If the tiddler is not known on the server, or has been modified locally no more recently than the threshold then it needs to be saved to the server\n\t\t\tvar hasChanged = !tiddlerInfo || this.wiki.getChangeCount(title) > tiddlerInfo.changeCount,\n\t\t\t\tisReadyToSave = !tiddlerInfo || !tiddlerInfo.timestampLastSaved || tiddlerInfo.timestampLastSaved < thresholdLastSaved;\n\t\t\tif(hasChanged) {\n\t\t\t\tif(isReadyToSave) {\n\t\t\t\t\treturn new SaveTiddlerTask(this,title);\n\t\t\t\t} else {\n\t\t\t\t\thavePending = true;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\t// Second, we check tiddlers that are known from the server but not currently in the store, and so need deleting on the server\n\ttitles = Object.keys(this.tiddlerInfo);\n\tfor(index=0; index<titles.length; index++) {\n\t\ttitle = titles[index];\n\t\ttiddlerInfo = this.tiddlerInfo[title];\n\t\ttiddler = this.wiki.tiddlerExists(title) && this.wiki.getTiddler(title);\n\t\tif(!tiddler) {\n\t\t\treturn new DeleteTiddlerTask(this,title);\n\t\t}\n\t}\n\t// Check for tiddlers that need loading\n\ttitle = Object.keys(this.titlesToBeLoaded)[0];\n\tif(title) {\n\t\tdelete this.titlesToBeLoaded[title];\n\t\treturn new LoadTiddlerTask(this,title);\n\t}\n\t// No tasks are ready\n\treturn havePending;\n};\n\nfunction SaveTiddlerTask(syncer,title) {\n\tthis.syncer = syncer;\n\tthis.title = title;\n\tthis.type = \"save\";\n}\n\nSaveTiddlerTask.prototype.run = function(callback) {\n\tvar self = this,\n\t\tchangeCount = this.syncer.wiki.getChangeCount(this.title),\n\t\ttiddler = this.syncer.wiki.tiddlerExists(this.title) && this.syncer.wiki.getTiddler(this.title);\n\tthis.syncer.logger.log(\"Dispatching 'save' task:\",this.title);\n\tif(tiddler) {\n\t\tthis.syncer.syncadaptor.saveTiddler(tiddler,function(err,adaptorInfo,revision) {\n\t\t\t// If there's an error, exit without changing any internal state\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\t// Adjust the info stored about this tiddler\n\t\t\tself.syncer.tiddlerInfo[self.title] = {\n\t\t\t\tchangeCount: changeCount,\n\t\t\t\tadaptorInfo: adaptorInfo,\n\t\t\t\trevision: revision,\n\t\t\t\ttimestampLastSaved: new Date()\n\t\t\t};\n\t\t\t// Invoke the callback\n\t\t\tcallback(null);\n\t\t},{\n\t\t\ttiddlerInfo: self.syncer.tiddlerInfo[self.title]\n\t\t});\n\t} else {\n\t\tthis.syncer.logger.log(\" Not Dispatching 'save' task:\",this.title,\"tiddler does not exist\");\n\t\t$tw.utils.nextTick(callback(null));\n\t}\n};\n\nfunction DeleteTiddlerTask(syncer,title) {\n\tthis.syncer = syncer;\n\tthis.title = title;\n\tthis.type = \"delete\";\n}\n\nDeleteTiddlerTask.prototype.run = function(callback) {\n\tvar self = this;\n\tthis.syncer.logger.log(\"Dispatching 'delete' task:\",this.title);\n\tthis.syncer.syncadaptor.deleteTiddler(this.title,function(err) {\n\t\t// If there's an error, exit without changing any internal state\n\t\tif(err) {\n\t\t\treturn callback(err);\n\t\t}\n\t\t// Remove the info stored about this tiddler\n\t\tdelete self.syncer.tiddlerInfo[self.title];\n\t\t// Invoke the callback\n\t\tcallback(null);\n\t},{\n\t\ttiddlerInfo: self.syncer.tiddlerInfo[this.title]\n\t});\n};\n\nfunction LoadTiddlerTask(syncer,title) {\n\tthis.syncer = syncer;\n\tthis.title = title;\n\tthis.type = \"load\";\n}\n\nLoadTiddlerTask.prototype.run = function(callback) {\n\tvar self = this;\n\tthis.syncer.logger.log(\"Dispatching 'load' task:\",this.title);\n\tthis.syncer.syncadaptor.loadTiddler(this.title,function(err,tiddlerFields) {\n\t\t// If there's an error, exit without changing any internal state\n\t\tif(err) {\n\t\t\treturn callback(err);\n\t\t}\n\t\t// Update the info stored about this tiddler\n\t\tif(tiddlerFields) {\n\t\t\tself.syncer.storeTiddler(tiddlerFields);\n\t\t}\n\t\t// Invoke the callback\n\t\tcallback(null);\n\t});\n};\n\nexports.Syncer = Syncer;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/tiddler.js": {
"title": "$:/core/modules/tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/tiddler.js\ntype: application/javascript\nmodule-type: tiddlermethod\n\nExtension methods for the $tw.Tiddler object (constructor and methods required at boot time are in boot/boot.js)\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.hasTag = function(tag) {\n\treturn this.fields.tags && this.fields.tags.indexOf(tag) !== -1;\n};\n\nexports.isPlugin = function() {\n\treturn this.fields.type === \"application/json\" && this.hasField(\"plugin-type\");\n};\n\nexports.isDraft = function() {\n\treturn this.hasField(\"draft.of\");\n};\n\nexports.getFieldString = function(field) {\n\tvar value = this.fields[field];\n\t// Check for a missing field\n\tif(value === undefined || value === null) {\n\t\treturn \"\";\n\t}\n\t// Parse the field with the associated module (if any)\n\tvar fieldModule = $tw.Tiddler.fieldModules[field];\n\tif(fieldModule && fieldModule.stringify) {\n\t\treturn fieldModule.stringify.call(this,value);\n\t} else {\n\t\treturn value.toString();\n\t}\n};\n\n/*\nGet the value of a field as a list\n*/\nexports.getFieldList = function(field) {\n\tvar value = this.fields[field];\n\t// Check for a missing field\n\tif(value === undefined || value === null) {\n\t\treturn [];\n\t}\n\treturn $tw.utils.parseStringArray(value);\n};\n\n/*\nGet all the fields as a hashmap of strings. Options:\n\texclude: an array of field names to exclude\n*/\nexports.getFieldStrings = function(options) {\n\toptions = options || {};\n\tvar exclude = options.exclude || [];\n\tvar fields = {};\n\tfor(var field in this.fields) {\n\t\tif($tw.utils.hop(this.fields,field)) {\n\t\t\tif(exclude.indexOf(field) === -1) {\n\t\t\t\tfields[field] = this.getFieldString(field);\n\t\t\t}\n\t\t}\n\t}\n\treturn fields;\n};\n\n/*\nGet all the fields as a name:value block. Options:\n\texclude: an array of field names to exclude\n*/\nexports.getFieldStringBlock = function(options) {\n\toptions = options || {};\n\tvar exclude = options.exclude || [],\n\t\tfields = Object.keys(this.fields).sort(),\n\t\tresult = [];\n\tfor(var t=0; t<fields.length; t++) {\n\t\tvar field = fields[t];\n\t\tif(exclude.indexOf(field) === -1) {\n\t\t\tresult.push(field + \": \" + this.getFieldString(field));\n\t\t}\n\t}\n\treturn result.join(\"\\n\");\n};\n\nexports.getFieldDay = function(field) {\n\tif(this.cache && this.cache.day && $tw.utils.hop(this.cache.day,field) ) {\n\t\treturn this.cache.day[field];\n\t}\n\tvar day = \"\";\n\tif(this.fields[field]) {\n\t\tday = (new Date($tw.utils.parseDate(this.fields[field]))).setHours(0,0,0,0);\n\t}\n\tthis.cache.day = this.cache.day || {};\n\tthis.cache.day[field] = day;\n\treturn day;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "tiddlermethod"
},
"$:/core/modules/upgraders/plugins.js": {
"title": "$:/core/modules/upgraders/plugins.js",
"text": "/*\\\ntitle: $:/core/modules/upgraders/plugins.js\ntype: application/javascript\nmodule-type: upgrader\n\nUpgrader module that checks that plugins are newer than any already installed version\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar UPGRADE_LIBRARY_TITLE = \"$:/UpgradeLibrary\";\n\nvar BLOCKED_PLUGINS = {\n\t\"$:/themes/tiddlywiki/stickytitles\": {\n\t\tversions: [\"*\"]\n\t},\n\t\"$:/plugins/tiddlywiki/fullscreen\": {\n\t\tversions: [\"*\"]\n\t}\n};\n\nexports.upgrade = function(wiki,titles,tiddlers) {\n\tvar self = this,\n\t\tmessages = {},\n\t\tupgradeLibrary,\n\t\tgetLibraryTiddler = function(title) {\n\t\t\tif(!upgradeLibrary) {\n\t\t\t\tupgradeLibrary = wiki.getTiddlerData(UPGRADE_LIBRARY_TITLE,{});\n\t\t\t\tupgradeLibrary.tiddlers = upgradeLibrary.tiddlers || {};\n\t\t\t}\n\t\t\treturn upgradeLibrary.tiddlers[title];\n\t\t};\n\n\t// Go through all the incoming tiddlers\n\t$tw.utils.each(titles,function(title) {\n\t\tvar incomingTiddler = tiddlers[title];\n\t\t// Check if we're dealing with a plugin\n\t\tif(incomingTiddler && incomingTiddler[\"plugin-type\"]) {\n\t\t\t// Check whether the plugin contains JS modules\n\t\t\tvar requiresReload = wiki.doesPluginInfoRequireReload(JSON.parse(incomingTiddler.text)) ? (wiki.getTiddlerText(\"$:/language/ControlPanel/Plugins/PluginWillRequireReload\") + \" \") : \"\";\n\t\t\tmessages[title] = requiresReload;\n\t\t\tif(incomingTiddler.version) {\n\t\t\t\t// Upgrade the incoming plugin if it is in the upgrade library\n\t\t\t\tvar libraryTiddler = getLibraryTiddler(title);\n\t\t\t\tif(libraryTiddler && libraryTiddler[\"plugin-type\"] && libraryTiddler.version) {\n\t\t\t\t\ttiddlers[title] = libraryTiddler;\n\t\t\t\t\tmessages[title] = requiresReload + $tw.language.getString(\"Import/Upgrader/Plugins/Upgraded\",{variables: {incoming: incomingTiddler.version, upgraded: libraryTiddler.version}});\n\t\t\t\t\treturn;\n\t\t\t\t}\n\t\t\t\t// Suppress the incoming plugin if it is older than the currently installed one\n\t\t\t\tvar existingTiddler = wiki.getTiddler(title);\n\t\t\t\tif(existingTiddler && existingTiddler.hasField(\"plugin-type\") && existingTiddler.hasField(\"version\")) {\n\t\t\t\t\t// Reject the incoming plugin by blanking all its fields\n\t\t\t\t\tif($tw.utils.checkVersions(existingTiddler.fields.version,incomingTiddler.version)) {\n\t\t\t\t\t\ttiddlers[title] = Object.create(null);\n\t\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/Plugins/Suppressed/Version\",{variables: {incoming: incomingTiddler.version, existing: existingTiddler.fields.version}});\n\t\t\t\t\t\treturn;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Check whether the plugin is on the blocked list\n\t\t\tvar blockInfo = BLOCKED_PLUGINS[title];\n\t\t\tif(blockInfo) {\n\t\t\t\tif(blockInfo.versions.indexOf(\"*\") !== -1 || (incomingTiddler.version && blockInfo.versions.indexOf(incomingTiddler.version) !== -1)) {\n\t\t\t\t\ttiddlers[title] = Object.create(null);\n\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/Plugins/Suppressed/Incompatible\");\n\t\t\t\t\treturn;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\treturn messages;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "upgrader"
},
"$:/core/modules/upgraders/system.js": {
"title": "$:/core/modules/upgraders/system.js",
"text": "/*\\\ntitle: $:/core/modules/upgraders/system.js\ntype: application/javascript\nmodule-type: upgrader\n\nUpgrader module that suppresses certain system tiddlers that shouldn't be imported\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar DONT_IMPORT_LIST = [\"$:/StoryList\",\"$:/HistoryList\"],\n\tDONT_IMPORT_PREFIX_LIST = [\"$:/temp/\",\"$:/state/\",\"$:/Import\"],\n\tWARN_IMPORT_PREFIX_LIST = [\"$:/core/modules/\"];\n\nexports.upgrade = function(wiki,titles,tiddlers) {\n\tvar self = this,\n\t\tmessages = {},\n\t\tshowAlert = false;\n\t// Check for tiddlers on our list\n\t$tw.utils.each(titles,function(title) {\n\t\tif(DONT_IMPORT_LIST.indexOf(title) !== -1) {\n\t\t\ttiddlers[title] = Object.create(null);\n\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/System/Suppressed\");\n\t\t} else {\n\t\t\tfor(var t=0; t<DONT_IMPORT_PREFIX_LIST.length; t++) {\n\t\t\t\tvar prefix = DONT_IMPORT_PREFIX_LIST[t];\n\t\t\t\tif(title.substr(0,prefix.length) === prefix) {\n\t\t\t\t\ttiddlers[title] = Object.create(null);\n\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/State/Suppressed\");\n\t\t\t\t}\n\t\t\t}\n\t\t\tfor(var t=0; t<WARN_IMPORT_PREFIX_LIST.length; t++) {\n\t\t\t\tvar prefix = WARN_IMPORT_PREFIX_LIST[t];\n\t\t\t\tif(title.substr(0,prefix.length) === prefix && wiki.isShadowTiddler(title)) {\n\t\t\t\t\tshowAlert = true;\n\t\t\t\t\tmessages[title] = $tw.language.getString(\"Import/Upgrader/System/Warning\");\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\tif(showAlert) {\n\t\tvar logger = new $tw.utils.Logger(\"import\");\n\t\tlogger.alert($tw.language.getString(\"Import/Upgrader/System/Alert\"));\n\t}\n\treturn messages;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "upgrader"
},
"$:/core/modules/upgraders/themetweaks.js": {
"title": "$:/core/modules/upgraders/themetweaks.js",
"text": "/*\\\ntitle: $:/core/modules/upgraders/themetweaks.js\ntype: application/javascript\nmodule-type: upgrader\n\nUpgrader module that handles the change in theme tweak storage introduced in 5.0.14-beta.\n\nPreviously, theme tweaks were stored in two data tiddlers:\n\n* $:/themes/tiddlywiki/vanilla/metrics\n* $:/themes/tiddlywiki/vanilla/settings\n\nNow, each tweak is stored in its own separate tiddler.\n\nThis upgrader copies any values from the old format to the new. The old data tiddlers are not deleted in case they have been used to store additional indexes.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar MAPPINGS = {\n\t\"$:/themes/tiddlywiki/vanilla/metrics\": {\n\t\t\"fontsize\": \"$:/themes/tiddlywiki/vanilla/metrics/fontsize\",\n\t\t\"lineheight\": \"$:/themes/tiddlywiki/vanilla/metrics/lineheight\",\n\t\t\"storyleft\": \"$:/themes/tiddlywiki/vanilla/metrics/storyleft\",\n\t\t\"storytop\": \"$:/themes/tiddlywiki/vanilla/metrics/storytop\",\n\t\t\"storyright\": \"$:/themes/tiddlywiki/vanilla/metrics/storyright\",\n\t\t\"storywidth\": \"$:/themes/tiddlywiki/vanilla/metrics/storywidth\",\n\t\t\"tiddlerwidth\": \"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\"\n\t},\n\t\"$:/themes/tiddlywiki/vanilla/settings\": {\n\t\t\"fontfamily\": \"$:/themes/tiddlywiki/vanilla/settings/fontfamily\"\n\t}\n};\n\nexports.upgrade = function(wiki,titles,tiddlers) {\n\tvar self = this,\n\t\tmessages = {};\n\t// Check for tiddlers on our list\n\t$tw.utils.each(titles,function(title) {\n\t\tvar mapping = MAPPINGS[title];\n\t\tif(mapping) {\n\t\t\tvar tiddler = new $tw.Tiddler(tiddlers[title]),\n\t\t\t\ttiddlerData = wiki.getTiddlerDataCached(tiddler,{});\n\t\t\tfor(var index in mapping) {\n\t\t\t\tvar mappedTitle = mapping[index];\n\t\t\t\tif(!tiddlers[mappedTitle] || tiddlers[mappedTitle].title !== mappedTitle) {\n\t\t\t\t\ttiddlers[mappedTitle] = {\n\t\t\t\t\t\ttitle: mappedTitle,\n\t\t\t\t\t\ttext: tiddlerData[index]\n\t\t\t\t\t};\n\t\t\t\t\tmessages[mappedTitle] = $tw.language.getString(\"Import/Upgrader/ThemeTweaks/Created\",{variables: {\n\t\t\t\t\t\tfrom: title + \"##\" + index\n\t\t\t\t\t}});\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\treturn messages;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "upgrader"
},
"$:/core/modules/utils/base64-utf8/base64-utf8.module.js": {
"text": "(function(){// From https://gist.github.com/Nijikokun/5192472\n//\n// UTF8 Module\n//\n// Cleaner and modularized utf-8 encoding and decoding library for javascript.\n//\n// copyright: MIT\n// author: Nijiko Yonskai, @nijikokun, nijikokun@gmail.com\n!function(r,e,o,t){void 0!==o.module&&o.module.exports?o.module.exports=e.apply(o):void 0!==o.define&&\"function\"===o.define&&o.define.amd?define(\"utf8\",[],e):o.utf8=e.apply(o)}(0,function(){return{encode:function(r){if(\"string\"!=typeof r)return r;r=r.replace(/\\r\\n/g,\"\\n\");for(var e,o=\"\",t=0;t<r.length;t++)if((e=r.charCodeAt(t))<128)o+=String.fromCharCode(e);else if(e>127&&e<2048)o+=String.fromCharCode(e>>6|192),o+=String.fromCharCode(63&e|128);else if(e>55295&&e<57344&&r.length>t+1){var i=e,n=r.charCodeAt(t+1);t++;var d=65536+(i-55296<<10|n-56320);o+=String.fromCharCode(d>>18|240),o+=String.fromCharCode(d>>12&63|128),o+=String.fromCharCode(d>>6&63|128),o+=String.fromCharCode(63&d|128)}else o+=String.fromCharCode(e>>12|224),o+=String.fromCharCode(e>>6&63|128),o+=String.fromCharCode(63&e|128);return o},decode:function(r){if(\"string\"!=typeof r)return r;for(var e=\"\",o=0,t=0;o<r.length;)if((t=r.charCodeAt(o))<128)e+=String.fromCharCode(t),o++;else if(t>191&&t<224)e+=String.fromCharCode((31&t)<<6|63&r.charCodeAt(o+1)),o+=2;else if(t>223&&t<240)e+=String.fromCharCode((15&t)<<12|(63&r.charCodeAt(o+1))<<6|63&r.charCodeAt(o+2)),o+=3;else{var i=(7&t)<<18|(63&r.charCodeAt(o+1))<<12|(63&r.charCodeAt(o+2))<<6|63&r.charCodeAt(o+3);e+=String.fromCharCode(55296+(i-65536>>10))+String.fromCharCode(56320+(i-65536&1023)),o+=4}return e}}},this),function(r,e,o,t){if(void 0!==o.module&&o.module.exports){if(t&&o.require)for(var i=0;i<t.length;i++)o[t[i]]=o.require(t[i]);o.module.exports=e.apply(o)}else void 0!==o.define&&\"function\"===o.define&&o.define.amd?define(\"base64\",t||[],e):o.base64=e.apply(o)}(0,function(r){var e=r||this.utf8,o=\"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/=\";return{encode:function(r){if(void 0===e)throw{error:\"MissingMethod\",message:\"UTF8 Module is missing.\"};if(\"string\"!=typeof r)return r;r=e.encode(r);for(var t,i,n,d,f,a,h,C=\"\",c=0;c<r.length;)d=(t=r.charCodeAt(c++))>>2,f=(3&t)<<4|(i=r.charCodeAt(c++))>>4,a=(15&i)<<2|(n=r.charCodeAt(c++))>>6,h=63&n,isNaN(i)?a=h=64:isNaN(n)&&(h=64),C+=o.charAt(d)+o.charAt(f)+o.charAt(a)+o.charAt(h);return C},decode:function(r){if(void 0===e)throw{error:\"MissingMethod\",message:\"UTF8 Module is missing.\"};if(\"string\"!=typeof r)return r;r=r.replace(/[^A-Za-z0-9\\+\\/\\=]/g,\"\");for(var t,i,n,d,f,a,h=\"\",C=0;C<r.length;)t=o.indexOf(r.charAt(C++))<<2|(d=o.indexOf(r.charAt(C++)))>>4,i=(15&d)<<4|(f=o.indexOf(r.charAt(C++)))>>2,n=(3&f)<<6|(a=o.indexOf(r.charAt(C++))),h+=String.fromCharCode(t),64!=f&&(h+=String.fromCharCode(i)),64!=a&&(h+=String.fromCharCode(n));return e.decode(h)}}},this,[\"utf8\"]);}).call(exports);",
"type": "application/javascript",
"title": "$:/core/modules/utils/base64-utf8/base64-utf8.module.js",
"module-type": "library"
},
"$:/core/modules/utils/crypto.js": {
"title": "$:/core/modules/utils/crypto.js",
"text": "/*\\\ntitle: $:/core/modules/utils/crypto.js\ntype: application/javascript\nmodule-type: utils\n\nUtility functions related to crypto.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nLook for an encrypted store area in the text of a TiddlyWiki file\n*/\nexports.extractEncryptedStoreArea = function(text) {\n\tvar encryptedStoreAreaStartMarker = \"<pre id=\\\"encryptedStoreArea\\\" type=\\\"text/plain\\\" style=\\\"display:none;\\\">\",\n\t\tencryptedStoreAreaStart = text.indexOf(encryptedStoreAreaStartMarker);\n\tif(encryptedStoreAreaStart !== -1) {\n\t\tvar encryptedStoreAreaEnd = text.indexOf(\"</pre>\",encryptedStoreAreaStart);\n\t\tif(encryptedStoreAreaEnd !== -1) {\n\t\t\treturn $tw.utils.htmlDecode(text.substring(encryptedStoreAreaStart + encryptedStoreAreaStartMarker.length,encryptedStoreAreaEnd-1));\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nAttempt to extract the tiddlers from an encrypted store area using the current password. If the password is not provided then the password in the password store will be used\n*/\nexports.decryptStoreArea = function(encryptedStoreArea,password) {\n\tvar decryptedText = $tw.crypto.decrypt(encryptedStoreArea,password);\n\tif(decryptedText) {\n\t\tvar json = JSON.parse(decryptedText),\n\t\t\ttiddlers = [];\n\t\tfor(var title in json) {\n\t\t\tif(title !== \"$:/isEncrypted\") {\n\t\t\t\ttiddlers.push(json[title]);\n\t\t\t}\n\t\t}\n\t\treturn tiddlers;\n\t} else {\n\t\treturn null;\n\t}\n};\n\n\n/*\nAttempt to extract the tiddlers from an encrypted store area using the current password. If that fails, the user is prompted for a password.\nencryptedStoreArea: text of the TiddlyWiki encrypted store area\ncallback: function(tiddlers) called with the array of decrypted tiddlers\n\nThe following configuration settings are supported:\n\n$tw.config.usePasswordVault: causes any password entered by the user to also be put into the system password vault\n*/\nexports.decryptStoreAreaInteractive = function(encryptedStoreArea,callback,options) {\n\t// Try to decrypt with the current password\n\tvar tiddlers = $tw.utils.decryptStoreArea(encryptedStoreArea);\n\tif(tiddlers) {\n\t\tcallback(tiddlers);\n\t} else {\n\t\t// Prompt for a new password and keep trying\n\t\t$tw.passwordPrompt.createPrompt({\n\t\t\tserviceName: \"Enter a password to decrypt the imported TiddlyWiki\",\n\t\t\tnoUserName: true,\n\t\t\tcanCancel: true,\n\t\t\tsubmitText: \"Decrypt\",\n\t\t\tcallback: function(data) {\n\t\t\t\t// Exit if the user cancelled\n\t\t\t\tif(!data) {\n\t\t\t\t\treturn false;\n\t\t\t\t}\n\t\t\t\t// Attempt to decrypt the tiddlers\n\t\t\t\tvar tiddlers = $tw.utils.decryptStoreArea(encryptedStoreArea,data.password);\n\t\t\t\tif(tiddlers) {\n\t\t\t\t\tif($tw.config.usePasswordVault) {\n\t\t\t\t\t\t$tw.crypto.setPassword(data.password);\n\t\t\t\t\t}\n\t\t\t\t\tcallback(tiddlers);\n\t\t\t\t\t// Exit and remove the password prompt\n\t\t\t\t\treturn true;\n\t\t\t\t} else {\n\t\t\t\t\t// We didn't decrypt everything, so continue to prompt for password\n\t\t\t\t\treturn false;\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/csv.js": {
"title": "$:/core/modules/utils/csv.js",
"text": "/*\\\ntitle: $:/core/modules/utils/csv.js\ntype: application/javascript\nmodule-type: utils\n\nA barebones CSV parser\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nParse a CSV string with a header row and return an array of hashmaps.\n*/\nexports.parseCsvStringWithHeader = function(text,options) {\n\toptions = options || {};\n\tvar separator = options.separator || \",\",\n\t\trows = text.split(/\\r?\\n/mg).map(function(row) {\n\t\t\treturn $tw.utils.trim(row);\n\t\t}).filter(function(row) {\n\t\t\treturn row !== \"\";\n\t\t});\n\tif(rows.length < 1) {\n\t\treturn \"Missing header row\";\n\t}\n\tvar headings = rows[0].split(separator),\n\t\tresults = [];\n\tfor(var row=1; row<rows.length; row++) {\n\t\tvar columns = rows[row].split(separator),\n\t\t\tcolumnResult = Object.create(null);\n\t\tif(columns.length !== headings.length) {\n\t\t\treturn \"Malformed CSV row '\" + rows[row] + \"'\";\n\t\t}\n\t\tfor(var column=0; column<columns.length; column++) {\n\t\t\tvar columnName = headings[column];\n\t\t\tcolumnResult[columnName] = $tw.utils.trim(columns[column] || \"\");\n\t\t}\n\t\tresults.push(columnResult);\t\t\t\n\t}\n\treturn results;\n}\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/diff-match-patch/diff_match_patch.js": {
"text": "(function(){function diff_match_patch(){this.Diff_Timeout=1;this.Diff_EditCost=4;this.Match_Threshold=.5;this.Match_Distance=1E3;this.Patch_DeleteThreshold=.5;this.Patch_Margin=4;this.Match_MaxBits=32}var DIFF_DELETE=-1,DIFF_INSERT=1,DIFF_EQUAL=0;\ndiff_match_patch.prototype.diff_main=function(a,b,c,d){\"undefined\"==typeof d&&(d=0>=this.Diff_Timeout?Number.MAX_VALUE:(new Date).getTime()+1E3*this.Diff_Timeout);if(null==a||null==b)throw Error(\"Null input. (diff_main)\");if(a==b)return a?[[DIFF_EQUAL,a]]:[];\"undefined\"==typeof c&&(c=!0);var e=c,f=this.diff_commonPrefix(a,b);c=a.substring(0,f);a=a.substring(f);b=b.substring(f);f=this.diff_commonSuffix(a,b);var g=a.substring(a.length-f);a=a.substring(0,a.length-f);b=b.substring(0,b.length-f);a=this.diff_compute_(a,\nb,e,d);c&&a.unshift([DIFF_EQUAL,c]);g&&a.push([DIFF_EQUAL,g]);this.diff_cleanupMerge(a);return a};\ndiff_match_patch.prototype.diff_compute_=function(a,b,c,d){if(!a)return[[DIFF_INSERT,b]];if(!b)return[[DIFF_DELETE,a]];var e=a.length>b.length?a:b,f=a.length>b.length?b:a,g=e.indexOf(f);return-1!=g?(c=[[DIFF_INSERT,e.substring(0,g)],[DIFF_EQUAL,f],[DIFF_INSERT,e.substring(g+f.length)]],a.length>b.length&&(c[0][0]=c[2][0]=DIFF_DELETE),c):1==f.length?[[DIFF_DELETE,a],[DIFF_INSERT,b]]:(e=this.diff_halfMatch_(a,b))?(b=e[1],f=e[3],a=e[4],e=this.diff_main(e[0],e[2],c,d),c=this.diff_main(b,f,c,d),e.concat([[DIFF_EQUAL,\na]],c)):c&&100<a.length&&100<b.length?this.diff_lineMode_(a,b,d):this.diff_bisect_(a,b,d)};\ndiff_match_patch.prototype.diff_lineMode_=function(a,b,c){var d=this.diff_linesToChars_(a,b);a=d.chars1;b=d.chars2;d=d.lineArray;a=this.diff_main(a,b,!1,c);this.diff_charsToLines_(a,d);this.diff_cleanupSemantic(a);a.push([DIFF_EQUAL,\"\"]);for(var e=d=b=0,f=\"\",g=\"\";b<a.length;){switch(a[b][0]){case DIFF_INSERT:e++;g+=a[b][1];break;case DIFF_DELETE:d++;f+=a[b][1];break;case DIFF_EQUAL:if(1<=d&&1<=e){a.splice(b-d-e,d+e);b=b-d-e;d=this.diff_main(f,g,!1,c);for(e=d.length-1;0<=e;e--)a.splice(b,0,d[e]);b+=\nd.length}d=e=0;g=f=\"\"}b++}a.pop();return a};\ndiff_match_patch.prototype.diff_bisect_=function(a,b,c){for(var d=a.length,e=b.length,f=Math.ceil((d+e)/2),g=2*f,h=Array(g),l=Array(g),k=0;k<g;k++)h[k]=-1,l[k]=-1;h[f+1]=0;l[f+1]=0;k=d-e;for(var m=0!=k%2,p=0,x=0,w=0,q=0,t=0;t<f&&!((new Date).getTime()>c);t++){for(var v=-t+p;v<=t-x;v+=2){var n=f+v;var r=v==-t||v!=t&&h[n-1]<h[n+1]?h[n+1]:h[n-1]+1;for(var y=r-v;r<d&&y<e&&a.charAt(r)==b.charAt(y);)r++,y++;h[n]=r;if(r>d)x+=2;else if(y>e)p+=2;else if(m&&(n=f+k-v,0<=n&&n<g&&-1!=l[n])){var u=d-l[n];if(r>=\nu)return this.diff_bisectSplit_(a,b,r,y,c)}}for(v=-t+w;v<=t-q;v+=2){n=f+v;u=v==-t||v!=t&&l[n-1]<l[n+1]?l[n+1]:l[n-1]+1;for(r=u-v;u<d&&r<e&&a.charAt(d-u-1)==b.charAt(e-r-1);)u++,r++;l[n]=u;if(u>d)q+=2;else if(r>e)w+=2;else if(!m&&(n=f+k-v,0<=n&&n<g&&-1!=h[n]&&(r=h[n],y=f+r-n,u=d-u,r>=u)))return this.diff_bisectSplit_(a,b,r,y,c)}}return[[DIFF_DELETE,a],[DIFF_INSERT,b]]};\ndiff_match_patch.prototype.diff_bisectSplit_=function(a,b,c,d,e){var f=a.substring(0,c),g=b.substring(0,d);a=a.substring(c);b=b.substring(d);f=this.diff_main(f,g,!1,e);e=this.diff_main(a,b,!1,e);return f.concat(e)};\ndiff_match_patch.prototype.diff_linesToChars_=function(a,b){function c(a){for(var b=\"\",c=0,f=-1,g=d.length;f<a.length-1;){f=a.indexOf(\"\\n\",c);-1==f&&(f=a.length-1);var h=a.substring(c,f+1);c=f+1;(e.hasOwnProperty?e.hasOwnProperty(h):void 0!==e[h])?b+=String.fromCharCode(e[h]):(b+=String.fromCharCode(g),e[h]=g,d[g++]=h)}return b}var d=[],e={};d[0]=\"\";var f=c(a),g=c(b);return{chars1:f,chars2:g,lineArray:d}};\ndiff_match_patch.prototype.diff_charsToLines_=function(a,b){for(var c=0;c<a.length;c++){for(var d=a[c][1],e=[],f=0;f<d.length;f++)e[f]=b[d.charCodeAt(f)];a[c][1]=e.join(\"\")}};diff_match_patch.prototype.diff_commonPrefix=function(a,b){if(!a||!b||a.charAt(0)!=b.charAt(0))return 0;for(var c=0,d=Math.min(a.length,b.length),e=d,f=0;c<e;)a.substring(f,e)==b.substring(f,e)?f=c=e:d=e,e=Math.floor((d-c)/2+c);return e};\ndiff_match_patch.prototype.diff_commonSuffix=function(a,b){if(!a||!b||a.charAt(a.length-1)!=b.charAt(b.length-1))return 0;for(var c=0,d=Math.min(a.length,b.length),e=d,f=0;c<e;)a.substring(a.length-e,a.length-f)==b.substring(b.length-e,b.length-f)?f=c=e:d=e,e=Math.floor((d-c)/2+c);return e};\ndiff_match_patch.prototype.diff_commonOverlap_=function(a,b){var c=a.length,d=b.length;if(0==c||0==d)return 0;c>d?a=a.substring(c-d):c<d&&(b=b.substring(0,c));c=Math.min(c,d);if(a==b)return c;d=0;for(var e=1;;){var f=a.substring(c-e);f=b.indexOf(f);if(-1==f)return d;e+=f;if(0==f||a.substring(c-e)==b.substring(0,e))d=e,e++}};\ndiff_match_patch.prototype.diff_halfMatch_=function(a,b){function c(a,b,c){for(var d=a.substring(c,c+Math.floor(a.length/4)),e=-1,g=\"\",h,k,l,m;-1!=(e=b.indexOf(d,e+1));){var p=f.diff_commonPrefix(a.substring(c),b.substring(e)),u=f.diff_commonSuffix(a.substring(0,c),b.substring(0,e));g.length<u+p&&(g=b.substring(e-u,e)+b.substring(e,e+p),h=a.substring(0,c-u),k=a.substring(c+p),l=b.substring(0,e-u),m=b.substring(e+p))}return 2*g.length>=a.length?[h,k,l,m,g]:null}if(0>=this.Diff_Timeout)return null;\nvar d=a.length>b.length?a:b,e=a.length>b.length?b:a;if(4>d.length||2*e.length<d.length)return null;var f=this,g=c(d,e,Math.ceil(d.length/4));d=c(d,e,Math.ceil(d.length/2));if(g||d)g=d?g?g[4].length>d[4].length?g:d:d:g;else return null;if(a.length>b.length){d=g[0];e=g[1];var h=g[2];var l=g[3]}else h=g[0],l=g[1],d=g[2],e=g[3];return[d,e,h,l,g[4]]};\ndiff_match_patch.prototype.diff_cleanupSemantic=function(a){for(var b=!1,c=[],d=0,e=null,f=0,g=0,h=0,l=0,k=0;f<a.length;)a[f][0]==DIFF_EQUAL?(c[d++]=f,g=l,h=k,k=l=0,e=a[f][1]):(a[f][0]==DIFF_INSERT?l+=a[f][1].length:k+=a[f][1].length,e&&e.length<=Math.max(g,h)&&e.length<=Math.max(l,k)&&(a.splice(c[d-1],0,[DIFF_DELETE,e]),a[c[d-1]+1][0]=DIFF_INSERT,d--,d--,f=0<d?c[d-1]:-1,k=l=h=g=0,e=null,b=!0)),f++;b&&this.diff_cleanupMerge(a);this.diff_cleanupSemanticLossless(a);for(f=1;f<a.length;){if(a[f-1][0]==\nDIFF_DELETE&&a[f][0]==DIFF_INSERT){b=a[f-1][1];c=a[f][1];d=this.diff_commonOverlap_(b,c);e=this.diff_commonOverlap_(c,b);if(d>=e){if(d>=b.length/2||d>=c.length/2)a.splice(f,0,[DIFF_EQUAL,c.substring(0,d)]),a[f-1][1]=b.substring(0,b.length-d),a[f+1][1]=c.substring(d),f++}else if(e>=b.length/2||e>=c.length/2)a.splice(f,0,[DIFF_EQUAL,b.substring(0,e)]),a[f-1][0]=DIFF_INSERT,a[f-1][1]=c.substring(0,c.length-e),a[f+1][0]=DIFF_DELETE,a[f+1][1]=b.substring(e),f++;f++}f++}};\ndiff_match_patch.prototype.diff_cleanupSemanticLossless=function(a){function b(a,b){if(!a||!b)return 6;var c=a.charAt(a.length-1),d=b.charAt(0),e=c.match(diff_match_patch.nonAlphaNumericRegex_),f=d.match(diff_match_patch.nonAlphaNumericRegex_),g=e&&c.match(diff_match_patch.whitespaceRegex_),h=f&&d.match(diff_match_patch.whitespaceRegex_);c=g&&c.match(diff_match_patch.linebreakRegex_);d=h&&d.match(diff_match_patch.linebreakRegex_);var k=c&&a.match(diff_match_patch.blanklineEndRegex_),l=d&&b.match(diff_match_patch.blanklineStartRegex_);\nreturn k||l?5:c||d?4:e&&!g&&h?3:g||h?2:e||f?1:0}for(var c=1;c<a.length-1;){if(a[c-1][0]==DIFF_EQUAL&&a[c+1][0]==DIFF_EQUAL){var d=a[c-1][1],e=a[c][1],f=a[c+1][1],g=this.diff_commonSuffix(d,e);if(g){var h=e.substring(e.length-g);d=d.substring(0,d.length-g);e=h+e.substring(0,e.length-g);f=h+f}g=d;h=e;for(var l=f,k=b(d,e)+b(e,f);e.charAt(0)===f.charAt(0);){d+=e.charAt(0);e=e.substring(1)+f.charAt(0);f=f.substring(1);var m=b(d,e)+b(e,f);m>=k&&(k=m,g=d,h=e,l=f)}a[c-1][1]!=g&&(g?a[c-1][1]=g:(a.splice(c-\n1,1),c--),a[c][1]=h,l?a[c+1][1]=l:(a.splice(c+1,1),c--))}c++}};diff_match_patch.nonAlphaNumericRegex_=/[^a-zA-Z0-9]/;diff_match_patch.whitespaceRegex_=/\\s/;diff_match_patch.linebreakRegex_=/[\\r\\n]/;diff_match_patch.blanklineEndRegex_=/\\n\\r?\\n$/;diff_match_patch.blanklineStartRegex_=/^\\r?\\n\\r?\\n/;\ndiff_match_patch.prototype.diff_cleanupEfficiency=function(a){for(var b=!1,c=[],d=0,e=null,f=0,g=!1,h=!1,l=!1,k=!1;f<a.length;)a[f][0]==DIFF_EQUAL?(a[f][1].length<this.Diff_EditCost&&(l||k)?(c[d++]=f,g=l,h=k,e=a[f][1]):(d=0,e=null),l=k=!1):(a[f][0]==DIFF_DELETE?k=!0:l=!0,e&&(g&&h&&l&&k||e.length<this.Diff_EditCost/2&&3==g+h+l+k)&&(a.splice(c[d-1],0,[DIFF_DELETE,e]),a[c[d-1]+1][0]=DIFF_INSERT,d--,e=null,g&&h?(l=k=!0,d=0):(d--,f=0<d?c[d-1]:-1,l=k=!1),b=!0)),f++;b&&this.diff_cleanupMerge(a)};\ndiff_match_patch.prototype.diff_cleanupMerge=function(a){a.push([DIFF_EQUAL,\"\"]);for(var b=0,c=0,d=0,e=\"\",f=\"\",g;b<a.length;)switch(a[b][0]){case DIFF_INSERT:d++;f+=a[b][1];b++;break;case DIFF_DELETE:c++;e+=a[b][1];b++;break;case DIFF_EQUAL:1<c+d?(0!==c&&0!==d&&(g=this.diff_commonPrefix(f,e),0!==g&&(0<b-c-d&&a[b-c-d-1][0]==DIFF_EQUAL?a[b-c-d-1][1]+=f.substring(0,g):(a.splice(0,0,[DIFF_EQUAL,f.substring(0,g)]),b++),f=f.substring(g),e=e.substring(g)),g=this.diff_commonSuffix(f,e),0!==g&&(a[b][1]=f.substring(f.length-\ng)+a[b][1],f=f.substring(0,f.length-g),e=e.substring(0,e.length-g))),0===c?a.splice(b-d,c+d,[DIFF_INSERT,f]):0===d?a.splice(b-c,c+d,[DIFF_DELETE,e]):a.splice(b-c-d,c+d,[DIFF_DELETE,e],[DIFF_INSERT,f]),b=b-c-d+(c?1:0)+(d?1:0)+1):0!==b&&a[b-1][0]==DIFF_EQUAL?(a[b-1][1]+=a[b][1],a.splice(b,1)):b++,c=d=0,f=e=\"\"}\"\"===a[a.length-1][1]&&a.pop();c=!1;for(b=1;b<a.length-1;)a[b-1][0]==DIFF_EQUAL&&a[b+1][0]==DIFF_EQUAL&&(a[b][1].substring(a[b][1].length-a[b-1][1].length)==a[b-1][1]?(a[b][1]=a[b-1][1]+a[b][1].substring(0,\na[b][1].length-a[b-1][1].length),a[b+1][1]=a[b-1][1]+a[b+1][1],a.splice(b-1,1),c=!0):a[b][1].substring(0,a[b+1][1].length)==a[b+1][1]&&(a[b-1][1]+=a[b+1][1],a[b][1]=a[b][1].substring(a[b+1][1].length)+a[b+1][1],a.splice(b+1,1),c=!0)),b++;c&&this.diff_cleanupMerge(a)};\ndiff_match_patch.prototype.diff_xIndex=function(a,b){var c=0,d=0,e=0,f=0,g;for(g=0;g<a.length;g++){a[g][0]!==DIFF_INSERT&&(c+=a[g][1].length);a[g][0]!==DIFF_DELETE&&(d+=a[g][1].length);if(c>b)break;e=c;f=d}return a.length!=g&&a[g][0]===DIFF_DELETE?f:f+(b-e)};\ndiff_match_patch.prototype.diff_prettyHtml=function(a){for(var b=[],c=/&/g,d=/</g,e=/>/g,f=/\\n/g,g=0;g<a.length;g++){var h=a[g][0],l=a[g][1].replace(c,\"&\").replace(d,\"<\").replace(e,\">\").replace(f,\"¶<br>\");switch(h){case DIFF_INSERT:b[g]='<ins style=\"background:#e6ffe6;\">'+l+\"</ins>\";break;case DIFF_DELETE:b[g]='<del style=\"background:#ffe6e6;\">'+l+\"</del>\";break;case DIFF_EQUAL:b[g]=\"<span>\"+l+\"</span>\"}}return b.join(\"\")};\ndiff_match_patch.prototype.diff_text1=function(a){for(var b=[],c=0;c<a.length;c++)a[c][0]!==DIFF_INSERT&&(b[c]=a[c][1]);return b.join(\"\")};diff_match_patch.prototype.diff_text2=function(a){for(var b=[],c=0;c<a.length;c++)a[c][0]!==DIFF_DELETE&&(b[c]=a[c][1]);return b.join(\"\")};\ndiff_match_patch.prototype.diff_levenshtein=function(a){for(var b=0,c=0,d=0,e=0;e<a.length;e++){var f=a[e][1];switch(a[e][0]){case DIFF_INSERT:c+=f.length;break;case DIFF_DELETE:d+=f.length;break;case DIFF_EQUAL:b+=Math.max(c,d),d=c=0}}return b+=Math.max(c,d)};\ndiff_match_patch.prototype.diff_toDelta=function(a){for(var b=[],c=0;c<a.length;c++)switch(a[c][0]){case DIFF_INSERT:b[c]=\"+\"+encodeURI(a[c][1]);break;case DIFF_DELETE:b[c]=\"-\"+a[c][1].length;break;case DIFF_EQUAL:b[c]=\"=\"+a[c][1].length}return b.join(\"\\t\").replace(/%20/g,\" \")};\ndiff_match_patch.prototype.diff_fromDelta=function(a,b){for(var c=[],d=0,e=0,f=b.split(/\\t/g),g=0;g<f.length;g++){var h=f[g].substring(1);switch(f[g].charAt(0)){case \"+\":try{c[d++]=[DIFF_INSERT,decodeURI(h)]}catch(k){throw Error(\"Illegal escape in diff_fromDelta: \"+h);}break;case \"-\":case \"=\":var l=parseInt(h,10);if(isNaN(l)||0>l)throw Error(\"Invalid number in diff_fromDelta: \"+h);h=a.substring(e,e+=l);\"=\"==f[g].charAt(0)?c[d++]=[DIFF_EQUAL,h]:c[d++]=[DIFF_DELETE,h];break;default:if(f[g])throw Error(\"Invalid diff operation in diff_fromDelta: \"+\nf[g]);}}if(e!=a.length)throw Error(\"Delta length (\"+e+\") does not equal source text length (\"+a.length+\").\");return c};diff_match_patch.prototype.match_main=function(a,b,c){if(null==a||null==b||null==c)throw Error(\"Null input. (match_main)\");c=Math.max(0,Math.min(c,a.length));return a==b?0:a.length?a.substring(c,c+b.length)==b?c:this.match_bitap_(a,b,c):-1};\ndiff_match_patch.prototype.match_bitap_=function(a,b,c){function d(a,d){var e=a/b.length,g=Math.abs(c-d);return f.Match_Distance?e+g/f.Match_Distance:g?1:e}if(b.length>this.Match_MaxBits)throw Error(\"Pattern too long for this browser.\");var e=this.match_alphabet_(b),f=this,g=this.Match_Threshold,h=a.indexOf(b,c);-1!=h&&(g=Math.min(d(0,h),g),h=a.lastIndexOf(b,c+b.length),-1!=h&&(g=Math.min(d(0,h),g)));var l=1<<b.length-1;h=-1;for(var k,m,p=b.length+a.length,x,w=0;w<b.length;w++){k=0;for(m=p;k<m;)d(w,\nc+m)<=g?k=m:p=m,m=Math.floor((p-k)/2+k);p=m;k=Math.max(1,c-m+1);var q=Math.min(c+m,a.length)+b.length;m=Array(q+2);for(m[q+1]=(1<<w)-1;q>=k;q--){var t=e[a.charAt(q-1)];m[q]=0===w?(m[q+1]<<1|1)&t:(m[q+1]<<1|1)&t|(x[q+1]|x[q])<<1|1|x[q+1];if(m[q]&l&&(t=d(w,q-1),t<=g))if(g=t,h=q-1,h>c)k=Math.max(1,2*c-h);else break}if(d(w+1,c)>g)break;x=m}return h};\ndiff_match_patch.prototype.match_alphabet_=function(a){for(var b={},c=0;c<a.length;c++)b[a.charAt(c)]=0;for(c=0;c<a.length;c++)b[a.charAt(c)]|=1<<a.length-c-1;return b};\ndiff_match_patch.prototype.patch_addContext_=function(a,b){if(0!=b.length){for(var c=b.substring(a.start2,a.start2+a.length1),d=0;b.indexOf(c)!=b.lastIndexOf(c)&&c.length<this.Match_MaxBits-this.Patch_Margin-this.Patch_Margin;)d+=this.Patch_Margin,c=b.substring(a.start2-d,a.start2+a.length1+d);d+=this.Patch_Margin;(c=b.substring(a.start2-d,a.start2))&&a.diffs.unshift([DIFF_EQUAL,c]);(d=b.substring(a.start2+a.length1,a.start2+a.length1+d))&&a.diffs.push([DIFF_EQUAL,d]);a.start1-=c.length;a.start2-=\nc.length;a.length1+=c.length+d.length;a.length2+=c.length+d.length}};\ndiff_match_patch.prototype.patch_make=function(a,b,c){if(\"string\"==typeof a&&\"string\"==typeof b&&\"undefined\"==typeof c){var d=a;b=this.diff_main(d,b,!0);2<b.length&&(this.diff_cleanupSemantic(b),this.diff_cleanupEfficiency(b))}else if(a&&\"object\"==typeof a&&\"undefined\"==typeof b&&\"undefined\"==typeof c)b=a,d=this.diff_text1(b);else if(\"string\"==typeof a&&b&&\"object\"==typeof b&&\"undefined\"==typeof c)d=a;else if(\"string\"==typeof a&&\"string\"==typeof b&&c&&\"object\"==typeof c)d=a,b=c;else throw Error(\"Unknown call format to patch_make.\");\nif(0===b.length)return[];c=[];a=new diff_match_patch.patch_obj;for(var e=0,f=0,g=0,h=d,l=0;l<b.length;l++){var k=b[l][0],m=b[l][1];e||k===DIFF_EQUAL||(a.start1=f,a.start2=g);switch(k){case DIFF_INSERT:a.diffs[e++]=b[l];a.length2+=m.length;d=d.substring(0,g)+m+d.substring(g);break;case DIFF_DELETE:a.length1+=m.length;a.diffs[e++]=b[l];d=d.substring(0,g)+d.substring(g+m.length);break;case DIFF_EQUAL:m.length<=2*this.Patch_Margin&&e&&b.length!=l+1?(a.diffs[e++]=b[l],a.length1+=m.length,a.length2+=m.length):\nm.length>=2*this.Patch_Margin&&e&&(this.patch_addContext_(a,h),c.push(a),a=new diff_match_patch.patch_obj,e=0,h=d,f=g)}k!==DIFF_INSERT&&(f+=m.length);k!==DIFF_DELETE&&(g+=m.length)}e&&(this.patch_addContext_(a,h),c.push(a));return c};\ndiff_match_patch.prototype.patch_deepCopy=function(a){for(var b=[],c=0;c<a.length;c++){var d=a[c],e=new diff_match_patch.patch_obj;e.diffs=[];for(var f=0;f<d.diffs.length;f++)e.diffs[f]=d.diffs[f].slice();e.start1=d.start1;e.start2=d.start2;e.length1=d.length1;e.length2=d.length2;b[c]=e}return b};\ndiff_match_patch.prototype.patch_apply=function(a,b){if(0==a.length)return[b,[]];a=this.patch_deepCopy(a);var c=this.patch_addPadding(a);b=c+b+c;this.patch_splitMax(a);for(var d=0,e=[],f=0;f<a.length;f++){var g=a[f].start2+d,h=this.diff_text1(a[f].diffs),l=-1;if(h.length>this.Match_MaxBits){var k=this.match_main(b,h.substring(0,this.Match_MaxBits),g);-1!=k&&(l=this.match_main(b,h.substring(h.length-this.Match_MaxBits),g+h.length-this.Match_MaxBits),-1==l||k>=l)&&(k=-1)}else k=this.match_main(b,h,\ng);if(-1==k)e[f]=!1,d-=a[f].length2-a[f].length1;else if(e[f]=!0,d=k-g,g=-1==l?b.substring(k,k+h.length):b.substring(k,l+this.Match_MaxBits),h==g)b=b.substring(0,k)+this.diff_text2(a[f].diffs)+b.substring(k+h.length);else if(g=this.diff_main(h,g,!1),h.length>this.Match_MaxBits&&this.diff_levenshtein(g)/h.length>this.Patch_DeleteThreshold)e[f]=!1;else{this.diff_cleanupSemanticLossless(g);h=0;var m;for(l=0;l<a[f].diffs.length;l++){var p=a[f].diffs[l];p[0]!==DIFF_EQUAL&&(m=this.diff_xIndex(g,h));p[0]===\nDIFF_INSERT?b=b.substring(0,k+m)+p[1]+b.substring(k+m):p[0]===DIFF_DELETE&&(b=b.substring(0,k+m)+b.substring(k+this.diff_xIndex(g,h+p[1].length)));p[0]!==DIFF_DELETE&&(h+=p[1].length)}}}b=b.substring(c.length,b.length-c.length);return[b,e]};\ndiff_match_patch.prototype.patch_addPadding=function(a){for(var b=this.Patch_Margin,c=\"\",d=1;d<=b;d++)c+=String.fromCharCode(d);for(d=0;d<a.length;d++)a[d].start1+=b,a[d].start2+=b;d=a[0];var e=d.diffs;if(0==e.length||e[0][0]!=DIFF_EQUAL)e.unshift([DIFF_EQUAL,c]),d.start1-=b,d.start2-=b,d.length1+=b,d.length2+=b;else if(b>e[0][1].length){var f=b-e[0][1].length;e[0][1]=c.substring(e[0][1].length)+e[0][1];d.start1-=f;d.start2-=f;d.length1+=f;d.length2+=f}d=a[a.length-1];e=d.diffs;0==e.length||e[e.length-\n1][0]!=DIFF_EQUAL?(e.push([DIFF_EQUAL,c]),d.length1+=b,d.length2+=b):b>e[e.length-1][1].length&&(f=b-e[e.length-1][1].length,e[e.length-1][1]+=c.substring(0,f),d.length1+=f,d.length2+=f);return c};\ndiff_match_patch.prototype.patch_splitMax=function(a){for(var b=this.Match_MaxBits,c=0;c<a.length;c++)if(!(a[c].length1<=b)){var d=a[c];a.splice(c--,1);for(var e=d.start1,f=d.start2,g=\"\";0!==d.diffs.length;){var h=new diff_match_patch.patch_obj,l=!0;h.start1=e-g.length;h.start2=f-g.length;\"\"!==g&&(h.length1=h.length2=g.length,h.diffs.push([DIFF_EQUAL,g]));for(;0!==d.diffs.length&&h.length1<b-this.Patch_Margin;){g=d.diffs[0][0];var k=d.diffs[0][1];g===DIFF_INSERT?(h.length2+=k.length,f+=k.length,h.diffs.push(d.diffs.shift()),\nl=!1):g===DIFF_DELETE&&1==h.diffs.length&&h.diffs[0][0]==DIFF_EQUAL&&k.length>2*b?(h.length1+=k.length,e+=k.length,l=!1,h.diffs.push([g,k]),d.diffs.shift()):(k=k.substring(0,b-h.length1-this.Patch_Margin),h.length1+=k.length,e+=k.length,g===DIFF_EQUAL?(h.length2+=k.length,f+=k.length):l=!1,h.diffs.push([g,k]),k==d.diffs[0][1]?d.diffs.shift():d.diffs[0][1]=d.diffs[0][1].substring(k.length))}g=this.diff_text2(h.diffs);g=g.substring(g.length-this.Patch_Margin);k=this.diff_text1(d.diffs).substring(0,\nthis.Patch_Margin);\"\"!==k&&(h.length1+=k.length,h.length2+=k.length,0!==h.diffs.length&&h.diffs[h.diffs.length-1][0]===DIFF_EQUAL?h.diffs[h.diffs.length-1][1]+=k:h.diffs.push([DIFF_EQUAL,k]));l||a.splice(++c,0,h)}}};diff_match_patch.prototype.patch_toText=function(a){for(var b=[],c=0;c<a.length;c++)b[c]=a[c];return b.join(\"\")};\ndiff_match_patch.prototype.patch_fromText=function(a){var b=[];if(!a)return b;a=a.split(\"\\n\");for(var c=0,d=/^@@ -(\\d+),?(\\d*) \\+(\\d+),?(\\d*) @@$/;c<a.length;){var e=a[c].match(d);if(!e)throw Error(\"Invalid patch string: \"+a[c]);var f=new diff_match_patch.patch_obj;b.push(f);f.start1=parseInt(e[1],10);\"\"===e[2]?(f.start1--,f.length1=1):\"0\"==e[2]?f.length1=0:(f.start1--,f.length1=parseInt(e[2],10));f.start2=parseInt(e[3],10);\"\"===e[4]?(f.start2--,f.length2=1):\"0\"==e[4]?f.length2=0:(f.start2--,f.length2=\nparseInt(e[4],10));for(c++;c<a.length;){e=a[c].charAt(0);try{var g=decodeURI(a[c].substring(1))}catch(h){throw Error(\"Illegal escape in patch_fromText: \"+g);}if(\"-\"==e)f.diffs.push([DIFF_DELETE,g]);else if(\"+\"==e)f.diffs.push([DIFF_INSERT,g]);else if(\" \"==e)f.diffs.push([DIFF_EQUAL,g]);else if(\"@\"==e)break;else if(\"\"!==e)throw Error('Invalid patch mode \"'+e+'\" in: '+g);c++}}return b};diff_match_patch.patch_obj=function(){this.diffs=[];this.start2=this.start1=null;this.length2=this.length1=0};\ndiff_match_patch.patch_obj.prototype.toString=function(){for(var a=[\"@@ -\"+(0===this.length1?this.start1+\",0\":1==this.length1?this.start1+1:this.start1+1+\",\"+this.length1)+\" +\"+(0===this.length2?this.start2+\",0\":1==this.length2?this.start2+1:this.start2+1+\",\"+this.length2)+\" @@\\n\"],b,c=0;c<this.diffs.length;c++){switch(this.diffs[c][0]){case DIFF_INSERT:b=\"+\";break;case DIFF_DELETE:b=\"-\";break;case DIFF_EQUAL:b=\" \"}a[c+1]=b+encodeURI(this.diffs[c][1])+\"\\n\"}return a.join(\"\").replace(/%20/g,\" \")};\nthis.diff_match_patch=diff_match_patch;this.DIFF_DELETE=DIFF_DELETE;this.DIFF_INSERT=DIFF_INSERT;this.DIFF_EQUAL=DIFF_EQUAL;\n}).call(exports);",
"type": "application/javascript",
"title": "$:/core/modules/utils/diff-match-patch/diff_match_patch.js",
"module-type": "library"
},
"$:/core/modules/utils/dom/animations/slide.js": {
"title": "$:/core/modules/utils/dom/animations/slide.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/animations/slide.js\ntype: application/javascript\nmodule-type: animation\n\nA simple slide animation that varies the height of the element\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction slideOpen(domNode,options) {\n\toptions = options || {};\n\tvar duration = options.duration || $tw.utils.getAnimationDuration();\n\t// Get the current height of the domNode\n\tvar computedStyle = window.getComputedStyle(domNode),\n\t\tcurrMarginBottom = parseInt(computedStyle.marginBottom,10),\n\t\tcurrMarginTop = parseInt(computedStyle.marginTop,10),\n\t\tcurrPaddingBottom = parseInt(computedStyle.paddingBottom,10),\n\t\tcurrPaddingTop = parseInt(computedStyle.paddingTop,10),\n\t\tcurrHeight = domNode.offsetHeight;\n\t// Reset the margin once the transition is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(domNode,[\n\t\t\t{transition: \"none\"},\n\t\t\t{marginBottom: \"\"},\n\t\t\t{marginTop: \"\"},\n\t\t\t{paddingBottom: \"\"},\n\t\t\t{paddingTop: \"\"},\n\t\t\t{height: \"auto\"},\n\t\t\t{opacity: \"\"}\n\t\t]);\n\t\tif(options.callback) {\n\t\t\toptions.callback();\n\t\t}\n\t},duration);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(domNode,[\n\t\t{transition: \"none\"},\n\t\t{marginTop: \"0px\"},\n\t\t{marginBottom: \"0px\"},\n\t\t{paddingTop: \"0px\"},\n\t\t{paddingBottom: \"0px\"},\n\t\t{height: \"0px\"},\n\t\t{opacity: \"0\"}\n\t]);\n\t$tw.utils.forceLayout(domNode);\n\t// Transition to the final position\n\t$tw.utils.setStyle(domNode,[\n\t\t{transition: \"margin-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"margin-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"height \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{marginBottom: currMarginBottom + \"px\"},\n\t\t{marginTop: currMarginTop + \"px\"},\n\t\t{paddingBottom: currPaddingBottom + \"px\"},\n\t\t{paddingTop: currPaddingTop + \"px\"},\n\t\t{height: currHeight + \"px\"},\n\t\t{opacity: \"1\"}\n\t]);\n}\n\nfunction slideClosed(domNode,options) {\n\toptions = options || {};\n\tvar duration = options.duration || $tw.utils.getAnimationDuration(),\n\t\tcurrHeight = domNode.offsetHeight;\n\t// Clear the properties we've set when the animation is over\n\tsetTimeout(function() {\n\t\t$tw.utils.setStyle(domNode,[\n\t\t\t{transition: \"none\"},\n\t\t\t{marginBottom: \"\"},\n\t\t\t{marginTop: \"\"},\n\t\t\t{paddingBottom: \"\"},\n\t\t\t{paddingTop: \"\"},\n\t\t\t{height: \"auto\"},\n\t\t\t{opacity: \"\"}\n\t\t]);\n\t\tif(options.callback) {\n\t\t\toptions.callback();\n\t\t}\n\t},duration);\n\t// Set up the initial position of the element\n\t$tw.utils.setStyle(domNode,[\n\t\t{height: currHeight + \"px\"},\n\t\t{opacity: \"1\"}\n\t]);\n\t$tw.utils.forceLayout(domNode);\n\t// Transition to the final position\n\t$tw.utils.setStyle(domNode,[\n\t\t{transition: \"margin-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"margin-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-top \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"padding-bottom \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"height \" + duration + \"ms ease-in-out, \" +\n\t\t\t\t\t\"opacity \" + duration + \"ms ease-in-out\"},\n\t\t{marginTop: \"0px\"},\n\t\t{marginBottom: \"0px\"},\n\t\t{paddingTop: \"0px\"},\n\t\t{paddingBottom: \"0px\"},\n\t\t{height: \"0px\"},\n\t\t{opacity: \"0\"}\n\t]);\n}\n\nexports.slide = {\n\topen: slideOpen,\n\tclose: slideClosed\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "animation"
},
"$:/core/modules/utils/dom/animator.js": {
"title": "$:/core/modules/utils/dom/animator.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/animator.js\ntype: application/javascript\nmodule-type: utils\n\nOrchestrates animations and transitions\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction Animator() {\n\t// Get the registered animation modules\n\tthis.animations = {};\n\t$tw.modules.applyMethods(\"animation\",this.animations);\n}\n\nAnimator.prototype.perform = function(type,domNode,options) {\n\toptions = options || {};\n\t// Find an animation that can handle this type\n\tvar chosenAnimation;\n\t$tw.utils.each(this.animations,function(animation,name) {\n\t\tif($tw.utils.hop(animation,type)) {\n\t\t\tchosenAnimation = animation[type];\n\t\t}\n\t});\n\tif(!chosenAnimation) {\n\t\tchosenAnimation = function(domNode,options) {\n\t\t\tif(options.callback) {\n\t\t\t\toptions.callback();\n\t\t\t}\n\t\t};\n\t}\n\t// Call the animation\n\tchosenAnimation(domNode,options);\n};\n\nexports.Animator = Animator;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/browser.js": {
"title": "$:/core/modules/utils/dom/browser.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/browser.js\ntype: application/javascript\nmodule-type: utils\n\nBrowser feature detection\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nSet style properties of an element\n\telement: dom node\n\tstyles: ordered array of {name: value} pairs\n*/\nexports.setStyle = function(element,styles) {\n\tif(element.nodeType === 1) { // Element.ELEMENT_NODE\n\t\tfor(var t=0; t<styles.length; t++) {\n\t\t\tfor(var styleName in styles[t]) {\n\t\t\t\telement.style[$tw.utils.convertStyleNameToPropertyName(styleName)] = styles[t][styleName];\n\t\t\t}\n\t\t}\n\t}\n};\n\n/*\nConverts a standard CSS property name into the local browser-specific equivalent. For example:\n\t\"background-color\" --> \"backgroundColor\"\n\t\"transition\" --> \"webkitTransition\"\n*/\n\nvar styleNameCache = {}; // We'll cache the style name conversions\n\nexports.convertStyleNameToPropertyName = function(styleName) {\n\t// Return from the cache if we can\n\tif(styleNameCache[styleName]) {\n\t\treturn styleNameCache[styleName];\n\t}\n\t// Convert it by first removing any hyphens\n\tvar propertyName = $tw.utils.unHyphenateCss(styleName);\n\t// Then check if it needs a prefix\n\tif($tw.browser && document.body.style[propertyName] === undefined) {\n\t\tvar prefixes = [\"O\",\"MS\",\"Moz\",\"webkit\"];\n\t\tfor(var t=0; t<prefixes.length; t++) {\n\t\t\tvar prefixedName = prefixes[t] + propertyName.substr(0,1).toUpperCase() + propertyName.substr(1);\n\t\t\tif(document.body.style[prefixedName] !== undefined) {\n\t\t\t\tpropertyName = prefixedName;\n\t\t\t\tbreak;\n\t\t\t}\n\t\t}\n\t}\n\t// Put it in the cache too\n\tstyleNameCache[styleName] = propertyName;\n\treturn propertyName;\n};\n\n/*\nConverts a JS format CSS property name back into the dashed form used in CSS declarations. For example:\n\t\"backgroundColor\" --> \"background-color\"\n\t\"webkitTransform\" --> \"-webkit-transform\"\n*/\nexports.convertPropertyNameToStyleName = function(propertyName) {\n\t// Rehyphenate the name\n\tvar styleName = $tw.utils.hyphenateCss(propertyName);\n\t// If there's a webkit prefix, add a dash (other browsers have uppercase prefixes, and so get the dash automatically)\n\tif(styleName.indexOf(\"webkit\") === 0) {\n\t\tstyleName = \"-\" + styleName;\n\t} else if(styleName.indexOf(\"-m-s\") === 0) {\n\t\tstyleName = \"-ms\" + styleName.substr(4);\n\t}\n\treturn styleName;\n};\n\n/*\nRound trip a stylename to a property name and back again. For example:\n\t\"transform\" --> \"webkitTransform\" --> \"-webkit-transform\"\n*/\nexports.roundTripPropertyName = function(propertyName) {\n\treturn $tw.utils.convertPropertyNameToStyleName($tw.utils.convertStyleNameToPropertyName(propertyName));\n};\n\n/*\nConverts a standard event name into the local browser specific equivalent. For example:\n\t\"animationEnd\" --> \"webkitAnimationEnd\"\n*/\n\nvar eventNameCache = {}; // We'll cache the conversions\n\nvar eventNameMappings = {\n\t\"transitionEnd\": {\n\t\tcorrespondingCssProperty: \"transition\",\n\t\tmappings: {\n\t\t\ttransition: \"transitionend\",\n\t\t\tOTransition: \"oTransitionEnd\",\n\t\t\tMSTransition: \"msTransitionEnd\",\n\t\t\tMozTransition: \"transitionend\",\n\t\t\twebkitTransition: \"webkitTransitionEnd\"\n\t\t}\n\t},\n\t\"animationEnd\": {\n\t\tcorrespondingCssProperty: \"animation\",\n\t\tmappings: {\n\t\t\tanimation: \"animationend\",\n\t\t\tOAnimation: \"oAnimationEnd\",\n\t\t\tMSAnimation: \"msAnimationEnd\",\n\t\t\tMozAnimation: \"animationend\",\n\t\t\twebkitAnimation: \"webkitAnimationEnd\"\n\t\t}\n\t}\n};\n\nexports.convertEventName = function(eventName) {\n\tif(eventNameCache[eventName]) {\n\t\treturn eventNameCache[eventName];\n\t}\n\tvar newEventName = eventName,\n\t\tmappings = eventNameMappings[eventName];\n\tif(mappings) {\n\t\tvar convertedProperty = $tw.utils.convertStyleNameToPropertyName(mappings.correspondingCssProperty);\n\t\tif(mappings.mappings[convertedProperty]) {\n\t\t\tnewEventName = mappings.mappings[convertedProperty];\n\t\t}\n\t}\n\t// Put it in the cache too\n\teventNameCache[eventName] = newEventName;\n\treturn newEventName;\n};\n\n/*\nReturn the names of the fullscreen APIs\n*/\nexports.getFullScreenApis = function() {\n\tvar d = document,\n\t\tdb = d.body,\n\t\tresult = {\n\t\t\"_requestFullscreen\": db.webkitRequestFullscreen !== undefined ? \"webkitRequestFullscreen\" :\n\t\t\t\t\t\t\tdb.mozRequestFullScreen !== undefined ? \"mozRequestFullScreen\" :\n\t\t\t\t\t\t\tdb.msRequestFullscreen !== undefined ? \"msRequestFullscreen\" :\n\t\t\t\t\t\t\tdb.requestFullscreen !== undefined ? \"requestFullscreen\" : \"\",\n\t\t\"_exitFullscreen\": d.webkitExitFullscreen !== undefined ? \"webkitExitFullscreen\" :\n\t\t\t\t\t\t\td.mozCancelFullScreen !== undefined ? \"mozCancelFullScreen\" :\n\t\t\t\t\t\t\td.msExitFullscreen !== undefined ? \"msExitFullscreen\" :\n\t\t\t\t\t\t\td.exitFullscreen !== undefined ? \"exitFullscreen\" : \"\",\n\t\t\"_fullscreenElement\": d.webkitFullscreenElement !== undefined ? \"webkitFullscreenElement\" :\n\t\t\t\t\t\t\td.mozFullScreenElement !== undefined ? \"mozFullScreenElement\" :\n\t\t\t\t\t\t\td.msFullscreenElement !== undefined ? \"msFullscreenElement\" :\n\t\t\t\t\t\t\td.fullscreenElement !== undefined ? \"fullscreenElement\" : \"\",\n\t\t\"_fullscreenChange\": d.webkitFullscreenElement !== undefined ? \"webkitfullscreenchange\" :\n\t\t\t\t\t\t\td.mozFullScreenElement !== undefined ? \"mozfullscreenchange\" :\n\t\t\t\t\t\t\td.msFullscreenElement !== undefined ? \"MSFullscreenChange\" :\n\t\t\t\t\t\t\td.fullscreenElement !== undefined ? \"fullscreenchange\" : \"\"\n\t};\n\tif(!result._requestFullscreen || !result._exitFullscreen || !result._fullscreenElement || !result._fullscreenChange) {\n\t\treturn null;\n\t} else {\n\t\treturn result;\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/csscolorparser.js": {
"title": "$:/core/modules/utils/dom/csscolorparser.js",
"text": "// (c) Dean McNamee <dean@gmail.com>, 2012.\n//\n// https://github.com/deanm/css-color-parser-js\n//\n// Permission is hereby granted, free of charge, to any person obtaining a copy\n// of this software and associated documentation files (the \"Software\"), to\n// deal in the Software without restriction, including without limitation the\n// rights to use, copy, modify, merge, publish, distribute, sublicense, and/or\n// sell copies of the Software, and to permit persons to whom the Software is\n// furnished to do so, subject to the following conditions:\n//\n// The above copyright notice and this permission notice shall be included in\n// all copies or substantial portions of the Software.\n//\n// THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE\n// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING\n// FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS\n// IN THE SOFTWARE.\n\n// http://www.w3.org/TR/css3-color/\nvar kCSSColorTable = {\n \"transparent\": [0,0,0,0], \"aliceblue\": [240,248,255,1],\n \"antiquewhite\": [250,235,215,1], \"aqua\": [0,255,255,1],\n \"aquamarine\": [127,255,212,1], \"azure\": [240,255,255,1],\n \"beige\": [245,245,220,1], \"bisque\": [255,228,196,1],\n \"black\": [0,0,0,1], \"blanchedalmond\": [255,235,205,1],\n \"blue\": [0,0,255,1], \"blueviolet\": [138,43,226,1],\n \"brown\": [165,42,42,1], \"burlywood\": [222,184,135,1],\n \"cadetblue\": [95,158,160,1], \"chartreuse\": [127,255,0,1],\n \"chocolate\": [210,105,30,1], \"coral\": [255,127,80,1],\n \"cornflowerblue\": [100,149,237,1], \"cornsilk\": [255,248,220,1],\n \"crimson\": [220,20,60,1], \"cyan\": [0,255,255,1],\n \"darkblue\": [0,0,139,1], \"darkcyan\": [0,139,139,1],\n \"darkgoldenrod\": [184,134,11,1], \"darkgray\": [169,169,169,1],\n \"darkgreen\": [0,100,0,1], \"darkgrey\": [169,169,169,1],\n \"darkkhaki\": [189,183,107,1], \"darkmagenta\": [139,0,139,1],\n \"darkolivegreen\": [85,107,47,1], \"darkorange\": [255,140,0,1],\n \"darkorchid\": [153,50,204,1], \"darkred\": [139,0,0,1],\n \"darksalmon\": [233,150,122,1], \"darkseagreen\": [143,188,143,1],\n \"darkslateblue\": [72,61,139,1], \"darkslategray\": [47,79,79,1],\n \"darkslategrey\": [47,79,79,1], \"darkturquoise\": [0,206,209,1],\n \"darkviolet\": [148,0,211,1], \"deeppink\": [255,20,147,1],\n \"deepskyblue\": [0,191,255,1], \"dimgray\": [105,105,105,1],\n \"dimgrey\": [105,105,105,1], \"dodgerblue\": [30,144,255,1],\n \"firebrick\": [178,34,34,1], \"floralwhite\": [255,250,240,1],\n \"forestgreen\": [34,139,34,1], \"fuchsia\": [255,0,255,1],\n \"gainsboro\": [220,220,220,1], \"ghostwhite\": [248,248,255,1],\n \"gold\": [255,215,0,1], \"goldenrod\": [218,165,32,1],\n \"gray\": [128,128,128,1], \"green\": [0,128,0,1],\n \"greenyellow\": [173,255,47,1], \"grey\": [128,128,128,1],\n \"honeydew\": [240,255,240,1], \"hotpink\": [255,105,180,1],\n \"indianred\": [205,92,92,1], \"indigo\": [75,0,130,1],\n \"ivory\": [255,255,240,1], \"khaki\": [240,230,140,1],\n \"lavender\": [230,230,250,1], \"lavenderblush\": [255,240,245,1],\n \"lawngreen\": [124,252,0,1], \"lemonchiffon\": [255,250,205,1],\n \"lightblue\": [173,216,230,1], \"lightcoral\": [240,128,128,1],\n \"lightcyan\": [224,255,255,1], \"lightgoldenrodyellow\": [250,250,210,1],\n \"lightgray\": [211,211,211,1], \"lightgreen\": [144,238,144,1],\n \"lightgrey\": [211,211,211,1], \"lightpink\": [255,182,193,1],\n \"lightsalmon\": [255,160,122,1], \"lightseagreen\": [32,178,170,1],\n \"lightskyblue\": [135,206,250,1], \"lightslategray\": [119,136,153,1],\n \"lightslategrey\": [119,136,153,1], \"lightsteelblue\": [176,196,222,1],\n \"lightyellow\": [255,255,224,1], \"lime\": [0,255,0,1],\n \"limegreen\": [50,205,50,1], \"linen\": [250,240,230,1],\n \"magenta\": [255,0,255,1], \"maroon\": [128,0,0,1],\n \"mediumaquamarine\": [102,205,170,1], \"mediumblue\": [0,0,205,1],\n \"mediumorchid\": [186,85,211,1], \"mediumpurple\": [147,112,219,1],\n \"mediumseagreen\": [60,179,113,1], \"mediumslateblue\": [123,104,238,1],\n \"mediumspringgreen\": [0,250,154,1], \"mediumturquoise\": [72,209,204,1],\n \"mediumvioletred\": [199,21,133,1], \"midnightblue\": [25,25,112,1],\n \"mintcream\": [245,255,250,1], \"mistyrose\": [255,228,225,1],\n \"moccasin\": [255,228,181,1], \"navajowhite\": [255,222,173,1],\n \"navy\": [0,0,128,1], \"oldlace\": [253,245,230,1],\n \"olive\": [128,128,0,1], \"olivedrab\": [107,142,35,1],\n \"orange\": [255,165,0,1], \"orangered\": [255,69,0,1],\n \"orchid\": [218,112,214,1], \"palegoldenrod\": [238,232,170,1],\n \"palegreen\": [152,251,152,1], \"paleturquoise\": [175,238,238,1],\n \"palevioletred\": [219,112,147,1], \"papayawhip\": [255,239,213,1],\n \"peachpuff\": [255,218,185,1], \"peru\": [205,133,63,1],\n \"pink\": [255,192,203,1], \"plum\": [221,160,221,1],\n \"powderblue\": [176,224,230,1], \"purple\": [128,0,128,1],\n \"red\": [255,0,0,1], \"rosybrown\": [188,143,143,1],\n \"royalblue\": [65,105,225,1], \"saddlebrown\": [139,69,19,1],\n \"salmon\": [250,128,114,1], \"sandybrown\": [244,164,96,1],\n \"seagreen\": [46,139,87,1], \"seashell\": [255,245,238,1],\n \"sienna\": [160,82,45,1], \"silver\": [192,192,192,1],\n \"skyblue\": [135,206,235,1], \"slateblue\": [106,90,205,1],\n \"slategray\": [112,128,144,1], \"slategrey\": [112,128,144,1],\n \"snow\": [255,250,250,1], \"springgreen\": [0,255,127,1],\n \"steelblue\": [70,130,180,1], \"tan\": [210,180,140,1],\n \"teal\": [0,128,128,1], \"thistle\": [216,191,216,1],\n \"tomato\": [255,99,71,1], \"turquoise\": [64,224,208,1],\n \"violet\": [238,130,238,1], \"wheat\": [245,222,179,1],\n \"white\": [255,255,255,1], \"whitesmoke\": [245,245,245,1],\n \"yellow\": [255,255,0,1], \"yellowgreen\": [154,205,50,1]}\n\nfunction clamp_css_byte(i) { // Clamp to integer 0 .. 255.\n i = Math.round(i); // Seems to be what Chrome does (vs truncation).\n return i < 0 ? 0 : i > 255 ? 255 : i;\n}\n\nfunction clamp_css_float(f) { // Clamp to float 0.0 .. 1.0.\n return f < 0 ? 0 : f > 1 ? 1 : f;\n}\n\nfunction parse_css_int(str) { // int or percentage.\n if (str[str.length - 1] === '%')\n return clamp_css_byte(parseFloat(str) / 100 * 255);\n return clamp_css_byte(parseInt(str));\n}\n\nfunction parse_css_float(str) { // float or percentage.\n if (str[str.length - 1] === '%')\n return clamp_css_float(parseFloat(str) / 100);\n return clamp_css_float(parseFloat(str));\n}\n\nfunction css_hue_to_rgb(m1, m2, h) {\n if (h < 0) h += 1;\n else if (h > 1) h -= 1;\n\n if (h * 6 < 1) return m1 + (m2 - m1) * h * 6;\n if (h * 2 < 1) return m2;\n if (h * 3 < 2) return m1 + (m2 - m1) * (2/3 - h) * 6;\n return m1;\n}\n\nfunction parseCSSColor(css_str) {\n // Remove all whitespace, not compliant, but should just be more accepting.\n var str = css_str.replace(/ /g, '').toLowerCase();\n\n // Color keywords (and transparent) lookup.\n if (str in kCSSColorTable) return kCSSColorTable[str].slice(); // dup.\n\n // #abc and #abc123 syntax.\n if (str[0] === '#') {\n if (str.length === 4) {\n var iv = parseInt(str.substr(1), 16); // TODO(deanm): Stricter parsing.\n if (!(iv >= 0 && iv <= 0xfff)) return null; // Covers NaN.\n return [((iv & 0xf00) >> 4) | ((iv & 0xf00) >> 8),\n (iv & 0xf0) | ((iv & 0xf0) >> 4),\n (iv & 0xf) | ((iv & 0xf) << 4),\n 1];\n } else if (str.length === 7) {\n var iv = parseInt(str.substr(1), 16); // TODO(deanm): Stricter parsing.\n if (!(iv >= 0 && iv <= 0xffffff)) return null; // Covers NaN.\n return [(iv & 0xff0000) >> 16,\n (iv & 0xff00) >> 8,\n iv & 0xff,\n 1];\n }\n\n return null;\n }\n\n var op = str.indexOf('('), ep = str.indexOf(')');\n if (op !== -1 && ep + 1 === str.length) {\n var fname = str.substr(0, op);\n var params = str.substr(op+1, ep-(op+1)).split(',');\n var alpha = 1; // To allow case fallthrough.\n switch (fname) {\n case 'rgba':\n if (params.length !== 4) return null;\n alpha = parse_css_float(params.pop());\n // Fall through.\n case 'rgb':\n if (params.length !== 3) return null;\n return [parse_css_int(params[0]),\n parse_css_int(params[1]),\n parse_css_int(params[2]),\n alpha];\n case 'hsla':\n if (params.length !== 4) return null;\n alpha = parse_css_float(params.pop());\n // Fall through.\n case 'hsl':\n if (params.length !== 3) return null;\n var h = (((parseFloat(params[0]) % 360) + 360) % 360) / 360; // 0 .. 1\n // NOTE(deanm): According to the CSS spec s/l should only be\n // percentages, but we don't bother and let float or percentage.\n var s = parse_css_float(params[1]);\n var l = parse_css_float(params[2]);\n var m2 = l <= 0.5 ? l * (s + 1) : l + s - l * s;\n var m1 = l * 2 - m2;\n return [clamp_css_byte(css_hue_to_rgb(m1, m2, h+1/3) * 255),\n clamp_css_byte(css_hue_to_rgb(m1, m2, h) * 255),\n clamp_css_byte(css_hue_to_rgb(m1, m2, h-1/3) * 255),\n alpha];\n default:\n return null;\n }\n }\n\n return null;\n}\n\ntry { exports.parseCSSColor = parseCSSColor } catch(e) { }\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom.js": {
"title": "$:/core/modules/utils/dom.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom.js\ntype: application/javascript\nmodule-type: utils\n\nVarious static DOM-related utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nDetermines whether element 'a' contains element 'b'\nCode thanks to John Resig, http://ejohn.org/blog/comparing-document-position/\n*/\nexports.domContains = function(a,b) {\n\treturn a.contains ?\n\t\ta !== b && a.contains(b) :\n\t\t!!(a.compareDocumentPosition(b) & 16);\n};\n\nexports.removeChildren = function(node) {\n\twhile(node.hasChildNodes()) {\n\t\tnode.removeChild(node.firstChild);\n\t}\n};\n\nexports.hasClass = function(el,className) {\n\treturn el && el.hasAttribute && el.hasAttribute(\"class\") && el.getAttribute(\"class\").split(\" \").indexOf(className) !== -1;\n};\n\nexports.addClass = function(el,className) {\n\tvar c = (el.getAttribute(\"class\") || \"\").split(\" \");\n\tif(c.indexOf(className) === -1) {\n\t\tc.push(className);\n\t\tel.setAttribute(\"class\",c.join(\" \"));\n\t}\n};\n\nexports.removeClass = function(el,className) {\n\tvar c = (el.getAttribute(\"class\") || \"\").split(\" \"),\n\t\tp = c.indexOf(className);\n\tif(p !== -1) {\n\t\tc.splice(p,1);\n\t\tel.setAttribute(\"class\",c.join(\" \"));\n\t}\n};\n\nexports.toggleClass = function(el,className,status) {\n\tif(status === undefined) {\n\t\tstatus = !exports.hasClass(el,className);\n\t}\n\tif(status) {\n\t\texports.addClass(el,className);\n\t} else {\n\t\texports.removeClass(el,className);\n\t}\n};\n\n/*\nGet the first parent element that has scrollbars or use the body as fallback.\n*/\nexports.getScrollContainer = function(el) {\n\tvar doc = el.ownerDocument;\n\twhile(el.parentNode) {\t\n\t\tel = el.parentNode;\n\t\tif(el.scrollTop) {\n\t\t\treturn el;\n\t\t}\n\t}\n\treturn doc.body;\n};\n\n/*\nGet the scroll position of the viewport\nReturns:\n\t{\n\t\tx: horizontal scroll position in pixels,\n\t\ty: vertical scroll position in pixels\n\t}\n*/\nexports.getScrollPosition = function(srcWindow) {\n\tvar scrollWindow = srcWindow || window;\n\tif(\"scrollX\" in scrollWindow) {\n\t\treturn {x: scrollWindow.scrollX, y: scrollWindow.scrollY};\n\t} else {\n\t\treturn {x: scrollWindow.document.documentElement.scrollLeft, y: scrollWindow.document.documentElement.scrollTop};\n\t}\n};\n\n/*\nAdjust the height of a textarea to fit its content, preserving scroll position, and return the height\n*/\nexports.resizeTextAreaToFit = function(domNode,minHeight) {\n\t// Get the scroll container and register the current scroll position\n\tvar container = $tw.utils.getScrollContainer(domNode),\n\t\tscrollTop = container.scrollTop;\n // Measure the specified minimum height\n\tdomNode.style.height = minHeight;\n\tvar measuredHeight = domNode.offsetHeight || parseInt(minHeight,10);\n\t// Set its height to auto so that it snaps to the correct height\n\tdomNode.style.height = \"auto\";\n\t// Calculate the revised height\n\tvar newHeight = Math.max(domNode.scrollHeight + domNode.offsetHeight - domNode.clientHeight,measuredHeight);\n\t// Only try to change the height if it has changed\n\tif(newHeight !== domNode.offsetHeight) {\n\t\tdomNode.style.height = newHeight + \"px\";\n\t\t// Make sure that the dimensions of the textarea are recalculated\n\t\t$tw.utils.forceLayout(domNode);\n\t\t// Set the container to the position we registered at the beginning\n\t\tcontainer.scrollTop = scrollTop;\n\t}\n\treturn newHeight;\n};\n\n/*\nGets the bounding rectangle of an element in absolute page coordinates\n*/\nexports.getBoundingPageRect = function(element) {\n\tvar scrollPos = $tw.utils.getScrollPosition(element.ownerDocument.defaultView),\n\t\tclientRect = element.getBoundingClientRect();\n\treturn {\n\t\tleft: clientRect.left + scrollPos.x,\n\t\twidth: clientRect.width,\n\t\tright: clientRect.right + scrollPos.x,\n\t\ttop: clientRect.top + scrollPos.y,\n\t\theight: clientRect.height,\n\t\tbottom: clientRect.bottom + scrollPos.y\n\t};\n};\n\n/*\nSaves a named password in the browser\n*/\nexports.savePassword = function(name,password) {\n\tvar done = false;\n\ttry {\n\t\twindow.localStorage.setItem(\"tw5-password-\" + name,password);\n\t\tdone = true;\n\t} catch(e) {\n\t}\n\tif(!done) {\n\t\t$tw.savedPasswords = $tw.savedPasswords || Object.create(null);\n\t\t$tw.savedPasswords[name] = password;\n\t}\n};\n\n/*\nRetrieve a named password from the browser\n*/\nexports.getPassword = function(name) {\n\tvar value;\n\ttry {\n\t\tvalue = window.localStorage.getItem(\"tw5-password-\" + name);\n\t} catch(e) {\n\t}\n\tif(value !== undefined) {\n\t\treturn value;\n\t} else {\n\t\treturn ($tw.savedPasswords || Object.create(null))[name] || \"\";\n\t}\n};\n\n/*\nForce layout of a dom node and its descendents\n*/\nexports.forceLayout = function(element) {\n\tvar dummy = element.offsetWidth;\n};\n\n/*\nPulse an element for debugging purposes\n*/\nexports.pulseElement = function(element) {\n\t// Event handler to remove the class at the end\n\telement.addEventListener($tw.browser.animationEnd,function handler(event) {\n\t\telement.removeEventListener($tw.browser.animationEnd,handler,false);\n\t\t$tw.utils.removeClass(element,\"pulse\");\n\t},false);\n\t// Apply the pulse class\n\t$tw.utils.removeClass(element,\"pulse\");\n\t$tw.utils.forceLayout(element);\n\t$tw.utils.addClass(element,\"pulse\");\n};\n\n/*\nAttach specified event handlers to a DOM node\ndomNode: where to attach the event handlers\nevents: array of event handlers to be added (see below)\nEach entry in the events array is an object with these properties:\nhandlerFunction: optional event handler function\nhandlerObject: optional event handler object\nhandlerMethod: optionally specifies object handler method name (defaults to `handleEvent`)\n*/\nexports.addEventListeners = function(domNode,events) {\n\t$tw.utils.each(events,function(eventInfo) {\n\t\tvar handler;\n\t\tif(eventInfo.handlerFunction) {\n\t\t\thandler = eventInfo.handlerFunction;\n\t\t} else if(eventInfo.handlerObject) {\n\t\t\tif(eventInfo.handlerMethod) {\n\t\t\t\thandler = function(event) {\n\t\t\t\t\teventInfo.handlerObject[eventInfo.handlerMethod].call(eventInfo.handlerObject,event);\n\t\t\t\t};\t\n\t\t\t} else {\n\t\t\t\thandler = eventInfo.handlerObject;\n\t\t\t}\n\t\t}\n\t\tdomNode.addEventListener(eventInfo.name,handler,false);\n\t});\n};\n\n/*\nGet the computed styles applied to an element as an array of strings of individual CSS properties\n*/\nexports.getComputedStyles = function(domNode) {\n\tvar textAreaStyles = window.getComputedStyle(domNode,null),\n\t\tstyleDefs = [],\n\t\tname;\n\tfor(var t=0; t<textAreaStyles.length; t++) {\n\t\tname = textAreaStyles[t];\n\t\tstyleDefs.push(name + \": \" + textAreaStyles.getPropertyValue(name) + \";\");\n\t}\n\treturn styleDefs;\n};\n\n/*\nApply a set of styles passed as an array of strings of individual CSS properties\n*/\nexports.setStyles = function(domNode,styleDefs) {\n\tdomNode.style.cssText = styleDefs.join(\"\");\n};\n\n/*\nCopy the computed styles from a source element to a destination element\n*/\nexports.copyStyles = function(srcDomNode,dstDomNode) {\n\t$tw.utils.setStyles(dstDomNode,$tw.utils.getComputedStyles(srcDomNode));\n};\n\n/*\nCopy plain text to the clipboard on browsers that support it\n*/\nexports.copyToClipboard = function(text,options) {\n\toptions = options || {};\n\tvar textArea = document.createElement(\"textarea\");\n\ttextArea.style.position = \"fixed\";\n\ttextArea.style.top = 0;\n\ttextArea.style.left = 0;\n\ttextArea.style.fontSize = \"12pt\";\n\ttextArea.style.width = \"2em\";\n\ttextArea.style.height = \"2em\";\n\ttextArea.style.padding = 0;\n\ttextArea.style.border = \"none\";\n\ttextArea.style.outline = \"none\";\n\ttextArea.style.boxShadow = \"none\";\n\ttextArea.style.background = \"transparent\";\n\ttextArea.value = text;\n\tdocument.body.appendChild(textArea);\n\ttextArea.select();\n\ttextArea.setSelectionRange(0,text.length);\n\tvar succeeded = false;\n\ttry {\n\t\tsucceeded = document.execCommand(\"copy\");\n\t} catch (err) {\n\t}\n\tif(!options.doNotNotify) {\n\t\t$tw.notifier.display(succeeded ? \"$:/language/Notifications/CopiedToClipboard/Succeeded\" : \"$:/language/Notifications/CopiedToClipboard/Failed\");\n\t}\n\tdocument.body.removeChild(textArea);\n};\n\nexports.getLocationPath = function() {\n\treturn window.location.toString().split(\"#\")[0];\n};\n\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/dragndrop.js": {
"title": "$:/core/modules/utils/dom/dragndrop.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/dragndrop.js\ntype: application/javascript\nmodule-type: utils\n\nBrowser data transfer utilities, used with the clipboard and drag and drop\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nOptions:\n\ndomNode: dom node to make draggable\ndragImageType: \"pill\" or \"dom\"\ndragTiddlerFn: optional function to retrieve the title of tiddler to drag\ndragFilterFn: optional function to retreive the filter defining a list of tiddlers to drag\nwidget: widget to use as the contect for the filter\n*/\nexports.makeDraggable = function(options) {\n\tvar dragImageType = options.dragImageType || \"dom\",\n\t\tdragImage,\n\t\tdomNode = options.domNode;\n\t// Make the dom node draggable (not necessary for anchor tags)\n\tif((domNode.tagName || \"\").toLowerCase() !== \"a\") {\n\t\tdomNode.setAttribute(\"draggable\",\"true\");\t\t\n\t}\n\t// Add event handlers\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"dragstart\", handlerFunction: function(event) {\n\t\t\tif(event.dataTransfer === undefined) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t\t// Collect the tiddlers being dragged\n\t\t\tvar dragTiddler = options.dragTiddlerFn && options.dragTiddlerFn(),\n\t\t\t\tdragFilter = options.dragFilterFn && options.dragFilterFn(),\n\t\t\t\ttitles = dragTiddler ? [dragTiddler] : [],\n\t\t\t \tstartActions = options.startActions;\n\t\t\tif(dragFilter) {\n\t\t\t\ttitles.push.apply(titles,options.widget.wiki.filterTiddlers(dragFilter,options.widget));\n\t\t\t}\n\t\t\tvar titleString = $tw.utils.stringifyList(titles);\n\t\t\t// Check that we've something to drag\n\t\t\tif(titles.length > 0 && event.target === domNode) {\n\t\t\t\t// Mark the drag in progress\n\t\t\t\t$tw.dragInProgress = domNode;\n\t\t\t\t// Set the dragging class on the element being dragged\n\t\t\t\t$tw.utils.addClass(event.target,\"tc-dragging\");\n\t\t\t\t// Invoke drag-start actions if given\n\t\t\t\tif(startActions !== undefined) {\n\t\t\t\t\toptions.widget.invokeActionString(startActions,options.widget,event,{actionTiddler: titleString});\n\t\t\t\t}\n\t\t\t\t// Create the drag image elements\n\t\t\t\tdragImage = options.widget.document.createElement(\"div\");\n\t\t\t\tdragImage.className = \"tc-tiddler-dragger\";\n\t\t\t\tvar inner = options.widget.document.createElement(\"div\");\n\t\t\t\tinner.className = \"tc-tiddler-dragger-inner\";\n\t\t\t\tinner.appendChild(options.widget.document.createTextNode(\n\t\t\t\t\ttitles.length === 1 ? \n\t\t\t\t\t\ttitles[0] :\n\t\t\t\t\t\ttitles.length + \" tiddlers\"\n\t\t\t\t));\n\t\t\t\tdragImage.appendChild(inner);\n\t\t\t\toptions.widget.document.body.appendChild(dragImage);\n\t\t\t\t// Set the data transfer properties\n\t\t\t\tvar dataTransfer = event.dataTransfer;\n\t\t\t\t// Set up the image\n\t\t\t\tdataTransfer.effectAllowed = \"all\";\n\t\t\t\tif(dataTransfer.setDragImage) {\n\t\t\t\t\tif(dragImageType === \"pill\") {\n\t\t\t\t\t\tdataTransfer.setDragImage(dragImage.firstChild,-16,-16);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tvar r = domNode.getBoundingClientRect();\n\t\t\t\t\t\tdataTransfer.setDragImage(domNode,event.clientX-r.left,event.clientY-r.top);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// Set up the data transfer\n\t\t\t\tif(dataTransfer.clearData) {\n\t\t\t\t\tdataTransfer.clearData();\t\t\t\t\t\n\t\t\t\t}\n\t\t\t\tvar jsonData = [];\n\t\t\t\tif(titles.length > 1) {\n\t\t\t\t\ttitles.forEach(function(title) {\n\t\t\t\t\t\tjsonData.push(options.widget.wiki.getTiddlerAsJson(title));\n\t\t\t\t\t});\n\t\t\t\t\tjsonData = \"[\" + jsonData.join(\",\") + \"]\";\n\t\t\t\t} else {\n\t\t\t\t\tjsonData = options.widget.wiki.getTiddlerAsJson(titles[0]);\n\t\t\t\t}\n\t\t\t\t// IE doesn't like these content types\n\t\t\t\tif(!$tw.browser.isIE) {\n\t\t\t\t\tdataTransfer.setData(\"text/vnd.tiddler\",jsonData);\n\t\t\t\t\tdataTransfer.setData(\"text/plain\",titleString);\n\t\t\t\t\tdataTransfer.setData(\"text/x-moz-url\",\"data:text/vnd.tiddler,\" + encodeURIComponent(jsonData));\n\t\t\t\t}\n\t\t\t\tdataTransfer.setData(\"URL\",\"data:text/vnd.tiddler,\" + encodeURIComponent(jsonData));\n\t\t\t\tdataTransfer.setData(\"Text\",titleString);\n\t\t\t\tevent.stopPropagation();\n\t\t\t}\n\t\t\treturn false;\n\t\t}},\n\t\t{name: \"dragend\", handlerFunction: function(event) {\n\t\t\tif(event.target === domNode) {\n\t\t\t\t// Collect the tiddlers being dragged\n\t\t\t\tvar dragTiddler = options.dragTiddlerFn && options.dragTiddlerFn(),\n\t\t\t\t\tdragFilter = options.dragFilterFn && options.dragFilterFn(),\n\t\t\t\t\ttitles = dragTiddler ? [dragTiddler] : [],\n\t\t\t \t\tendActions = options.endActions;\n\t\t\t\tif(dragFilter) {\n\t\t\t\t\ttitles.push.apply(titles,options.widget.wiki.filterTiddlers(dragFilter,options.widget));\n\t\t\t\t}\n\t\t\t\tvar titleString = $tw.utils.stringifyList(titles);\n\t\t\t\t$tw.dragInProgress = null;\n\t\t\t\t// Invoke drag-end actions if given\n\t\t\t\tif(endActions !== undefined) {\n\t\t\t\t\toptions.widget.invokeActionString(endActions,options.widget,event,{actionTiddler: titleString});\n\t\t\t\t}\n\t\t\t\t// Remove the dragging class on the element being dragged\n\t\t\t\t$tw.utils.removeClass(event.target,\"tc-dragging\");\n\t\t\t\t// Delete the drag image element\n\t\t\t\tif(dragImage) {\n\t\t\t\t\tdragImage.parentNode.removeChild(dragImage);\n\t\t\t\t\tdragImage = null;\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn false;\n\t\t}}\n\t]);\n};\n\nexports.importDataTransfer = function(dataTransfer,fallbackTitle,callback) {\n\t// Try each provided data type in turn\n\tif($tw.log.IMPORT) {\n\t\tconsole.log(\"Available data types:\");\n\t\tfor(var type=0; type<dataTransfer.types.length; type++) {\n\t\t\tconsole.log(\"type\",dataTransfer.types[type],dataTransfer.getData(dataTransfer.types[type]))\n\t\t}\n\t}\n\tfor(var t=0; t<importDataTypes.length; t++) {\n\t\tif(!$tw.browser.isIE || importDataTypes[t].IECompatible) {\n\t\t\t// Get the data\n\t\t\tvar dataType = importDataTypes[t];\n\t\t\t\tvar data = dataTransfer.getData(dataType.type);\n\t\t\t// Import the tiddlers in the data\n\t\t\tif(data !== \"\" && data !== null) {\n\t\t\t\tif($tw.log.IMPORT) {\n\t\t\t\t\tconsole.log(\"Importing data type '\" + dataType.type + \"', data: '\" + data + \"'\")\n\t\t\t\t}\n\t\t\t\tvar tiddlerFields = dataType.toTiddlerFieldsArray(data,fallbackTitle);\n\t\t\t\tcallback(tiddlerFields);\n\t\t\t\treturn;\n\t\t\t}\n\t\t}\n\t}\n};\n\nvar importDataTypes = [\n\t{type: \"text/vnd.tiddler\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn parseJSONTiddlers(data,fallbackTitle);\n\t}},\n\t{type: \"URL\", IECompatible: true, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\t// Check for tiddler data URI\n\t\tvar match = decodeURIComponent(data).match(/^data\\:text\\/vnd\\.tiddler,(.*)/i);\n\t\tif(match) {\n\t\t\treturn parseJSONTiddlers(match[1],fallbackTitle);\n\t\t} else {\n\t\t\treturn [{title: fallbackTitle, text: data}]; // As URL string\n\t\t}\n\t}},\n\t{type: \"text/x-moz-url\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\t// Check for tiddler data URI\n\t\tvar match = decodeURIComponent(data).match(/^data\\:text\\/vnd\\.tiddler,(.*)/i);\n\t\tif(match) {\n\t\t\treturn parseJSONTiddlers(match[1],fallbackTitle);\n\t\t} else {\n\t\t\treturn [{title: fallbackTitle, text: data}]; // As URL string\n\t\t}\n\t}},\n\t{type: \"text/html\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}},\n\t{type: \"text/plain\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}},\n\t{type: \"Text\", IECompatible: true, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}},\n\t{type: \"text/uri-list\", IECompatible: false, toTiddlerFieldsArray: function(data,fallbackTitle) {\n\t\treturn [{title: fallbackTitle, text: data}];\n\t}}\n];\n\nfunction parseJSONTiddlers(json,fallbackTitle) {\n\tvar data = JSON.parse(json);\n\tif(!$tw.utils.isArray(data)) {\n\t\tdata = [data];\n\t}\n\tdata.forEach(function(fields) {\n\t\tfields.title = fields.title || fallbackTitle;\n\t});\n\treturn data;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/http.js": {
"title": "$:/core/modules/utils/dom/http.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/http.js\ntype: application/javascript\nmodule-type: utils\n\nBrowser HTTP support\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nA quick and dirty HTTP function; to be refactored later. Options are:\n\turl: URL to retrieve\n\theaders: hashmap of headers to send\n\ttype: GET, PUT, POST etc\n\tcallback: function invoked with (err,data,xhr)\n\treturnProp: string name of the property to return as first argument of callback\n*/\nexports.httpRequest = function(options) {\n\tvar type = options.type || \"GET\",\n\t\turl = options.url,\n\t\theaders = options.headers || {accept: \"application/json\"},\n\t\thasHeader = function(targetHeader) {\n\t\t\ttargetHeader = targetHeader.toLowerCase();\n\t\t\tvar result = false;\n\t\t\t$tw.utils.each(headers,function(header,headerTitle,object) {\n\t\t\t\tif(headerTitle.toLowerCase() === targetHeader) {\n\t\t\t\t\tresult = true;\n\t\t\t\t}\n\t\t\t});\n\t\t\treturn result;\n\t\t},\n\t\treturnProp = options.returnProp || \"responseText\",\n\t\trequest = new XMLHttpRequest(),\n\t\tdata = \"\",\n\t\tf,results;\n\t// Massage the data hashmap into a string\n\tif(options.data) {\n\t\tif(typeof options.data === \"string\") { // Already a string\n\t\t\tdata = options.data;\n\t\t} else { // A hashmap of strings\n\t\t\tresults = [];\n\t\t\t$tw.utils.each(options.data,function(dataItem,dataItemTitle) {\n\t\t\t\tresults.push(dataItemTitle + \"=\" + encodeURIComponent(dataItem));\n\t\t\t});\n\t\t\tif(type === \"GET\" || type === \"HEAD\") {\n\t\t\t\turl += \"?\" + results.join(\"&\");\n\t\t\t} else {\n\t\t\t\tdata = results.join(\"&\");\n\t\t\t}\n\t\t}\n\t}\n\t// Set up the state change handler\n\trequest.onreadystatechange = function() {\n\t\tif(this.readyState === 4) {\n\t\t\tif(this.status === 200 || this.status === 201 || this.status === 204) {\n\t\t\t\t// Success!\n\t\t\t\toptions.callback(null,this[returnProp],this);\n\t\t\t\treturn;\n\t\t\t}\n\t\t// Something went wrong\n\t\toptions.callback($tw.language.getString(\"Error/XMLHttpRequest\") + \": \" + this.status,null,this);\n\t\t}\n\t};\n\t// Make the request\n\trequest.open(type,url,true);\n\tif(headers) {\n\t\t$tw.utils.each(headers,function(header,headerTitle,object) {\n\t\t\trequest.setRequestHeader(headerTitle,header);\n\t\t});\n\t}\n\tif(data && !hasHeader(\"Content-Type\")) {\n\t\trequest.setRequestHeader(\"Content-Type\",\"application/x-www-form-urlencoded; charset=UTF-8\");\n\t}\n\tif(!hasHeader(\"X-Requested-With\")) {\n\t\trequest.setRequestHeader(\"X-Requested-With\",\"TiddlyWiki\");\n\t}\n\ttry {\n\t\trequest.send(data);\n\t} catch(e) {\n\t\toptions.callback(e,null,this);\n\t}\n\treturn request;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/keyboard.js": {
"title": "$:/core/modules/utils/dom/keyboard.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/keyboard.js\ntype: application/javascript\nmodule-type: utils\n\nKeyboard utilities; now deprecated. Instead, use $tw.keyboardManager\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n[\"parseKeyDescriptor\",\"checkKeyDescriptor\"].forEach(function(method) {\n\texports[method] = function() {\n\t\tif($tw.keyboardManager) {\n\t\t\treturn $tw.keyboardManager[method].apply($tw.keyboardManager,Array.prototype.slice.call(arguments,0));\n\t\t} else {\n\t\t\treturn null\n\t\t}\n\t};\n});\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/modal.js": {
"title": "$:/core/modules/utils/dom/modal.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/modal.js\ntype: application/javascript\nmodule-type: utils\n\nModal message mechanism\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\nvar navigator = require(\"$:/core/modules/widgets/navigator.js\");\n\nvar Modal = function(wiki) {\n\tthis.wiki = wiki;\n\tthis.modalCount = 0;\n};\n\n/*\nDisplay a modal dialogue\n\ttitle: Title of tiddler to display\n\toptions: see below\nOptions include:\n\tdownloadLink: Text of a big download link to include\n*/\nModal.prototype.display = function(title,options) {\n\toptions = options || {};\n\tthis.srcDocument = options.variables && (options.variables.rootwindow === \"true\" ||\n\t\t\t\toptions.variables.rootwindow === \"yes\") ? document :\n\t\t\t\t(options.event && options.event.event && options.event.event.target ? options.event.event.target.ownerDocument : document);\n\tthis.srcWindow = this.srcDocument.defaultView;\n\tvar self = this,\n\t\trefreshHandler,\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\ttiddler = this.wiki.getTiddler(title);\n\t// Don't do anything if the tiddler doesn't exist\n\tif(!tiddler) {\n\t\treturn;\n\t}\n\t// Create the variables\n\tvar variables = $tw.utils.extend({\n\t\t\tcurrentTiddler: title,\n\t\t\t\"tv-story-list\": (options.event && options.event.widget ? options.event.widget.getVariable(\"tv-story-list\") : \"\"),\n\t\t\t\"tv-history-list\": (options.event && options.event.widget ? options.event.widget.getVariable(\"tv-history-list\") : \"\")\n\t\t},options.variables);\n\n\t// Create the wrapper divs\n\tvar wrapper = this.srcDocument.createElement(\"div\"),\n\t\tmodalBackdrop = this.srcDocument.createElement(\"div\"),\n\t\tmodalWrapper = this.srcDocument.createElement(\"div\"),\n\t\tmodalHeader = this.srcDocument.createElement(\"div\"),\n\t\theaderTitle = this.srcDocument.createElement(\"h3\"),\n\t\tmodalBody = this.srcDocument.createElement(\"div\"),\n\t\tmodalLink = this.srcDocument.createElement(\"a\"),\n\t\tmodalFooter = this.srcDocument.createElement(\"div\"),\n\t\tmodalFooterHelp = this.srcDocument.createElement(\"span\"),\n\t\tmodalFooterButtons = this.srcDocument.createElement(\"span\");\n\t// Up the modal count and adjust the body class\n\tthis.modalCount++;\n\tthis.adjustPageClass();\n\t// Add classes\n\t$tw.utils.addClass(wrapper,\"tc-modal-wrapper\");\n\tif(tiddler.fields && tiddler.fields.class) {\n\t\t$tw.utils.addClass(wrapper,tiddler.fields.class);\n\t}\n\t$tw.utils.addClass(modalBackdrop,\"tc-modal-backdrop\");\n\t$tw.utils.addClass(modalWrapper,\"tc-modal\");\n\t$tw.utils.addClass(modalHeader,\"tc-modal-header\");\n\t$tw.utils.addClass(modalBody,\"tc-modal-body\");\n\t$tw.utils.addClass(modalFooter,\"tc-modal-footer\");\n\t// Join them together\n\twrapper.appendChild(modalBackdrop);\n\twrapper.appendChild(modalWrapper);\n\tmodalHeader.appendChild(headerTitle);\n\tmodalWrapper.appendChild(modalHeader);\n\tmodalWrapper.appendChild(modalBody);\n\tmodalFooter.appendChild(modalFooterHelp);\n\tmodalFooter.appendChild(modalFooterButtons);\n\tmodalWrapper.appendChild(modalFooter);\n\tvar navigatorTree = {\n\t\t\"type\": \"navigator\",\n\t\t\"attributes\": {\n\t\t\t\"story\": {\n\t\t\t\t\"name\": \"story\",\n\t\t\t\t\"type\": \"string\",\n\t\t\t\t\"value\": variables[\"tv-story-list\"]\n\t\t\t},\n\t\t\t\"history\": {\n\t\t\t\t\"name\": \"history\",\n\t\t\t\t\"type\": \"string\",\n\t\t\t\t\"value\": variables[\"tv-history-list\"]\n\t\t\t}\n\t\t},\n\t\t\"tag\": \"$navigator\",\n\t\t\"isBlock\": true,\n\t\t\"children\": []\n\t};\n\tvar navigatorWidgetNode = new navigator.navigator(navigatorTree, {\n\t\twiki: this.wiki,\n\t\tdocument : this.srcDocument,\n\t\tparentWidget: $tw.rootWidget\n\t});\n\tnavigatorWidgetNode.render(modalBody,null);\n\t\n\t// Render the title of the message\n\tvar headerWidgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tfield: \"subtitle\",\n\t\tmode: \"inline\",\n\t\tchildren: [{\n\t\t\ttype: \"text\",\n\t\t\tattributes: {\n\t\t\t\ttext: {\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: title\n\t\t}}}],\n\t\tparentWidget: navigatorWidgetNode,\n\t\tdocument: this.srcDocument,\n\t\tvariables: variables,\n\t\timportPageMacros: true\n\t});\n\theaderWidgetNode.render(headerTitle,null);\n\t// Render the body of the message\n\tvar bodyWidgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tparentWidget: navigatorWidgetNode,\n\t\tdocument: this.srcDocument,\n\t\tvariables: variables,\n\t\timportPageMacros: true\n\t});\n\n\tbodyWidgetNode.render(modalBody,null);\n\t// Setup the link if present\n\tif(options.downloadLink) {\n\t\tmodalLink.href = options.downloadLink;\n\t\tmodalLink.appendChild(this.srcDocument.createTextNode(\"Right-click to save changes\"));\n\t\tmodalBody.appendChild(modalLink);\n\t}\n\t// Render the footer of the message\n\tif(tiddler.fields && tiddler.fields.help) {\n\t\tvar link = this.srcDocument.createElement(\"a\");\n\t\tlink.setAttribute(\"href\",tiddler.fields.help);\n\t\tlink.setAttribute(\"target\",\"_blank\");\n\t\tlink.setAttribute(\"rel\",\"noopener noreferrer\");\n\t\tlink.appendChild(this.srcDocument.createTextNode(\"Help\"));\n\t\tmodalFooterHelp.appendChild(link);\n\t\tmodalFooterHelp.style.float = \"left\";\n\t}\n\tvar footerWidgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tfield: \"footer\",\n\t\tmode: \"inline\",\n\t\tchildren: [{\n\t\t\ttype: \"button\",\n\t\t\tattributes: {\n\t\t\t\tmessage: {\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: \"tm-close-tiddler\"\n\t\t\t\t}\n\t\t\t},\n\t\t\tchildren: [{\n\t\t\t\ttype: \"text\",\n\t\t\t\tattributes: {\n\t\t\t\t\ttext: {\n\t\t\t\t\t\ttype: \"string\",\n\t\t\t\t\t\tvalue: $tw.language.getString(\"Buttons/Close/Caption\")\n\t\t\t}}}\n\t\t]}],\n\t\tparentWidget: navigatorWidgetNode,\n\t\tdocument: this.srcDocument,\n\t\tvariables: variables,\n\t\timportPageMacros: true\n\t});\n\tfooterWidgetNode.render(modalFooterButtons,null);\n\t// Set up the refresh handler\n\trefreshHandler = function(changes) {\n\t\theaderWidgetNode.refresh(changes,modalHeader,null);\n\t\tbodyWidgetNode.refresh(changes,modalBody,null);\n\t\tfooterWidgetNode.refresh(changes,modalFooterButtons,null);\n\t};\n\tthis.wiki.addEventListener(\"change\",refreshHandler);\n\t// Add the close event handler\n\tvar closeHandler = function(event) {\n\t\t// Remove our refresh handler\n\t\tself.wiki.removeEventListener(\"change\",refreshHandler);\n\t\t// Decrease the modal count and adjust the body class\n\t\tself.modalCount--;\n\t\tself.adjustPageClass();\n\t\t// Force layout and animate the modal message away\n\t\t$tw.utils.forceLayout(modalBackdrop);\n\t\t$tw.utils.forceLayout(modalWrapper);\n\t\t$tw.utils.setStyle(modalBackdrop,[\n\t\t\t{opacity: \"0\"}\n\t\t]);\n\t\t$tw.utils.setStyle(modalWrapper,[\n\t\t\t{transform: \"translateY(\" + self.srcWindow.innerHeight + \"px)\"}\n\t\t]);\n\t\t// Set up an event for the transition end\n\t\tself.srcWindow.setTimeout(function() {\n\t\t\tif(wrapper.parentNode) {\n\t\t\t\t// Remove the modal message from the DOM\n\t\t\t\tself.srcDocument.body.removeChild(wrapper);\n\t\t\t}\n\t\t},duration);\n\t\t// Don't let anyone else handle the tm-close-tiddler message\n\t\treturn false;\n\t};\n\theaderWidgetNode.addEventListener(\"tm-close-tiddler\",closeHandler,false);\n\tbodyWidgetNode.addEventListener(\"tm-close-tiddler\",closeHandler,false);\n\tfooterWidgetNode.addEventListener(\"tm-close-tiddler\",closeHandler,false);\n\t// Set the initial styles for the message\n\t$tw.utils.setStyle(modalBackdrop,[\n\t\t{opacity: \"0\"}\n\t]);\n\t$tw.utils.setStyle(modalWrapper,[\n\t\t{transformOrigin: \"0% 0%\"},\n\t\t{transform: \"translateY(\" + (-this.srcWindow.innerHeight) + \"px)\"}\n\t]);\n\t// Put the message into the document\n\tthis.srcDocument.body.appendChild(wrapper);\n\t// Set up animation for the styles\n\t$tw.utils.setStyle(modalBackdrop,[\n\t\t{transition: \"opacity \" + duration + \"ms ease-out\"}\n\t]);\n\t$tw.utils.setStyle(modalWrapper,[\n\t\t{transition: $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out\"}\n\t]);\n\t// Force layout\n\t$tw.utils.forceLayout(modalBackdrop);\n\t$tw.utils.forceLayout(modalWrapper);\n\t// Set final animated styles\n\t$tw.utils.setStyle(modalBackdrop,[\n\t\t{opacity: \"0.7\"}\n\t]);\n\t$tw.utils.setStyle(modalWrapper,[\n\t\t{transform: \"translateY(0px)\"}\n\t]);\n};\n\nModal.prototype.adjustPageClass = function() {\n\tvar windowContainer = $tw.pageContainer ? ($tw.pageContainer === this.srcDocument.body.firstChild ? $tw.pageContainer : this.srcDocument.body.firstChild) : null;\n\tif(windowContainer) {\n\t\t$tw.utils.toggleClass(windowContainer,\"tc-modal-displayed\",this.modalCount > 0);\n\t}\n};\n\nexports.Modal = Modal;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/notifier.js": {
"title": "$:/core/modules/utils/dom/notifier.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/notifier.js\ntype: application/javascript\nmodule-type: utils\n\nNotifier mechanism\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nvar Notifier = function(wiki) {\n\tthis.wiki = wiki;\n};\n\n/*\nDisplay a notification\n\ttitle: Title of tiddler containing the notification text\n\toptions: see below\nOptions include:\n*/\nNotifier.prototype.display = function(title,options) {\n\toptions = options || {};\n\t// Create the wrapper divs\n\tvar self = this,\n\t\tnotification = document.createElement(\"div\"),\n\t\ttiddler = this.wiki.getTiddler(title),\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t\trefreshHandler;\n\t// Don't do anything if the tiddler doesn't exist\n\tif(!tiddler) {\n\t\treturn;\n\t}\n\t// Add classes\n\t$tw.utils.addClass(notification,\"tc-notification\");\n\t// Create the variables\n\tvar variables = $tw.utils.extend({currentTiddler: title},options.variables);\n\t// Render the body of the notification\n\tvar widgetNode = this.wiki.makeTranscludeWidget(title,{\n\t\tparentWidget: $tw.rootWidget,\n\t\tdocument: document,\n\t\tvariables: variables,\n\t\timportPageMacros: true});\n\twidgetNode.render(notification,null);\n\trefreshHandler = function(changes) {\n\t\twidgetNode.refresh(changes,notification,null);\n\t};\n\tthis.wiki.addEventListener(\"change\",refreshHandler);\n\t// Set the initial styles for the notification\n\t$tw.utils.setStyle(notification,[\n\t\t{opacity: \"0\"},\n\t\t{transformOrigin: \"0% 0%\"},\n\t\t{transform: \"translateY(\" + (-window.innerHeight) + \"px)\"},\n\t\t{transition: \"opacity \" + duration + \"ms ease-out, \" + $tw.utils.roundTripPropertyName(\"transform\") + \" \" + duration + \"ms ease-in-out\"}\n\t]);\n\t// Add the notification to the DOM\n\tdocument.body.appendChild(notification);\n\t// Force layout\n\t$tw.utils.forceLayout(notification);\n\t// Set final animated styles\n\t$tw.utils.setStyle(notification,[\n\t\t{opacity: \"1.0\"},\n\t\t{transform: \"translateY(0px)\"}\n\t]);\n\t// Set a timer to remove the notification\n\twindow.setTimeout(function() {\n\t\t// Remove our change event handler\n\t\tself.wiki.removeEventListener(\"change\",refreshHandler);\n\t\t// Force layout and animate the notification away\n\t\t$tw.utils.forceLayout(notification);\n\t\t$tw.utils.setStyle(notification,[\n\t\t\t{opacity: \"0.0\"},\n\t\t\t{transform: \"translateX(\" + (notification.offsetWidth) + \"px)\"}\n\t\t]);\n\t\t// Remove the modal message from the DOM once the transition ends\n\t\tsetTimeout(function() {\n\t\t\tif(notification.parentNode) {\n\t\t\t\tdocument.body.removeChild(notification);\n\t\t\t}\n\t\t},duration);\n\t},$tw.config.preferences.notificationDuration);\n};\n\nexports.Notifier = Notifier;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/popup.js": {
"title": "$:/core/modules/utils/dom/popup.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/popup.js\ntype: application/javascript\nmodule-type: utils\n\nModule that creates a $tw.utils.Popup object prototype that manages popups in the browser\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nCreates a Popup object with these options:\n\trootElement: the DOM element to which the popup zapper should be attached\n*/\nvar Popup = function(options) {\n\toptions = options || {};\n\tthis.rootElement = options.rootElement || document.documentElement;\n\tthis.popups = []; // Array of {title:,wiki:,domNode:} objects\n};\n\n/*\nTrigger a popup open or closed. Parameters are in a hashmap:\n\ttitle: title of the tiddler where the popup details are stored\n\tdomNode: dom node to which the popup will be positioned (one of domNode or domNodeRect is required)\n\tdomNodeRect: rectangle to which the popup will be positioned\n\twiki: wiki\n\tforce: if specified, forces the popup state to true or false (instead of toggling it)\n\tfloating: if true, skips registering the popup, meaning that it will need manually clearing\n*/\nPopup.prototype.triggerPopup = function(options) {\n\t// Check if this popup is already active\n\tvar index = this.findPopup(options.title);\n\t// Compute the new state\n\tvar state = index === -1;\n\tif(options.force !== undefined) {\n\t\tstate = options.force;\n\t}\n\t// Show or cancel the popup according to the new state\n\tif(state) {\n\t\tthis.show(options);\n\t} else {\n\t\tthis.cancel(index);\n\t}\n};\n\nPopup.prototype.findPopup = function(title) {\n\tvar index = -1;\n\tfor(var t=0; t<this.popups.length; t++) {\n\t\tif(this.popups[t].title === title) {\n\t\t\tindex = t;\n\t\t}\n\t}\n\treturn index;\n};\n\nPopup.prototype.handleEvent = function(event) {\n\tif(event.type === \"click\") {\n\t\t// Find out what was clicked on\n\t\tvar info = this.popupInfo(event.target),\n\t\t\tcancelLevel = info.popupLevel - 1;\n\t\t// Don't remove the level that was clicked on if we clicked on a handle\n\t\tif(info.isHandle) {\n\t\t\tcancelLevel++;\n\t\t}\n\t\t// Cancel\n\t\tthis.cancel(cancelLevel);\n\t}\n};\n\n/*\nFind the popup level containing a DOM node. Returns:\npopupLevel: count of the number of nested popups containing the specified element\nisHandle: true if the specified element is within a popup handle\n*/\nPopup.prototype.popupInfo = function(domNode) {\n\tvar isHandle = false,\n\t\tpopupCount = 0,\n\t\tnode = domNode;\n\t// First check ancestors to see if we're within a popup handle\n\twhile(node) {\n\t\tif($tw.utils.hasClass(node,\"tc-popup-handle\")) {\n\t\t\tisHandle = true;\n\t\t\tpopupCount++;\n\t\t}\n\t\tif($tw.utils.hasClass(node,\"tc-popup-keep\")) {\n\t\t\tisHandle = true;\n\t\t}\n\t\tnode = node.parentNode;\n\t}\n\t// Then count the number of ancestor popups\n\tnode = domNode;\n\twhile(node) {\n\t\tif($tw.utils.hasClass(node,\"tc-popup\")) {\n\t\t\tpopupCount++;\n\t\t}\n\t\tnode = node.parentNode;\n\t}\n\tvar info = {\n\t\tpopupLevel: popupCount,\n\t\tisHandle: isHandle\n\t};\n\treturn info;\n};\n\n/*\nDisplay a popup by adding it to the stack\n*/\nPopup.prototype.show = function(options) {\n\t// Find out what was clicked on\n\tvar info = this.popupInfo(options.domNode);\n\t// Cancel any higher level popups\n\tthis.cancel(info.popupLevel);\n\n\t// Store the popup details if not already there\n\tif(!options.floating && this.findPopup(options.title) === -1) {\n\t\tthis.popups.push({\n\t\t\ttitle: options.title,\n\t\t\twiki: options.wiki,\n\t\t\tdomNode: options.domNode,\n\t\t\tnoStateReference: options.noStateReference\n\t\t});\n\t}\n\t// Set the state tiddler\n\tvar rect;\n\tif(options.domNodeRect) {\n\t\trect = options.domNodeRect;\n\t} else {\n\t\trect = {\n\t\t\tleft: options.domNode.offsetLeft,\n\t\t\ttop: options.domNode.offsetTop,\n\t\t\twidth: options.domNode.offsetWidth,\n\t\t\theight: options.domNode.offsetHeight\n\t\t};\n\t}\n\tvar popupRect = \"(\" + rect.left + \",\" + rect.top + \",\" + \n\t\t\t\trect.width + \",\" + rect.height + \")\";\n\tif(options.noStateReference) {\n\t\toptions.wiki.setText(options.title,\"text\",undefined,popupRect);\n\t} else {\n\t\toptions.wiki.setTextReference(options.title,popupRect);\n\t}\n\t// Add the click handler if we have any popups\n\tif(this.popups.length > 0) {\n\t\tthis.rootElement.addEventListener(\"click\",this,true);\t\t\n\t}\n};\n\n/*\nCancel all popups at or above a specified level or DOM node\nlevel: popup level to cancel (0 cancels all popups)\n*/\nPopup.prototype.cancel = function(level) {\n\tvar numPopups = this.popups.length;\n\tlevel = Math.max(0,Math.min(level,numPopups));\n\tfor(var t=level; t<numPopups; t++) {\n\t\tvar popup = this.popups.pop();\n\t\tif(popup.title) {\n\t\t\tif(popup.noStateReference) {\n\t\t\t\tpopup.wiki.deleteTiddler(popup.title);\n\t\t\t} else {\n\t\t\t\tpopup.wiki.deleteTiddler($tw.utils.parseTextReference(popup.title).title);\n \t\t}\n\t\t}\n\t}\n\tif(this.popups.length === 0) {\n\t\tthis.rootElement.removeEventListener(\"click\",this,false);\n\t}\n};\n\n/*\nReturns true if the specified title and text identifies an active popup\n*/\nPopup.prototype.readPopupState = function(text) {\n\tvar popupLocationRegExp = /^\\((-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+)\\)$/;\n\treturn popupLocationRegExp.test(text);\n};\n\nexports.Popup = Popup;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/dom/scroller.js": {
"title": "$:/core/modules/utils/dom/scroller.js",
"text": "/*\\\ntitle: $:/core/modules/utils/dom/scroller.js\ntype: application/javascript\nmodule-type: utils\n\nModule that creates a $tw.utils.Scroller object prototype that manages scrolling in the browser\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nEvent handler for when the `tm-scroll` event hits the document body\n*/\nvar PageScroller = function() {\n\tthis.idRequestFrame = null;\n\tthis.requestAnimationFrame = window.requestAnimationFrame ||\n\t\twindow.webkitRequestAnimationFrame ||\n\t\twindow.mozRequestAnimationFrame ||\n\t\tfunction(callback) {\n\t\t\treturn window.setTimeout(callback, 1000/60);\n\t\t};\n\tthis.cancelAnimationFrame = window.cancelAnimationFrame ||\n\t\twindow.webkitCancelAnimationFrame ||\n\t\twindow.webkitCancelRequestAnimationFrame ||\n\t\twindow.mozCancelAnimationFrame ||\n\t\twindow.mozCancelRequestAnimationFrame ||\n\t\tfunction(id) {\n\t\t\twindow.clearTimeout(id);\n\t\t};\n};\n\nPageScroller.prototype.isScrolling = function() {\n\treturn this.idRequestFrame !== null;\n}\n\nPageScroller.prototype.cancelScroll = function(srcWindow) {\n\tif(this.idRequestFrame) {\n\t\tthis.cancelAnimationFrame.call(srcWindow,this.idRequestFrame);\n\t\tthis.idRequestFrame = null;\n\t}\n};\n\n/*\nHandle an event\n*/\nPageScroller.prototype.handleEvent = function(event) {\n\tif(event.type === \"tm-scroll\") {\n\t\tif(event.paramObject && event.paramObject.selector) {\n\t\t\tthis.scrollSelectorIntoView(null,event.paramObject.selector);\n\t\t} else {\n\t\t\tthis.scrollIntoView(event.target);\t\t\t\n\t\t}\n\t\treturn false; // Event was handled\n\t}\n\treturn true;\n};\n\n/*\nHandle a scroll event hitting the page document\n*/\nPageScroller.prototype.scrollIntoView = function(element,callback) {\n\tvar self = this,\n\t\tduration = $tw.utils.getAnimationDuration(),\n\t srcWindow = element ? element.ownerDocument.defaultView : window;\n\t// Now get ready to scroll the body\n\tthis.cancelScroll(srcWindow);\n\tthis.startTime = Date.now();\n\t// Get the height of any position:fixed toolbars\n\tvar toolbar = srcWindow.document.querySelector(\".tc-adjust-top-of-scroll\"),\n\t\toffset = 0;\n\tif(toolbar) {\n\t\toffset = toolbar.offsetHeight;\n\t}\n\t// Get the client bounds of the element and adjust by the scroll position\n\tvar getBounds = function() {\n\t\t\tvar clientBounds = typeof callback === 'function' ? callback() : element.getBoundingClientRect(),\n\t\t\t\tscrollPosition = $tw.utils.getScrollPosition(srcWindow);\n\t\t\treturn {\n\t\t\t\tleft: clientBounds.left + scrollPosition.x,\n\t\t\t\ttop: clientBounds.top + scrollPosition.y - offset,\n\t\t\t\twidth: clientBounds.width,\n\t\t\t\theight: clientBounds.height\n\t\t\t};\n\t\t},\n\t\t// We'll consider the horizontal and vertical scroll directions separately via this function\n\t\t// targetPos/targetSize - position and size of the target element\n\t\t// currentPos/currentSize - position and size of the current scroll viewport\n\t\t// returns: new position of the scroll viewport\n\t\tgetEndPos = function(targetPos,targetSize,currentPos,currentSize) {\n\t\t\tvar newPos = targetPos;\n\t\t\t// If we are scrolling within 50 pixels of the top/left then snap to zero\n\t\t\tif(newPos < 50) {\n\t\t\t\tnewPos = 0;\n\t\t\t}\n\t\t\treturn newPos;\n\t\t},\n\t\tdrawFrame = function drawFrame() {\n\t\t\tvar t;\n\t\t\tif(duration <= 0) {\n\t\t\t\tt = 1;\n\t\t\t} else {\n\t\t\t\tt = ((Date.now()) - self.startTime) / duration;\t\n\t\t\t}\n\t\t\tif(t >= 1) {\n\t\t\t\tself.cancelScroll(srcWindow);\n\t\t\t\tt = 1;\n\t\t\t}\n\t\t\tt = $tw.utils.slowInSlowOut(t);\n\t\t\tvar scrollPosition = $tw.utils.getScrollPosition(srcWindow),\n\t\t\t\tbounds = getBounds(),\n\t\t\t\tendX = getEndPos(bounds.left,bounds.width,scrollPosition.x,srcWindow.innerWidth),\n\t\t\t\tendY = getEndPos(bounds.top,bounds.height,scrollPosition.y,srcWindow.innerHeight);\n\t\t\tsrcWindow.scrollTo(scrollPosition.x + (endX - scrollPosition.x) * t,scrollPosition.y + (endY - scrollPosition.y) * t);\n\t\t\tif(t < 1) {\n\t\t\t\tself.idRequestFrame = self.requestAnimationFrame.call(srcWindow,drawFrame);\n\t\t\t}\n\t\t};\n\tdrawFrame();\n};\n\nPageScroller.prototype.scrollSelectorIntoView = function(baseElement,selector,callback) {\n\tbaseElement = baseElement || document.body;\n\tvar element = baseElement.querySelector(selector);\n\tif(element) {\n\t\tthis.scrollIntoView(element,callback);\t\t\n\t}\n};\n\nexports.PageScroller = PageScroller;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/edition-info.js": {
"title": "$:/core/modules/utils/edition-info.js",
"text": "/*\\\ntitle: $:/core/modules/utils/edition-info.js\ntype: application/javascript\nmodule-type: utils-node\n\nInformation about the available editions\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar fs = require(\"fs\"),\n\tpath = require(\"path\");\n\nvar editionInfo;\n\nexports.getEditionInfo = function() {\n\tif(!editionInfo) {\n\t\t// Enumerate the edition paths\n\t\tvar editionPaths = $tw.getLibraryItemSearchPaths($tw.config.editionsPath,$tw.config.editionsEnvVar);\n\t\teditionInfo = {};\n\t\tfor(var editionIndex=0; editionIndex<editionPaths.length; editionIndex++) {\n\t\t\tvar editionPath = editionPaths[editionIndex];\n\t\t\t// Enumerate the folders\n\t\t\tvar entries = fs.readdirSync(editionPath);\n\t\t\tfor(var entryIndex=0; entryIndex<entries.length; entryIndex++) {\n\t\t\t\tvar entry = entries[entryIndex];\n\t\t\t\t// Check if directories have a valid tiddlywiki.info\n\t\t\t\tif(!editionInfo[entry] && $tw.utils.isDirectory(path.resolve(editionPath,entry))) {\n\t\t\t\t\tvar info;\n\t\t\t\t\ttry {\n\t\t\t\t\t\tinfo = JSON.parse(fs.readFileSync(path.resolve(editionPath,entry,\"tiddlywiki.info\"),\"utf8\"));\n\t\t\t\t\t} catch(ex) {\n\t\t\t\t\t}\n\t\t\t\t\tif(info) {\n\t\t\t\t\t\teditionInfo[entry] = info;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\treturn editionInfo;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils-node"
},
"$:/core/modules/utils/fakedom.js": {
"title": "$:/core/modules/utils/fakedom.js",
"text": "/*\\\ntitle: $:/core/modules/utils/fakedom.js\ntype: application/javascript\nmodule-type: global\n\nA barebones implementation of DOM interfaces needed by the rendering mechanism.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Sequence number used to enable us to track objects for testing\nvar sequenceNumber = null;\n\nvar bumpSequenceNumber = function(object) {\n\tif(sequenceNumber !== null) {\n\t\tobject.sequenceNumber = sequenceNumber++;\n\t}\n};\n\nvar TW_Node = function (){\n\tthrow TypeError(\"Illegal constructor\");\n};\n\nObject.defineProperty(TW_Node.prototype, 'ELEMENT_NODE', {\n\tget: function() {\n\t\treturn 1;\n\t}\n});\n\nObject.defineProperty(TW_Node.prototype, 'TEXT_NODE', {\n\tget: function() {\n\t\treturn 3;\n\t}\n});\n\nvar TW_TextNode = function(text) {\n\tbumpSequenceNumber(this);\n\tthis.textContent = text + \"\";\n};\n\nTW_TextNode.prototype = Object.create(TW_Node.prototype);\n\nObject.defineProperty(TW_TextNode.prototype, \"nodeType\", {\n\tget: function() {\n\t\treturn this.TEXT_NODE;\n\t}\n});\n\nObject.defineProperty(TW_TextNode.prototype, \"formattedTextContent\", {\n\tget: function() {\n\t\treturn this.textContent.replace(/(\\r?\\n)/g,\"\");\n\t}\n});\n\nvar TW_Element = function(tag,namespace) {\n\tbumpSequenceNumber(this);\n\tthis.isTiddlyWikiFakeDom = true;\n\tthis.tag = tag;\n\tthis.attributes = {};\n\tthis.isRaw = false;\n\tthis.children = [];\n\tthis._style = {};\n\tthis.namespaceURI = namespace || \"http://www.w3.org/1999/xhtml\";\n};\n\nTW_Element.prototype = Object.create(TW_Node.prototype);\n\nObject.defineProperty(TW_Element.prototype, \"style\", {\n\tget: function() {\n\t\treturn this._style;\n\t},\n\tset: function(str) {\n\t\tvar self = this;\n\t\tstr = str || \"\";\n\t\t$tw.utils.each(str.split(\";\"),function(declaration) {\n\t\t\tvar parts = declaration.split(\":\"),\n\t\t\t\tname = $tw.utils.trim(parts[0]),\n\t\t\t\tvalue = $tw.utils.trim(parts[1]);\n\t\t\tif(name && value) {\n\t\t\t\tself._style[$tw.utils.convertStyleNameToPropertyName(name)] = value;\n\t\t\t}\n\t\t});\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"nodeType\", {\n\tget: function() {\n\t\treturn this.ELEMENT_NODE;\n\t}\n});\n\nTW_Element.prototype.getAttribute = function(name) {\n\tif(this.isRaw) {\n\t\tthrow \"Cannot getAttribute on a raw TW_Element\";\n\t}\n\treturn this.attributes[name];\n};\n\nTW_Element.prototype.setAttribute = function(name,value) {\n\tif(this.isRaw) {\n\t\tthrow \"Cannot setAttribute on a raw TW_Element\";\n\t}\n\tthis.attributes[name] = value + \"\";\n};\n\nTW_Element.prototype.setAttributeNS = function(namespace,name,value) {\n\tthis.setAttribute(name,value);\n};\n\nTW_Element.prototype.removeAttribute = function(name) {\n\tif(this.isRaw) {\n\t\tthrow \"Cannot removeAttribute on a raw TW_Element\";\n\t}\n\tif($tw.utils.hop(this.attributes,name)) {\n\t\tdelete this.attributes[name];\n\t}\n};\n\nTW_Element.prototype.appendChild = function(node) {\n\tthis.children.push(node);\n\tnode.parentNode = this;\n};\n\nTW_Element.prototype.insertBefore = function(node,nextSibling) {\n\tif(nextSibling) {\n\t\tvar p = this.children.indexOf(nextSibling);\n\t\tif(p !== -1) {\n\t\t\tthis.children.splice(p,0,node);\n\t\t\tnode.parentNode = this;\n\t\t} else {\n\t\t\tthis.appendChild(node);\n\t\t}\n\t} else {\n\t\tthis.appendChild(node);\n\t}\n};\n\nTW_Element.prototype.removeChild = function(node) {\n\tvar p = this.children.indexOf(node);\n\tif(p !== -1) {\n\t\tthis.children.splice(p,1);\n\t}\n};\n\nTW_Element.prototype.hasChildNodes = function() {\n\treturn !!this.children.length;\n};\n\nObject.defineProperty(TW_Element.prototype, \"childNodes\", {\n\tget: function() {\n\t\treturn this.children;\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"firstChild\", {\n\tget: function() {\n\t\treturn this.children[0];\n\t}\n});\n\nTW_Element.prototype.addEventListener = function(type,listener,useCapture) {\n\t// Do nothing\n};\n\nObject.defineProperty(TW_Element.prototype, \"tagName\", {\n\tget: function() {\n\t\treturn this.tag || \"\";\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"className\", {\n\tget: function() {\n\t\treturn this.attributes[\"class\"] || \"\";\n\t},\n\tset: function(value) {\n\t\tthis.attributes[\"class\"] = value + \"\";\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"value\", {\n\tget: function() {\n\t\treturn this.attributes.value || \"\";\n\t},\n\tset: function(value) {\n\t\tthis.attributes.value = value + \"\";\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"outerHTML\", {\n\tget: function() {\n\t\tvar output = [],attr,a,v;\n\t\toutput.push(\"<\",this.tag);\n\t\tif(this.attributes) {\n\t\t\tattr = [];\n\t\t\tfor(a in this.attributes) {\n\t\t\t\tattr.push(a);\n\t\t\t}\n\t\t\tattr.sort();\n\t\t\tfor(a=0; a<attr.length; a++) {\n\t\t\t\tv = this.attributes[attr[a]];\n\t\t\t\tif(v !== undefined) {\n\t\t\t\t\toutput.push(\" \",attr[a],\"=\\\"\",$tw.utils.htmlEncode(v),\"\\\"\");\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t\tif(this._style) {\n\t\t\tvar style = [];\n\t\t\tfor(var s in this._style) {\n\t\t\t\tstyle.push($tw.utils.convertPropertyNameToStyleName(s) + \":\" + this._style[s] + \";\");\n\t\t\t}\n\t\t\tif(style.length > 0) {\n\t\t\t\toutput.push(\" style=\\\"\",style.join(\"\"),\"\\\"\");\n\t\t\t}\n\t\t}\n\t\toutput.push(\">\");\n\t\tif($tw.config.htmlVoidElements.indexOf(this.tag) === -1) {\n\t\t\toutput.push(this.innerHTML);\n\t\t\toutput.push(\"</\",this.tag,\">\");\n\t\t}\n\t\treturn output.join(\"\");\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"innerHTML\", {\n\tget: function() {\n\t\tif(this.isRaw) {\n\t\t\treturn this.rawHTML;\n\t\t} else {\n\t\t\tvar b = [];\n\t\t\t$tw.utils.each(this.children,function(node) {\n\t\t\t\tif(node instanceof TW_Element) {\n\t\t\t\t\tb.push(node.outerHTML);\n\t\t\t\t} else if(node instanceof TW_TextNode) {\n\t\t\t\t\tb.push($tw.utils.htmlEncode(node.textContent));\n\t\t\t\t}\n\t\t\t});\n\t\t\treturn b.join(\"\");\n\t\t}\n\t},\n\tset: function(value) {\n\t\tthis.isRaw = true;\n\t\tthis.rawHTML = value;\n\t\tthis.rawTextContent = null;\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"textInnerHTML\", {\n\tset: function(value) {\n\t\tif(this.isRaw) {\n\t\t\tthis.rawTextContent = value;\n\t\t} else {\n\t\t\tthrow \"Cannot set textInnerHTML of a non-raw TW_Element\";\n\t\t}\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"textContent\", {\n\tget: function() {\n\t\tif(this.isRaw) {\n\t\t\tif(this.rawTextContent === null) {\n\t\t\t\treturn \"\";\n\t\t\t} else {\n\t\t\t\treturn this.rawTextContent;\n\t\t\t}\n\t\t} else {\n\t\t\tvar b = [];\n\t\t\t$tw.utils.each(this.children,function(node) {\n\t\t\t\tb.push(node.textContent);\n\t\t\t});\n\t\t\treturn b.join(\"\");\n\t\t}\n\t},\n\tset: function(value) {\n\t\tthis.children = [new TW_TextNode(value)];\n\t}\n});\n\nObject.defineProperty(TW_Element.prototype, \"formattedTextContent\", {\n\tget: function() {\n\t\tif(this.isRaw) {\n\t\t\treturn \"\";\n\t\t} else {\n\t\t\tvar b = [],\n\t\t\t\tisBlock = $tw.config.htmlBlockElements.indexOf(this.tag) !== -1;\n\t\t\tif(isBlock) {\n\t\t\t\tb.push(\"\\n\");\n\t\t\t}\n\t\t\tif(this.tag === \"li\") {\n\t\t\t\tb.push(\"* \");\n\t\t\t}\n\t\t\t$tw.utils.each(this.children,function(node) {\n\t\t\t\tb.push(node.formattedTextContent);\n\t\t\t});\n\t\t\tif(isBlock) {\n\t\t\t\tb.push(\"\\n\");\n\t\t\t}\n\t\t\treturn b.join(\"\");\n\t\t}\n\t}\n});\n\nvar document = {\n\tsetSequenceNumber: function(value) {\n\t\tsequenceNumber = value;\n\t},\n\tcreateElementNS: function(namespace,tag) {\n\t\treturn new TW_Element(tag,namespace);\n\t},\n\tcreateElement: function(tag) {\n\t\treturn new TW_Element(tag);\n\t},\n\tcreateTextNode: function(text) {\n\t\treturn new TW_TextNode(text);\n\t},\n\tcompatMode: \"CSS1Compat\", // For KaTeX to know that we're not a browser in quirks mode\n\tisTiddlyWikiFakeDom: true\n};\n\nexports.fakeDocument = document;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/utils/filesystem.js": {
"title": "$:/core/modules/utils/filesystem.js",
"text": "/*\\\ntitle: $:/core/modules/utils/filesystem.js\ntype: application/javascript\nmodule-type: utils-node\n\nFile system utilities\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar fs = require(\"fs\"),\n\tpath = require(\"path\");\n\n/*\nReturn the subdirectories of a path\n*/\nexports.getSubdirectories = function(dirPath) {\n\tif(!$tw.utils.isDirectory(dirPath)) {\n\t\treturn null;\n\t}\n\tvar subdirs = [];\n\t$tw.utils.each(fs.readdirSync(dirPath),function(item) {\n\t\tif($tw.utils.isDirectory(path.resolve(dirPath,item))) {\n\t\t\tsubdirs.push(item);\n\t\t}\n\t});\n\treturn subdirs;\n}\n\n/*\nRecursively (and synchronously) copy a directory and all its content\n*/\nexports.copyDirectory = function(srcPath,dstPath) {\n\t// Remove any trailing path separators\n\tsrcPath = path.resolve($tw.utils.removeTrailingSeparator(srcPath));\n\tdstPath = path.resolve($tw.utils.removeTrailingSeparator(dstPath));\n\t// Check that neither director is within the other\n\tif(srcPath.substring(0,dstPath.length) === dstPath || dstPath.substring(0,srcPath.length) === srcPath) {\n\t\treturn \"Cannot copy nested directories\";\n\t}\n\t// Create the destination directory\n\tvar err = $tw.utils.createDirectory(dstPath);\n\tif(err) {\n\t\treturn err;\n\t}\n\t// Function to copy a folder full of files\n\tvar copy = function(srcPath,dstPath) {\n\t\tvar srcStats = fs.lstatSync(srcPath),\n\t\t\tdstExists = fs.existsSync(dstPath);\n\t\tif(srcStats.isFile()) {\n\t\t\t$tw.utils.copyFile(srcPath,dstPath);\n\t\t} else if(srcStats.isDirectory()) {\n\t\t\tvar items = fs.readdirSync(srcPath);\n\t\t\tfor(var t=0; t<items.length; t++) {\n\t\t\t\tvar item = items[t],\n\t\t\t\t\terr = copy(srcPath + path.sep + item,dstPath + path.sep + item);\n\t\t\t\tif(err) {\n\t\t\t\t\treturn err;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t};\n\tcopy(srcPath,dstPath);\n\treturn null;\n};\n\n/*\nCopy a file\n*/\nvar FILE_BUFFER_LENGTH = 64 * 1024,\n\tfileBuffer;\n\nexports.copyFile = function(srcPath,dstPath) {\n\t// Create buffer if required\n\tif(!fileBuffer) {\n\t\tfileBuffer = Buffer.alloc(FILE_BUFFER_LENGTH);\n\t}\n\t// Create any directories in the destination\n\t$tw.utils.createDirectory(path.dirname(dstPath));\n\t// Copy the file\n\tvar srcFile = fs.openSync(srcPath,\"r\"),\n\t\tdstFile = fs.openSync(dstPath,\"w\"),\n\t\tbytesRead = 1,\n\t\tpos = 0;\n\twhile (bytesRead > 0) {\n\t\tbytesRead = fs.readSync(srcFile,fileBuffer,0,FILE_BUFFER_LENGTH,pos);\n\t\tfs.writeSync(dstFile,fileBuffer,0,bytesRead);\n\t\tpos += bytesRead;\n\t}\n\tfs.closeSync(srcFile);\n\tfs.closeSync(dstFile);\n\treturn null;\n};\n\n/*\nRemove trailing path separator\n*/\nexports.removeTrailingSeparator = function(dirPath) {\n\tvar len = dirPath.length;\n\tif(dirPath.charAt(len-1) === path.sep) {\n\t\tdirPath = dirPath.substr(0,len-1);\n\t}\n\treturn dirPath;\n};\n\n/*\nRecursively create a directory\n*/\nexports.createDirectory = function(dirPath) {\n\tif(dirPath.substr(dirPath.length-1,1) !== path.sep) {\n\t\tdirPath = dirPath + path.sep;\n\t}\n\tvar pos = 1;\n\tpos = dirPath.indexOf(path.sep,pos);\n\twhile(pos !== -1) {\n\t\tvar subDirPath = dirPath.substr(0,pos);\n\t\tif(!$tw.utils.isDirectory(subDirPath)) {\n\t\t\ttry {\n\t\t\t\tfs.mkdirSync(subDirPath);\n\t\t\t} catch(e) {\n\t\t\t\treturn \"Error creating directory '\" + subDirPath + \"'\";\n\t\t\t}\n\t\t}\n\t\tpos = dirPath.indexOf(path.sep,pos + 1);\n\t}\n\treturn null;\n};\n\n/*\nRecursively create directories needed to contain a specified file\n*/\nexports.createFileDirectories = function(filePath) {\n\treturn $tw.utils.createDirectory(path.dirname(filePath));\n};\n\n/*\nRecursively delete a directory\n*/\nexports.deleteDirectory = function(dirPath) {\n\tif(fs.existsSync(dirPath)) {\n\t\tvar entries = fs.readdirSync(dirPath);\n\t\tfor(var entryIndex=0; entryIndex<entries.length; entryIndex++) {\n\t\t\tvar currPath = dirPath + path.sep + entries[entryIndex];\n\t\t\tif(fs.lstatSync(currPath).isDirectory()) {\n\t\t\t\t$tw.utils.deleteDirectory(currPath);\n\t\t\t} else {\n\t\t\t\tfs.unlinkSync(currPath);\n\t\t\t}\n\t\t}\n\tfs.rmdirSync(dirPath);\n\t}\n\treturn null;\n};\n\n/*\nCheck if a path identifies a directory\n*/\nexports.isDirectory = function(dirPath) {\n\treturn fs.existsSync(dirPath) && fs.statSync(dirPath).isDirectory();\n};\n\n/*\nCheck if a path identifies a directory that is empty\n*/\nexports.isDirectoryEmpty = function(dirPath) {\n\tif(!$tw.utils.isDirectory(dirPath)) {\n\t\treturn false;\n\t}\n\tvar files = fs.readdirSync(dirPath),\n\t\tempty = true;\n\t$tw.utils.each(files,function(file,index) {\n\t\tif(file.charAt(0) !== \".\") {\n\t\t\tempty = false;\n\t\t}\n\t});\n\treturn empty;\n};\n\n/*\nRecursively delete a tree of empty directories\n*/\nexports.deleteEmptyDirs = function(dirpath,callback) {\n\tvar self = this;\n\tfs.readdir(dirpath,function(err,files) {\n\t\tif(err) {\n\t\t\treturn callback(err);\n\t\t}\n\t\tif(files.length > 0) {\n\t\t\treturn callback(null);\n\t\t}\n\t\tfs.rmdir(dirpath,function(err) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tself.deleteEmptyDirs(path.dirname(dirpath),callback);\n\t\t});\n\t});\n};\n\n/*\nCreate a fileInfo object for saving a tiddler:\n\tfilepath: the absolute path to the file containing the tiddler\n\ttype: the type of the tiddler file on disk (NOT the type of the tiddler)\n\thasMetaFile: true if the file also has a companion .meta file\n\tisEditableFile: true if the tiddler was loaded via non-standard options & marked editable\nOptions include:\n\tdirectory: absolute path of root directory to which we are saving\n\tpathFilters: optional array of filters to be used to generate the base path\n\textFilters: optional array of filters to be used to generate the base path\n\twiki: optional wiki for evaluating the pathFilters,\n\tfileInfo: an existing fileInfo to check against\n\toriginalpath: a preferred filepath if no pathFilters match\n*/\nexports.generateTiddlerFileInfo = function(tiddler,options) {\n\tvar fileInfo = {}, metaExt;\n\t// Propagate the isEditableFile flag\n\tif(options.fileInfo) {\n\t\tfileInfo.isEditableFile = options.fileInfo.isEditableFile || false;\n\t}\n\t// Check if the tiddler has any unsafe fields that can't be expressed in a .tid or .meta file: containing control characters, or leading/trailing whitespace\n\tvar hasUnsafeFields = false;\n\t$tw.utils.each(tiddler.getFieldStrings(),function(value,fieldName) {\n\t\tif(fieldName !== \"text\") {\n\t\t\thasUnsafeFields = hasUnsafeFields || /[\\x00-\\x1F]/mg.test(value);\n\t\t\thasUnsafeFields = hasUnsafeFields || ($tw.utils.trim(value) !== value);\n\t\t}\n\t});\n\t// Check for field values \n\tif(hasUnsafeFields) {\n\t\t// Save as a JSON file\n\t\tfileInfo.type = \"application/json\";\n\t\tfileInfo.hasMetaFile = false;\n\t} else {\n\t\t// Save as a .tid or a text/binary file plus a .meta file\n\t\tvar tiddlerType = tiddler.fields.type || \"text/vnd.tiddlywiki\";\n\t\tif(tiddlerType === \"text/vnd.tiddlywiki\") {\n\t\t\t// Save as a .tid file\n\t\t\tfileInfo.type = \"application/x-tiddler\";\n\t\t\tfileInfo.hasMetaFile = false;\n\t\t} else {\n\t\t\t// Save as a text/binary file and a .meta file\n\t\t\tfileInfo.type = tiddlerType;\n\t\t\tfileInfo.hasMetaFile = true;\n\t\t}\n\t\tif(options.extFilters) {\n\t\t\t// Check for extension override\n\t\t\tmetaExt = $tw.utils.generateTiddlerExtension(tiddler.fields.title,{\n\t\t\t\textFilters: options.extFilters,\n\t\t\t\twiki: options.wiki\n\t\t\t});\n\t\t\tif(metaExt) {\n\t\t\t\tif(metaExt === \".tid\") {\n\t\t\t\t\t// Overriding to the .tid extension needs special handling\n\t\t\t\t\tfileInfo.type = \"application/x-tiddler\";\n\t\t\t\t\tfileInfo.hasMetaFile = false;\n\t\t\t\t} else if (metaExt === \".json\") {\n\t\t\t\t\t// Overriding to the .json extension needs special handling\n\t\t\t\t\tfileInfo.type = \"application/json\";\n\t\t\t\t\tfileInfo.hasMetaFile = false;\n\t\t\t\t} else {\n\t\t\t\t\t//If the new type matches a known extention, use that MIME type's encoding\n\t\t\t\t\tvar extInfo = $tw.utils.getFileExtensionInfo(metaExt);\n\t\t\t\t\tfileInfo.type = extInfo ? extInfo.type : null;\n\t\t\t\t\tfileInfo.encoding = $tw.utils.getTypeEncoding(metaExt);\n\t\t\t\t\tfileInfo.hasMetaFile = true;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\t// Take the file extension from the tiddler content type or metaExt\n\tvar contentTypeInfo = $tw.config.contentTypeInfo[fileInfo.type] || {extension: \"\"};\n\t// Generate the filepath\n\tfileInfo.filepath = $tw.utils.generateTiddlerFilepath(tiddler.fields.title,{\n\t\textension: metaExt || contentTypeInfo.extension,\n\t\tdirectory: options.directory,\n\t\tpathFilters: options.pathFilters,\n\t\twiki: options.wiki,\n\t\tfileInfo: options.fileInfo,\n\t\toriginalpath: options.originalpath\n\t});\n\treturn fileInfo;\n};\n\n/*\nGenerate the file extension for saving a tiddler\nOptions include:\n\textFilters: optional array of filters to be used to generate the extention\n\twiki: optional wiki for evaluating the extFilters\n*/\nexports.generateTiddlerExtension = function(title,options) {\n\tvar self = this,\n\t\textension;\n\t// Check if any of the extFilters applies\n\tif(options.extFilters && options.wiki) { \n\t\t$tw.utils.each(options.extFilters,function(filter) {\n\t\t\tif(!extension) {\n\t\t\t\tvar source = options.wiki.makeTiddlerIterator([title]),\n\t\t\t\t\tresult = options.wiki.filterTiddlers(filter,null,source);\n\t\t\t\tif(result.length > 0) {\n\t\t\t\t\textension = result[0];\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn extension;\n};\n\n/*\nGenerate the filepath for saving a tiddler\nOptions include:\n\textension: file extension to be added the finished filepath\n\tdirectory: absolute path of root directory to which we are saving\n\tpathFilters: optional array of filters to be used to generate the base path\n\twiki: optional wiki for evaluating the pathFilters\n\tfileInfo: an existing fileInfo object to check against\n*/\nexports.generateTiddlerFilepath = function(title,options) {\n\tvar self = this,\n\t\tdirectory = options.directory || \"\",\n\t\textension = options.extension || \"\",\n\t\toriginalpath = options.originalpath || \"\",\n\t\tfilepath;\t\n\t// Check if any of the pathFilters applies\n\tif(options.pathFilters && options.wiki) {\n\t\t$tw.utils.each(options.pathFilters,function(filter) {\n\t\t\tif(!filepath) {\n\t\t\t\tvar source = options.wiki.makeTiddlerIterator([title]),\n\t\t\t\t\tresult = options.wiki.filterTiddlers(filter,null,source);\n\t\t\t\tif(result.length > 0) {\n\t\t\t\t\tfilepath = result[0];\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\tif(!filepath && originalpath !== \"\") {\n\t\t//Use the originalpath without the extension\n\t\tvar ext = path.extname(originalpath);\n\t\tfilepath = originalpath.substring(0,originalpath.length - ext.length);\n\t} else if(!filepath) {\n\t\tfilepath = title;\n\t\t// Remove any forward or backward slashes so we don't create directories\n\t\tfilepath = filepath.replace(/\\/|\\\\/g,\"_\");\n\t}\n\t//If the path does not start with \".\" or \"..\" and a path seperator, then\n\tif(!/^\\.{1,2}[/\\\\]/g.test(filepath)) {\n\t\t// Don't let the filename start with any dots because such files are invisible on *nix\n\t\tfilepath = filepath.replace(/^\\.+/g,\"_\");\n\t}\n\t// If the filepath already ends in the extension then remove it\n\tif(filepath.substring(filepath.length - extension.length) === extension) {\n\t\tfilepath = filepath.substring(0,filepath.length - extension.length);\n\t}\n\t// Remove any characters that can't be used in cross-platform filenames\n\tfilepath = $tw.utils.transliterate(filepath.replace(/<|>|~|\\:|\\\"|\\||\\?|\\*|\\^/g,\"_\"));\n\t// Truncate the filename if it is too long\n\tif(filepath.length > 200) {\n\t\tfilepath = filepath.substr(0,200);\n\t}\n\t// Truncate the extension if it is too long\n\tif(extension.length > 32) {\n\t\textension = extension.substr(0,32);\n\t}\n\t// If the resulting filename is blank (eg because the title is just punctuation characters)\n\tif(!filepath) {\n\t\t// ...then just use the character codes of the title\n\t\tfilepath = \"\";\t\n\t\t$tw.utils.each(title.split(\"\"),function(char) {\n\t\t\tif(filepath) {\n\t\t\t\tfilepath += \"-\";\n\t\t\t}\n\t\t\tfilepath += char.charCodeAt(0).toString();\n\t\t});\n\t}\n\t// Add a uniquifier if the file already exists\n\tvar fullPath, oldPath = (options.fileInfo) ? options.fileInfo.filepath : undefined,\n\t\tcount = 0;\n\tdo {\n\t\tfullPath = path.resolve(directory,filepath + (count ? \"_\" + count : \"\") + extension);\n\t\tif(oldPath && oldPath == fullPath) {\n\t\t\tbreak;\n\t\t}\n\t\tcount++;\n\t} while(fs.existsSync(fullPath));\n\t// If the last write failed with an error, or if path does not start with:\n\t//\tthe resolved options.directory, the resolved wikiPath directory, or the wikiTiddlersPath directory, \n\t//\tthen encodeURIComponent() and resolve to tiddler directory\n\tvar writePath = $tw.hooks.invokeHook(\"th-make-tiddler-path\",fullPath),\n\t\tencode = (options.fileInfo || {writeError: false}).writeError == true;\n\tif(!encode) {\n\t\tencode = !(fullPath.indexOf(path.resolve(directory)) == 0 ||\n\t\t\tfullPath.indexOf(path.resolve($tw.boot.wikiPath)) == 0 ||\n\t\t\tfullPath.indexOf($tw.boot.wikiTiddlersPath) == 0);\n\t\t}\n\tif(encode) {\n\t\twritePath = path.resolve(directory,encodeURIComponent(fullPath));\n\t}\n\t// Return the full path to the file\n\treturn writePath;\n};\n\n/*\nSave a tiddler to a file described by the fileInfo:\n\tfilepath: the absolute path to the file containing the tiddler\n\ttype: the type of the tiddler file (NOT the type of the tiddler)\n\thasMetaFile: true if the file also has a companion .meta file\n*/\nexports.saveTiddlerToFile = function(tiddler,fileInfo,callback) {\n\t$tw.utils.createDirectory(path.dirname(fileInfo.filepath));\n\tif(fileInfo.hasMetaFile) {\n\t\t// Save the tiddler as a separate body and meta file\n\t\tvar typeInfo = $tw.config.contentTypeInfo[tiddler.fields.type || \"text/plain\"] || {encoding: \"utf8\"};\n\t\tfs.writeFile(fileInfo.filepath,tiddler.fields.text,typeInfo.encoding,function(err) {\n\t\t\tif(err) {\n\t\t\t\treturn callback(err);\n\t\t\t}\n\t\t\tfs.writeFile(fileInfo.filepath + \".meta\",tiddler.getFieldStringBlock({exclude: [\"text\",\"bag\"]}),\"utf8\",function(err) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t\treturn callback(null,fileInfo);\n\t\t\t});\n\t\t});\n\t} else {\n\t\t// Save the tiddler as a self contained templated file\n\t\tif(fileInfo.type === \"application/x-tiddler\") {\n\t\t\tfs.writeFile(fileInfo.filepath,tiddler.getFieldStringBlock({exclude: [\"text\",\"bag\"]}) + (!!tiddler.fields.text ? \"\\n\\n\" + tiddler.fields.text : \"\"),\"utf8\",function(err) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t\treturn callback(null,fileInfo);\n\t\t\t});\n\t\t} else {\n\t\t\tfs.writeFile(fileInfo.filepath,JSON.stringify([tiddler.getFieldStrings({exclude: [\"bag\"]})],null,$tw.config.preferences.jsonSpaces),\"utf8\",function(err) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t\treturn callback(null,fileInfo);\n\t\t\t});\n\t\t}\n\t}\n};\n\n/*\nSave a tiddler to a file described by the fileInfo:\n\tfilepath: the absolute path to the file containing the tiddler\n\ttype: the type of the tiddler file (NOT the type of the tiddler)\n\thasMetaFile: true if the file also has a companion .meta file\n*/\nexports.saveTiddlerToFileSync = function(tiddler,fileInfo) {\n\t$tw.utils.createDirectory(path.dirname(fileInfo.filepath));\n\tif(fileInfo.hasMetaFile) {\n\t\t// Save the tiddler as a separate body and meta file\n\t\tvar typeInfo = $tw.config.contentTypeInfo[tiddler.fields.type || \"text/plain\"] || {encoding: \"utf8\"};\n\t\tfs.writeFileSync(fileInfo.filepath,tiddler.fields.text,typeInfo.encoding);\n\t\tfs.writeFileSync(fileInfo.filepath + \".meta\",tiddler.getFieldStringBlock({exclude: [\"text\",\"bag\"]}),\"utf8\");\n\t} else {\n\t\t// Save the tiddler as a self contained templated file\n\t\tif(fileInfo.type === \"application/x-tiddler\") {\n\t\t\tfs.writeFileSync(fileInfo.filepath,tiddler.getFieldStringBlock({exclude: [\"text\",\"bag\"]}) + (!!tiddler.fields.text ? \"\\n\\n\" + tiddler.fields.text : \"\"),\"utf8\");\n\t\t} else {\n\t\t\tfs.writeFileSync(fileInfo.filepath,JSON.stringify([tiddler.getFieldStrings({exclude: [\"bag\"]})],null,$tw.config.preferences.jsonSpaces),\"utf8\");\n\t\t}\n\t}\n};\n\n/*\nDelete a file described by the fileInfo if it exits\n*/\nexports.deleteTiddlerFile = function(fileInfo,callback) {\n\t//Only attempt to delete files that exist on disk\n\tif(!fileInfo.filepath || !fs.existsSync(fileInfo.filepath)) {\n\t\t//For some reason, the tiddler is only in memory or we can't modify the file at this path\n\t\t$tw.syncer.displayError(\"Server deleteTiddlerFile task failed for filepath: \"+fileInfo.filepath);\n\t\treturn callback(null,fileInfo);\n\t}\n\t// Delete the file\n\tfs.unlink(fileInfo.filepath,function(err) {\n\t\tif(err) {\n\t\t\treturn callback(err);\n\t\t}\t\n\t\t// Delete the metafile if present\n\t\tif(fileInfo.hasMetaFile && fs.existsSync(fileInfo.filepath + \".meta\")) {\n\t\t\tfs.unlink(fileInfo.filepath + \".meta\",function(err) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t\treturn $tw.utils.deleteEmptyDirs(path.dirname(fileInfo.filepath),function(err) {\n\t\t\t\t\tif(err) {\n\t\t\t\t\t\treturn callback(err);\n\t\t\t\t\t}\n\t\t\t\t\treturn callback(null,fileInfo);\n\t\t\t\t});\n\t\t\t});\n\t\t} else {\n\t\t\treturn $tw.utils.deleteEmptyDirs(path.dirname(fileInfo.filepath),function(err) {\n\t\t\t\tif(err) {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t\treturn callback(null,fileInfo);\n\t\t\t});\n\t\t}\n\t});\n};\n\n/*\nCleanup old files on disk, by comparing the options values:\n\tadaptorInfo from $tw.syncer.tiddlerInfo\n\tbootInfo from $tw.boot.files\n*/\nexports.cleanupTiddlerFiles = function(options,callback) {\n\tvar adaptorInfo = options.adaptorInfo || {},\n\tbootInfo = options.bootInfo || {},\n\ttitle = options.title || \"undefined\";\n\tif(adaptorInfo.filepath && bootInfo.filepath && adaptorInfo.filepath !== bootInfo.filepath) {\n\t\t$tw.utils.deleteTiddlerFile(adaptorInfo,function(err) {\n\t\t\tif(err) {\n\t\t\t\tif ((err.code == \"EPERM\" || err.code == \"EACCES\") && err.syscall == \"unlink\") {\n\t\t\t\t\t// Error deleting the previous file on disk, should fail gracefully\n\t\t\t\t\t$tw.syncer.displayError(\"Server desynchronized. Error cleaning up previous file for tiddler: \\\"\"+title+\"\\\"\",err);\n\t\t\t\t\treturn callback(null,bootInfo);\n\t\t\t\t} else {\n\t\t\t\t\treturn callback(err);\n\t\t\t\t}\n\t\t\t}\n\t\t\treturn callback(null,bootInfo);\n\t\t});\n\t} else {\n\t\treturn callback(null,bootInfo);\n\t}\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils-node"
},
"$:/core/modules/utils/linkedlist.js": {
"title": "$:/core/modules/utils/linkedlist.js",
"text": "/*\\\nmodule-type: utils\ntitle: $:/core/modules/utils/linkedlist.js\ntype: application/javascript\n\nThis is a doubly-linked indexed list intended for manipulation, particularly\npushTop, which it does with significantly better performance than an array.\n\n\\*/\n(function(){\n\nfunction LinkedList() {\n\tthis.clear();\n};\n\nLinkedList.prototype.clear = function() {\n\t// LinkedList performs the duty of both the head and tail node\n\tthis.next = Object.create(null);\n\tthis.prev = Object.create(null);\n\tthis.first = undefined;\n\tthis.last = undefined;\n\tthis.length = 0;\n};\n\nLinkedList.prototype.remove = function(value) {\n\tif($tw.utils.isArray(value)) {\n\t\tfor(var t=0; t<value.length; t++) {\n\t\t\t_assertString(value[t]);\n\t\t}\n\t\tfor(var t=0; t<value.length; t++) {\n\t\t\t_removeOne(this,value[t]);\n\t\t}\n\t} else {\n\t\t_assertString(value);\n\t\t_removeOne(this,value);\n\t}\n};\n\n/*\nPush behaves like array.push and accepts multiple string arguments. But it also\naccepts a single array argument too, to be consistent with its other methods.\n*/\nLinkedList.prototype.push = function(/* values */) {\n\tvar values = arguments;\n\tif($tw.utils.isArray(values[0])) {\n\t\tvalues = values[0];\n\t}\n\tfor(var i = 0; i < values.length; i++) {\n\t\t_assertString(values[i]);\n\t}\n\tfor(var i = 0; i < values.length; i++) {\n\t\t_linkToEnd(this,values[i]);\n\t}\n\treturn this.length;\n};\n\nLinkedList.prototype.pushTop = function(value) {\n\tif($tw.utils.isArray(value)) {\n\t\tfor (var t=0; t<value.length; t++) {\n\t\t\t_assertString(value[t]);\n\t\t}\n\t\tfor(var t=0; t<value.length; t++) {\n\t\t\t_removeOne(this,value[t]);\n\t\t}\n\t\tfor(var t=0; t<value.length; t++) {\n\t\t\t_linkToEnd(this,value[t]);\n\t\t}\n\t} else {\n\t\t_assertString(value);\n\t\t_removeOne(this,value);\n\t\t_linkToEnd(this,value);\n\t}\n};\n\nLinkedList.prototype.each = function(callback) {\n\tvar visits = Object.create(null),\n\t\tvalue = this.first;\n\twhile(value !== undefined) {\n\t\tcallback(value);\n\t\tvar next = this.next[value];\n\t\tif(typeof next === \"object\") {\n\t\t\tvar i = visits[value] || 0;\n\t\t\tvisits[value] = i+1;\n\t\t\tvalue = next[i];\n\t\t} else {\n\t\t\tvalue = next;\n\t\t}\n\t}\n};\n\nLinkedList.prototype.toArray = function() {\n\tvar output = new Array(this.length),\n\t\tindex = 0;\n\tthis.each(function(value) { output[index++] = value; });\n\treturn output;\n};\n\nfunction _removeOne(list,value) {\n\tvar prevEntry = list.prev[value],\n\t\tnextEntry = list.next[value],\n\t\tprev = prevEntry,\n\t\tnext = nextEntry;\n\tif(typeof nextEntry === \"object\") {\n\t\tnext = nextEntry[0];\n\t\tprev = prevEntry[0];\n\t}\n\t// Relink preceding element.\n\tif(list.first === value) {\n\t\tlist.first = next\n\t} else if(prev !== undefined) {\n\t\tif(typeof list.next[prev] === \"object\") {\n\t\t\tif(next === undefined) {\n\t\t\t\t// Must have been last, and 'i' would be last element.\n\t\t\t\tlist.next[prev].pop();\n\t\t\t} else {\n\t\t\t\tvar i = list.next[prev].indexOf(value);\n\t\t\t\tlist.next[prev][i] = next;\n\t\t\t}\n\t\t} else {\n\t\t\tlist.next[prev] = next;\n\t\t}\n\t} else {\n\t\treturn;\n\t}\n\t// Now relink following element\n\t// Check \"next !== undefined\" rather than \"list.last === value\" because\n\t// we need to know if the FIRST value is the last in the list, not the last.\n\tif(next !== undefined) {\n\t\tif(typeof list.prev[next] === \"object\") {\n\t\t\tif(prev === undefined) {\n\t\t\t\t// Must have been first, and 'i' would be 0.\n\t\t\t\tlist.prev[next].shift();\n\t\t\t} else {\n\t\t\t\tvar i = list.prev[next].indexOf(value);\n\t\t\t\tlist.prev[next][i] = prev;\n\t\t\t}\n\t\t} else {\n\t\t\tlist.prev[next] = prev;\n\t\t}\n\t} else {\n\t\tlist.last = prev;\n\t}\n\t// Delink actual value. If it uses arrays, just remove first entries.\n\tif(typeof nextEntry === \"object\") {\n\t\tnextEntry.shift();\n\t\tprevEntry.shift();\n\t} else {\n\t\tlist.next[value] = undefined;\n\t\tlist.prev[value] = undefined;\n\t}\n\tlist.length -= 1;\n};\n\n// Sticks the given node onto the end of the list.\nfunction _linkToEnd(list,value) {\n\tif(list.first === undefined) {\n\t\tlist.first = value;\n\t} else {\n\t\t// Does it already exists?\n\t\tif(list.first === value || list.prev[value] !== undefined) {\n\t\t\tif(typeof list.next[value] === \"string\") {\n\t\t\t\tlist.next[value] = [list.next[value]];\n\t\t\t\tlist.prev[value] = [list.prev[value]];\n\t\t\t} else if(typeof list.next[value] === \"undefined\") {\n\t\t\t\t// list.next[value] must be undefined.\n\t\t\t\t// Special case. List already has 1 value. It's at the end.\n\t\t\t\tlist.next[value] = [];\n\t\t\t\tlist.prev[value] = [list.prev[value]];\n\t\t\t}\n\t\t\tlist.prev[value].push(list.last);\n\t\t\t// We do NOT append a new value onto \"next\" list. Iteration will\n\t\t\t// figure out it must point to End-of-List on its own.\n\t\t} else {\n\t\t\tlist.prev[value] = list.last;\n\t\t}\n\t\t// Make the old last point to this new one.\n\t\tif(typeof list.next[list.last] === \"object\") {\n\t\t\tlist.next[list.last].push(value);\n\t\t} else {\n\t\t\tlist.next[list.last] = value;\n\t\t}\n\t}\n\tlist.last = value;\n\tlist.length += 1;\n};\n\nfunction _assertString(value) {\n\tif(typeof value !== \"string\") {\n\t\tthrow \"Linked List only accepts string values, not \" + value;\n\t}\n};\n\nexports.LinkedList = LinkedList;\n\n})();\n",
"module-type": "utils",
"type": "application/javascript"
},
"$:/core/modules/utils/logger.js": {
"title": "$:/core/modules/utils/logger.js",
"text": "/*\\\ntitle: $:/core/modules/utils/logger.js\ntype: application/javascript\nmodule-type: utils\n\nA basic logging implementation\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar ALERT_TAG = \"$:/tags/Alert\";\n\n/*\nMake a new logger\n*/\nfunction Logger(componentName,options) {\n\toptions = options || {};\n\tthis.componentName = componentName || \"\";\n\tthis.colour = options.colour || \"white\";\n\tthis.enable = \"enable\" in options ? options.enable : true;\n\tthis.save = \"save\" in options ? options.save : true;\n\tthis.saveLimit = options.saveLimit || 100 * 1024;\n\tthis.saveBufferLogger = this;\n\tthis.buffer = \"\";\n\tthis.alertCount = 0;\n}\n\nLogger.prototype.setSaveBuffer = function(logger) {\n\tthis.saveBufferLogger = logger;\n};\n\n/*\nLog a message\n*/\nLogger.prototype.log = function(/* args */) {\n\tvar self = this;\n\tif(this.enable) {\n\t\tif(this.saveBufferLogger.save) {\n\t\t\tthis.saveBufferLogger.buffer += $tw.utils.formatDateString(new Date(),\"YYYY MM DD 0hh:0mm:0ss.0XXX\") + \":\";\n\t\t\t$tw.utils.each(Array.prototype.slice.call(arguments,0),function(arg,index) {\n\t\t\t\tself.saveBufferLogger.buffer += \" \" + arg;\n\t\t\t});\n\t\t\tthis.saveBufferLogger.buffer += \"\\n\";\n\t\t\tthis.saveBufferLogger.buffer = this.saveBufferLogger.buffer.slice(-this.saveBufferLogger.saveLimit);\t\t\t\n\t\t}\n\t\tif(console !== undefined && console.log !== undefined) {\n\t\t\treturn Function.apply.call(console.log, console, [$tw.utils.terminalColour(this.colour),this.componentName + \":\"].concat(Array.prototype.slice.call(arguments,0)).concat($tw.utils.terminalColour()));\n\t\t}\n\t} \n};\n\n/*\nRead the message buffer\n*/\nLogger.prototype.getBuffer = function() {\n\treturn this.saveBufferLogger.buffer;\n};\n\n/*\nLog a structure as a table\n*/\nLogger.prototype.table = function(value) {\n\t(console.table || console.log)(value);\n};\n\n/*\nAlert a message\n*/\nLogger.prototype.alert = function(/* args */) {\n\tif(this.enable) {\n\t\t// Prepare the text of the alert\n\t\tvar text = Array.prototype.join.call(arguments,\" \");\n\t\t// Create alert tiddlers in the browser\n\t\tif($tw.browser) {\n\t\t\t// Check if there is an existing alert with the same text and the same component\n\t\t\tvar existingAlerts = $tw.wiki.getTiddlersWithTag(ALERT_TAG),\n\t\t\t\talertFields,\n\t\t\t\texistingCount,\n\t\t\t\tself = this;\n\t\t\t$tw.utils.each(existingAlerts,function(title) {\n\t\t\t\tvar tiddler = $tw.wiki.getTiddler(title);\n\t\t\t\tif(tiddler.fields.text === text && tiddler.fields.component === self.componentName && tiddler.fields.modified && (!alertFields || tiddler.fields.modified < alertFields.modified)) {\n\t\t\t\t\t\talertFields = $tw.utils.extend({},tiddler.fields);\n\t\t\t\t}\n\t\t\t});\n\t\t\tif(alertFields) {\n\t\t\t\texistingCount = alertFields.count || 1;\n\t\t\t} else {\n\t\t\t\talertFields = {\n\t\t\t\t\ttitle: $tw.wiki.generateNewTitle(\"$:/temp/alerts/alert\",{prefix: \"\"}),\n\t\t\t\t\ttext: text,\n\t\t\t\t\ttags: [ALERT_TAG],\n\t\t\t\t\tcomponent: this.componentName\n\t\t\t\t};\n\t\t\t\texistingCount = 0;\n\t\t\t\tthis.alertCount += 1;\n\t\t\t}\n\t\t\talertFields.modified = new Date();\n\t\t\tif(++existingCount > 1) {\n\t\t\t\talertFields.count = existingCount;\n\t\t\t} else {\n\t\t\t\talertFields.count = undefined;\n\t\t\t}\n\t\t\t$tw.wiki.addTiddler(new $tw.Tiddler(alertFields));\n\t\t\t// Log the alert as well\n\t\t\tthis.log.apply(this,Array.prototype.slice.call(arguments,0));\n\t\t} else {\n\t\t\t// Print an orange message to the console if not in the browser\n\t\t\tconsole.error(\"\\x1b[1;33m\" + text + \"\\x1b[0m\");\n\t\t}\t\t\n\t}\n};\n\n/*\nClear outstanding alerts\n*/\nLogger.prototype.clearAlerts = function() {\n\tvar self = this;\n\tif($tw.browser && this.alertCount > 0) {\n\t\t$tw.utils.each($tw.wiki.getTiddlersWithTag(ALERT_TAG),function(title) {\n\t\t\tvar tiddler = $tw.wiki.getTiddler(title);\n\t\t\tif(tiddler.fields.component === self.componentName) {\n\t\t\t\t$tw.wiki.deleteTiddler(title);\n\t\t\t}\n\t\t});\n\t\tthis.alertCount = 0;\n\t}\n};\n\nexports.Logger = Logger;\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/parsetree.js": {
"title": "$:/core/modules/utils/parsetree.js",
"text": "/*\\\ntitle: $:/core/modules/utils/parsetree.js\ntype: application/javascript\nmodule-type: utils\n\nParse tree utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.addAttributeToParseTreeNode = function(node,name,value) {\n\tnode.attributes = node.attributes || {};\n\tnode.attributes[name] = {type: \"string\", value: value};\n};\n\nexports.getAttributeValueFromParseTreeNode = function(node,name,defaultValue) {\n\tif(node.attributes && node.attributes[name] && node.attributes[name].value !== undefined) {\n\t\treturn node.attributes[name].value;\n\t}\n\treturn defaultValue;\n};\n\nexports.addClassToParseTreeNode = function(node,classString) {\n\tvar classes = [];\n\tnode.attributes = node.attributes || {};\n\tnode.attributes[\"class\"] = node.attributes[\"class\"] || {type: \"string\", value: \"\"};\n\tif(node.attributes[\"class\"].type === \"string\") {\n\t\tif(node.attributes[\"class\"].value !== \"\") {\n\t\t\tclasses = node.attributes[\"class\"].value.split(\" \");\n\t\t}\n\t\tif(classString !== \"\") {\n\t\t\t$tw.utils.pushTop(classes,classString.split(\" \"));\n\t\t}\n\t\tnode.attributes[\"class\"].value = classes.join(\" \");\n\t}\n};\n\nexports.addStyleToParseTreeNode = function(node,name,value) {\n\t\tnode.attributes = node.attributes || {};\n\t\tnode.attributes.style = node.attributes.style || {type: \"string\", value: \"\"};\n\t\tif(node.attributes.style.type === \"string\") {\n\t\t\tnode.attributes.style.value += name + \":\" + value + \";\";\n\t\t}\n};\n\nexports.findParseTreeNode = function(nodeArray,search) {\n\tfor(var t=0; t<nodeArray.length; t++) {\n\t\tif(nodeArray[t].type === search.type && nodeArray[t].tag === search.tag) {\n\t\t\treturn nodeArray[t];\n\t\t}\n\t}\n\treturn undefined;\n};\n\n/*\nHelper to get the text of a parse tree node or array of nodes\n*/\nexports.getParseTreeText = function getParseTreeText(tree) {\n\tvar output = [];\n\tif($tw.utils.isArray(tree)) {\n\t\t$tw.utils.each(tree,function(node) {\n\t\t\toutput.push(getParseTreeText(node));\n\t\t});\n\t} else {\n\t\tif(tree.type === \"text\") {\n\t\t\toutput.push(tree.text);\n\t\t}\n\t\tif(tree.children) {\n\t\t\treturn getParseTreeText(tree.children);\n\t\t}\n\t}\n\treturn output.join(\"\");\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/performance.js": {
"title": "$:/core/modules/utils/performance.js",
"text": "/*\\\ntitle: $:/core/modules/utils/performance.js\ntype: application/javascript\nmodule-type: global\n\nPerformance measurement.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nfunction Performance(enabled) {\n\tthis.enabled = !!enabled;\n\tthis.measures = {}; // Hashmap by measurement name of {time:, invocations:}\n\tthis.logger = new $tw.utils.Logger(\"performance\");\n\tthis.showGreeting();\n}\n\nPerformance.prototype.showGreeting = function() {\n\tif($tw.browser) {\n\t\tthis.logger.log(\"Execute $tw.perf.log(); to see filter execution timings\");\t\t\n\t}\n};\n\n/*\nWrap performance reporting around a top level function\n*/\nPerformance.prototype.report = function(name,fn) {\n\tvar self = this;\n\tif(this.enabled) {\n\t\treturn function() {\n\t\t\tvar startTime = $tw.utils.timer(),\n\t\t\t\tresult = fn.apply(this,arguments);\n\t\t\tself.logger.log(name + \": \" + $tw.utils.timer(startTime).toFixed(2) + \"ms\");\n\t\t\treturn result;\n\t\t};\n\t} else {\n\t\treturn fn;\n\t}\n};\n\nPerformance.prototype.log = function() {\n\tvar self = this,\n\t\ttotalTime = 0,\n\t\torderedMeasures = Object.keys(this.measures).sort(function(a,b) {\n\t\t\tif(self.measures[a].time > self.measures[b].time) {\n\t\t\t\treturn -1;\n\t\t\t} else if (self.measures[a].time < self.measures[b].time) {\n\t\t\t\treturn + 1;\n\t\t\t} else {\n\t\t\t\treturn 0;\n\t\t\t}\n\t\t});\n\t$tw.utils.each(orderedMeasures,function(name) {\n\t\ttotalTime += self.measures[name].time;\n\t});\n\tvar results = []\n\t$tw.utils.each(orderedMeasures,function(name) {\n\t\tvar measure = self.measures[name];\n\t\tresults.push({name: name,invocations: measure.invocations, avgTime: measure.time / measure.invocations, totalTime: measure.time, percentTime: (measure.time / totalTime) * 100})\n\t});\n\tself.logger.table(results);\n};\n\n/*\nWrap performance measurements around a subfunction\n*/\nPerformance.prototype.measure = function(name,fn) {\n\tvar self = this;\n\tif(this.enabled) {\n\t\treturn function() {\n\t\t\tvar startTime = $tw.utils.timer(),\n\t\t\t\tresult = fn.apply(this,arguments);\n\t\t\tif(!(name in self.measures)) {\n\t\t\t\tself.measures[name] = {time: 0, invocations: 0};\n\t\t\t}\n\t\t\tself.measures[name].time += $tw.utils.timer(startTime);\n\t\t\tself.measures[name].invocations++;\n\t\t\treturn result;\n\t\t};\n\t} else {\n\t\treturn fn;\n\t}\n};\n\nexports.Performance = Performance;\n\n})();\n",
"type": "application/javascript",
"module-type": "global"
},
"$:/core/modules/utils/pluginmaker.js": {
"title": "$:/core/modules/utils/pluginmaker.js",
"text": "/*\\\ntitle: $:/core/modules/utils/pluginmaker.js\ntype: application/javascript\nmodule-type: utils\n\nA quick and dirty way to pack up plugins within the browser.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nRepack a plugin, and then delete any non-shadow payload tiddlers\n*/\nexports.repackPlugin = function(title,additionalTiddlers,excludeTiddlers) {\n\tadditionalTiddlers = additionalTiddlers || [];\n\texcludeTiddlers = excludeTiddlers || [];\n\t// Get the plugin tiddler\n\tvar pluginTiddler = $tw.wiki.getTiddler(title);\n\tif(!pluginTiddler) {\n\t\tthrow \"No such tiddler as \" + title;\n\t}\n\t// Extract the JSON\n\tvar jsonPluginTiddler;\n\ttry {\n\t\tjsonPluginTiddler = JSON.parse(pluginTiddler.fields.text);\n\t} catch(e) {\n\t\tthrow \"Cannot parse plugin tiddler \" + title + \"\\n\" + $tw.language.getString(\"Error/Caption\") + \": \" + e;\n\t}\n\t// Get the list of tiddlers\n\tvar tiddlers = Object.keys(jsonPluginTiddler.tiddlers);\n\t// Add the additional tiddlers\n\t$tw.utils.pushTop(tiddlers,additionalTiddlers);\n\t// Remove any excluded tiddlers\n\tfor(var t=tiddlers.length-1; t>=0; t--) {\n\t\tif(excludeTiddlers.indexOf(tiddlers[t]) !== -1) {\n\t\t\ttiddlers.splice(t,1);\n\t\t}\n\t}\n\t// Pack up the tiddlers into a block of JSON\n\tvar plugins = {};\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = $tw.wiki.getTiddler(title),\n\t\t\tfields = {};\n\t\t$tw.utils.each(tiddler.fields,function (value,name) {\n\t\t\tfields[name] = tiddler.getFieldString(name);\n\t\t});\n\t\tplugins[title] = fields;\n\t});\n\t// Retrieve and bump the version number\n\tvar pluginVersion = $tw.utils.parseVersion(pluginTiddler.getFieldString(\"version\") || \"0.0.0\") || {\n\t\t\tmajor: \"0\",\n\t\t\tminor: \"0\",\n\t\t\tpatch: \"0\"\n\t\t};\n\tpluginVersion.patch++;\n\tvar version = pluginVersion.major + \".\" + pluginVersion.minor + \".\" + pluginVersion.patch;\n\tif(pluginVersion.prerelease) {\n\t\tversion += \"-\" + pluginVersion.prerelease;\n\t}\n\tif(pluginVersion.build) {\n\t\tversion += \"+\" + pluginVersion.build;\n\t}\n\t// Save the tiddler\n\t$tw.wiki.addTiddler(new $tw.Tiddler(pluginTiddler,{text: JSON.stringify({tiddlers: plugins},null,4), version: version}));\n\t// Delete any non-shadow constituent tiddlers\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tif($tw.wiki.tiddlerExists(title)) {\n\t\t\t$tw.wiki.deleteTiddler(title);\n\t\t}\n\t});\n\t// Trigger an autosave\n\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n\t// Return a heartwarming confirmation\n\treturn \"Plugin \" + title + \" successfully saved\";\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/transliterate.js": {
"title": "$:/core/modules/utils/transliterate.js",
"text": "/*\\\ntitle: $:/core/modules/utils/transliterate.js\ntype: application/javascript\nmodule-type: utils\n\nTransliteration static utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nTransliterate string to ASCII\n\n(Some pairs taken from http://semplicewebsites.com/removing-accents-javascript)\n*/\nexports.transliterationPairs = {\n\t\"Á\":\"A\",\n\t\"Ă\":\"A\",\n\t\"Ắ\":\"A\",\n\t\"Ặ\":\"A\",\n\t\"Ằ\":\"A\",\n\t\"Ẳ\":\"A\",\n\t\"Ẵ\":\"A\",\n\t\"Ǎ\":\"A\",\n\t\"Â\":\"A\",\n\t\"Ấ\":\"A\",\n\t\"Ậ\":\"A\",\n\t\"Ầ\":\"A\",\n\t\"Ẩ\":\"A\",\n\t\"Ẫ\":\"A\",\n\t\"Ä\":\"A\",\n\t\"Ǟ\":\"A\",\n\t\"Ȧ\":\"A\",\n\t\"Ǡ\":\"A\",\n\t\"Ạ\":\"A\",\n\t\"Ȁ\":\"A\",\n\t\"À\":\"A\",\n\t\"Ả\":\"A\",\n\t\"Ȃ\":\"A\",\n\t\"Ā\":\"A\",\n\t\"Ą\":\"A\",\n\t\"Å\":\"A\",\n\t\"Ǻ\":\"A\",\n\t\"Ḁ\":\"A\",\n\t\"Ⱥ\":\"A\",\n\t\"Ã\":\"A\",\n\t\"Ꜳ\":\"AA\",\n\t\"Æ\":\"AE\",\n\t\"Ǽ\":\"AE\",\n\t\"Ǣ\":\"AE\",\n\t\"Ꜵ\":\"AO\",\n\t\"Ꜷ\":\"AU\",\n\t\"Ꜹ\":\"AV\",\n\t\"Ꜻ\":\"AV\",\n\t\"Ꜽ\":\"AY\",\n\t\"Ḃ\":\"B\",\n\t\"Ḅ\":\"B\",\n\t\"Ɓ\":\"B\",\n\t\"Ḇ\":\"B\",\n\t\"Ƀ\":\"B\",\n\t\"Ƃ\":\"B\",\n\t\"Ć\":\"C\",\n\t\"Č\":\"C\",\n\t\"Ç\":\"C\",\n\t\"Ḉ\":\"C\",\n\t\"Ĉ\":\"C\",\n\t\"Ċ\":\"C\",\n\t\"Ƈ\":\"C\",\n\t\"Ȼ\":\"C\",\n\t\"Ď\":\"D\",\n\t\"Ḑ\":\"D\",\n\t\"Ḓ\":\"D\",\n\t\"Ḋ\":\"D\",\n\t\"Ḍ\":\"D\",\n\t\"Ɗ\":\"D\",\n\t\"Ḏ\":\"D\",\n\t\"Dz\":\"D\",\n\t\"Dž\":\"D\",\n\t\"Đ\":\"D\",\n\t\"Ƌ\":\"D\",\n\t\"DZ\":\"DZ\",\n\t\"DŽ\":\"DZ\",\n\t\"É\":\"E\",\n\t\"Ĕ\":\"E\",\n\t\"Ě\":\"E\",\n\t\"Ȩ\":\"E\",\n\t\"Ḝ\":\"E\",\n\t\"Ê\":\"E\",\n\t\"Ế\":\"E\",\n\t\"Ệ\":\"E\",\n\t\"Ề\":\"E\",\n\t\"Ể\":\"E\",\n\t\"Ễ\":\"E\",\n\t\"Ḙ\":\"E\",\n\t\"Ë\":\"E\",\n\t\"Ė\":\"E\",\n\t\"Ẹ\":\"E\",\n\t\"Ȅ\":\"E\",\n\t\"È\":\"E\",\n\t\"Ẻ\":\"E\",\n\t\"Ȇ\":\"E\",\n\t\"Ē\":\"E\",\n\t\"Ḗ\":\"E\",\n\t\"Ḕ\":\"E\",\n\t\"Ę\":\"E\",\n\t\"Ɇ\":\"E\",\n\t\"Ẽ\":\"E\",\n\t\"Ḛ\":\"E\",\n\t\"Ꝫ\":\"ET\",\n\t\"Ḟ\":\"F\",\n\t\"Ƒ\":\"F\",\n\t\"Ǵ\":\"G\",\n\t\"Ğ\":\"G\",\n\t\"Ǧ\":\"G\",\n\t\"Ģ\":\"G\",\n\t\"Ĝ\":\"G\",\n\t\"Ġ\":\"G\",\n\t\"Ɠ\":\"G\",\n\t\"Ḡ\":\"G\",\n\t\"Ǥ\":\"G\",\n\t\"Ḫ\":\"H\",\n\t\"Ȟ\":\"H\",\n\t\"Ḩ\":\"H\",\n\t\"Ĥ\":\"H\",\n\t\"Ⱨ\":\"H\",\n\t\"Ḧ\":\"H\",\n\t\"Ḣ\":\"H\",\n\t\"Ḥ\":\"H\",\n\t\"Ħ\":\"H\",\n\t\"Í\":\"I\",\n\t\"Ĭ\":\"I\",\n\t\"Ǐ\":\"I\",\n\t\"Î\":\"I\",\n\t\"Ï\":\"I\",\n\t\"Ḯ\":\"I\",\n\t\"İ\":\"I\",\n\t\"Ị\":\"I\",\n\t\"Ȉ\":\"I\",\n\t\"Ì\":\"I\",\n\t\"Ỉ\":\"I\",\n\t\"Ȋ\":\"I\",\n\t\"Ī\":\"I\",\n\t\"Į\":\"I\",\n\t\"Ɨ\":\"I\",\n\t\"Ĩ\":\"I\",\n\t\"Ḭ\":\"I\",\n\t\"Ꝺ\":\"D\",\n\t\"Ꝼ\":\"F\",\n\t\"Ᵹ\":\"G\",\n\t\"Ꞃ\":\"R\",\n\t\"Ꞅ\":\"S\",\n\t\"Ꞇ\":\"T\",\n\t\"Ꝭ\":\"IS\",\n\t\"Ĵ\":\"J\",\n\t\"Ɉ\":\"J\",\n\t\"Ḱ\":\"K\",\n\t\"Ǩ\":\"K\",\n\t\"Ķ\":\"K\",\n\t\"Ⱪ\":\"K\",\n\t\"Ꝃ\":\"K\",\n\t\"Ḳ\":\"K\",\n\t\"Ƙ\":\"K\",\n\t\"Ḵ\":\"K\",\n\t\"Ꝁ\":\"K\",\n\t\"Ꝅ\":\"K\",\n\t\"Ĺ\":\"L\",\n\t\"Ƚ\":\"L\",\n\t\"Ľ\":\"L\",\n\t\"Ļ\":\"L\",\n\t\"Ḽ\":\"L\",\n\t\"Ḷ\":\"L\",\n\t\"Ḹ\":\"L\",\n\t\"Ⱡ\":\"L\",\n\t\"Ꝉ\":\"L\",\n\t\"Ḻ\":\"L\",\n\t\"Ŀ\":\"L\",\n\t\"Ɫ\":\"L\",\n\t\"Lj\":\"L\",\n\t\"Ł\":\"L\",\n\t\"LJ\":\"LJ\",\n\t\"Ḿ\":\"M\",\n\t\"Ṁ\":\"M\",\n\t\"Ṃ\":\"M\",\n\t\"Ɱ\":\"M\",\n\t\"Ń\":\"N\",\n\t\"Ň\":\"N\",\n\t\"Ņ\":\"N\",\n\t\"Ṋ\":\"N\",\n\t\"Ṅ\":\"N\",\n\t\"Ṇ\":\"N\",\n\t\"Ǹ\":\"N\",\n\t\"Ɲ\":\"N\",\n\t\"Ṉ\":\"N\",\n\t\"Ƞ\":\"N\",\n\t\"Nj\":\"N\",\n\t\"Ñ\":\"N\",\n\t\"NJ\":\"NJ\",\n\t\"Ó\":\"O\",\n\t\"Ŏ\":\"O\",\n\t\"Ǒ\":\"O\",\n\t\"Ô\":\"O\",\n\t\"Ố\":\"O\",\n\t\"Ộ\":\"O\",\n\t\"Ồ\":\"O\",\n\t\"Ổ\":\"O\",\n\t\"Ỗ\":\"O\",\n\t\"Ö\":\"O\",\n\t\"Ȫ\":\"O\",\n\t\"Ȯ\":\"O\",\n\t\"Ȱ\":\"O\",\n\t\"Ọ\":\"O\",\n\t\"Ő\":\"O\",\n\t\"Ȍ\":\"O\",\n\t\"Ò\":\"O\",\n\t\"Ỏ\":\"O\",\n\t\"Ơ\":\"O\",\n\t\"Ớ\":\"O\",\n\t\"Ợ\":\"O\",\n\t\"Ờ\":\"O\",\n\t\"Ở\":\"O\",\n\t\"Ỡ\":\"O\",\n\t\"Ȏ\":\"O\",\n\t\"Ꝋ\":\"O\",\n\t\"Ꝍ\":\"O\",\n\t\"Ō\":\"O\",\n\t\"Ṓ\":\"O\",\n\t\"Ṑ\":\"O\",\n\t\"Ɵ\":\"O\",\n\t\"Ǫ\":\"O\",\n\t\"Ǭ\":\"O\",\n\t\"Ø\":\"O\",\n\t\"Ǿ\":\"O\",\n\t\"Õ\":\"O\",\n\t\"Ṍ\":\"O\",\n\t\"Ṏ\":\"O\",\n\t\"Ȭ\":\"O\",\n\t\"Ƣ\":\"OI\",\n\t\"Ꝏ\":\"OO\",\n\t\"Ɛ\":\"E\",\n\t\"Ɔ\":\"O\",\n\t\"Ȣ\":\"OU\",\n\t\"Ṕ\":\"P\",\n\t\"Ṗ\":\"P\",\n\t\"Ꝓ\":\"P\",\n\t\"Ƥ\":\"P\",\n\t\"Ꝕ\":\"P\",\n\t\"Ᵽ\":\"P\",\n\t\"Ꝑ\":\"P\",\n\t\"Ꝙ\":\"Q\",\n\t\"Ꝗ\":\"Q\",\n\t\"Ŕ\":\"R\",\n\t\"Ř\":\"R\",\n\t\"Ŗ\":\"R\",\n\t\"Ṙ\":\"R\",\n\t\"Ṛ\":\"R\",\n\t\"Ṝ\":\"R\",\n\t\"Ȑ\":\"R\",\n\t\"Ȓ\":\"R\",\n\t\"Ṟ\":\"R\",\n\t\"Ɍ\":\"R\",\n\t\"Ɽ\":\"R\",\n\t\"Ꜿ\":\"C\",\n\t\"Ǝ\":\"E\",\n\t\"Ś\":\"S\",\n\t\"Ṥ\":\"S\",\n\t\"Š\":\"S\",\n\t\"Ṧ\":\"S\",\n\t\"Ş\":\"S\",\n\t\"Ŝ\":\"S\",\n\t\"Ș\":\"S\",\n\t\"Ṡ\":\"S\",\n\t\"Ṣ\":\"S\",\n\t\"Ṩ\":\"S\",\n\t\"Ť\":\"T\",\n\t\"Ţ\":\"T\",\n\t\"Ṱ\":\"T\",\n\t\"Ț\":\"T\",\n\t\"Ⱦ\":\"T\",\n\t\"Ṫ\":\"T\",\n\t\"Ṭ\":\"T\",\n\t\"Ƭ\":\"T\",\n\t\"Ṯ\":\"T\",\n\t\"Ʈ\":\"T\",\n\t\"Ŧ\":\"T\",\n\t\"Ɐ\":\"A\",\n\t\"Ꞁ\":\"L\",\n\t\"Ɯ\":\"M\",\n\t\"Ʌ\":\"V\",\n\t\"Ꜩ\":\"TZ\",\n\t\"Ú\":\"U\",\n\t\"Ŭ\":\"U\",\n\t\"Ǔ\":\"U\",\n\t\"Û\":\"U\",\n\t\"Ṷ\":\"U\",\n\t\"Ü\":\"U\",\n\t\"Ǘ\":\"U\",\n\t\"Ǚ\":\"U\",\n\t\"Ǜ\":\"U\",\n\t\"Ǖ\":\"U\",\n\t\"Ṳ\":\"U\",\n\t\"Ụ\":\"U\",\n\t\"Ű\":\"U\",\n\t\"Ȕ\":\"U\",\n\t\"Ù\":\"U\",\n\t\"Ủ\":\"U\",\n\t\"Ư\":\"U\",\n\t\"Ứ\":\"U\",\n\t\"Ự\":\"U\",\n\t\"Ừ\":\"U\",\n\t\"Ử\":\"U\",\n\t\"Ữ\":\"U\",\n\t\"Ȗ\":\"U\",\n\t\"Ū\":\"U\",\n\t\"Ṻ\":\"U\",\n\t\"Ų\":\"U\",\n\t\"Ů\":\"U\",\n\t\"Ũ\":\"U\",\n\t\"Ṹ\":\"U\",\n\t\"Ṵ\":\"U\",\n\t\"Ꝟ\":\"V\",\n\t\"Ṿ\":\"V\",\n\t\"Ʋ\":\"V\",\n\t\"Ṽ\":\"V\",\n\t\"Ꝡ\":\"VY\",\n\t\"Ẃ\":\"W\",\n\t\"Ŵ\":\"W\",\n\t\"Ẅ\":\"W\",\n\t\"Ẇ\":\"W\",\n\t\"Ẉ\":\"W\",\n\t\"Ẁ\":\"W\",\n\t\"Ⱳ\":\"W\",\n\t\"Ẍ\":\"X\",\n\t\"Ẋ\":\"X\",\n\t\"Ý\":\"Y\",\n\t\"Ŷ\":\"Y\",\n\t\"Ÿ\":\"Y\",\n\t\"Ẏ\":\"Y\",\n\t\"Ỵ\":\"Y\",\n\t\"Ỳ\":\"Y\",\n\t\"Ƴ\":\"Y\",\n\t\"Ỷ\":\"Y\",\n\t\"Ỿ\":\"Y\",\n\t\"Ȳ\":\"Y\",\n\t\"Ɏ\":\"Y\",\n\t\"Ỹ\":\"Y\",\n\t\"Ź\":\"Z\",\n\t\"Ž\":\"Z\",\n\t\"Ẑ\":\"Z\",\n\t\"Ⱬ\":\"Z\",\n\t\"Ż\":\"Z\",\n\t\"Ẓ\":\"Z\",\n\t\"Ȥ\":\"Z\",\n\t\"Ẕ\":\"Z\",\n\t\"Ƶ\":\"Z\",\n\t\"IJ\":\"IJ\",\n\t\"Œ\":\"OE\",\n\t\"ᴀ\":\"A\",\n\t\"ᴁ\":\"AE\",\n\t\"ʙ\":\"B\",\n\t\"ᴃ\":\"B\",\n\t\"ᴄ\":\"C\",\n\t\"ᴅ\":\"D\",\n\t\"ᴇ\":\"E\",\n\t\"ꜰ\":\"F\",\n\t\"ɢ\":\"G\",\n\t\"ʛ\":\"G\",\n\t\"ʜ\":\"H\",\n\t\"ɪ\":\"I\",\n\t\"ʁ\":\"R\",\n\t\"ᴊ\":\"J\",\n\t\"ᴋ\":\"K\",\n\t\"ʟ\":\"L\",\n\t\"ᴌ\":\"L\",\n\t\"ᴍ\":\"M\",\n\t\"ɴ\":\"N\",\n\t\"ᴏ\":\"O\",\n\t\"ɶ\":\"OE\",\n\t\"ᴐ\":\"O\",\n\t\"ᴕ\":\"OU\",\n\t\"ᴘ\":\"P\",\n\t\"ʀ\":\"R\",\n\t\"ᴎ\":\"N\",\n\t\"ᴙ\":\"R\",\n\t\"ꜱ\":\"S\",\n\t\"ᴛ\":\"T\",\n\t\"ⱻ\":\"E\",\n\t\"ᴚ\":\"R\",\n\t\"ᴜ\":\"U\",\n\t\"ᴠ\":\"V\",\n\t\"ᴡ\":\"W\",\n\t\"ʏ\":\"Y\",\n\t\"ᴢ\":\"Z\",\n\t\"á\":\"a\",\n\t\"ă\":\"a\",\n\t\"ắ\":\"a\",\n\t\"ặ\":\"a\",\n\t\"ằ\":\"a\",\n\t\"ẳ\":\"a\",\n\t\"ẵ\":\"a\",\n\t\"ǎ\":\"a\",\n\t\"â\":\"a\",\n\t\"ấ\":\"a\",\n\t\"ậ\":\"a\",\n\t\"ầ\":\"a\",\n\t\"ẩ\":\"a\",\n\t\"ẫ\":\"a\",\n\t\"ä\":\"a\",\n\t\"ǟ\":\"a\",\n\t\"ȧ\":\"a\",\n\t\"ǡ\":\"a\",\n\t\"ạ\":\"a\",\n\t\"ȁ\":\"a\",\n\t\"à\":\"a\",\n\t\"ả\":\"a\",\n\t\"ȃ\":\"a\",\n\t\"ā\":\"a\",\n\t\"ą\":\"a\",\n\t\"ᶏ\":\"a\",\n\t\"ẚ\":\"a\",\n\t\"å\":\"a\",\n\t\"ǻ\":\"a\",\n\t\"ḁ\":\"a\",\n\t\"ⱥ\":\"a\",\n\t\"ã\":\"a\",\n\t\"ꜳ\":\"aa\",\n\t\"æ\":\"ae\",\n\t\"ǽ\":\"ae\",\n\t\"ǣ\":\"ae\",\n\t\"ꜵ\":\"ao\",\n\t\"ꜷ\":\"au\",\n\t\"ꜹ\":\"av\",\n\t\"ꜻ\":\"av\",\n\t\"ꜽ\":\"ay\",\n\t\"ḃ\":\"b\",\n\t\"ḅ\":\"b\",\n\t\"ɓ\":\"b\",\n\t\"ḇ\":\"b\",\n\t\"ᵬ\":\"b\",\n\t\"ᶀ\":\"b\",\n\t\"ƀ\":\"b\",\n\t\"ƃ\":\"b\",\n\t\"ɵ\":\"o\",\n\t\"ć\":\"c\",\n\t\"č\":\"c\",\n\t\"ç\":\"c\",\n\t\"ḉ\":\"c\",\n\t\"ĉ\":\"c\",\n\t\"ɕ\":\"c\",\n\t\"ċ\":\"c\",\n\t\"ƈ\":\"c\",\n\t\"ȼ\":\"c\",\n\t\"ď\":\"d\",\n\t\"ḑ\":\"d\",\n\t\"ḓ\":\"d\",\n\t\"ȡ\":\"d\",\n\t\"ḋ\":\"d\",\n\t\"ḍ\":\"d\",\n\t\"ɗ\":\"d\",\n\t\"ᶑ\":\"d\",\n\t\"ḏ\":\"d\",\n\t\"ᵭ\":\"d\",\n\t\"ᶁ\":\"d\",\n\t\"đ\":\"d\",\n\t\"ɖ\":\"d\",\n\t\"ƌ\":\"d\",\n\t\"ı\":\"i\",\n\t\"ȷ\":\"j\",\n\t\"ɟ\":\"j\",\n\t\"ʄ\":\"j\",\n\t\"dz\":\"dz\",\n\t\"dž\":\"dz\",\n\t\"é\":\"e\",\n\t\"ĕ\":\"e\",\n\t\"ě\":\"e\",\n\t\"ȩ\":\"e\",\n\t\"ḝ\":\"e\",\n\t\"ê\":\"e\",\n\t\"ế\":\"e\",\n\t\"ệ\":\"e\",\n\t\"ề\":\"e\",\n\t\"ể\":\"e\",\n\t\"ễ\":\"e\",\n\t\"ḙ\":\"e\",\n\t\"ë\":\"e\",\n\t\"ė\":\"e\",\n\t\"ẹ\":\"e\",\n\t\"ȅ\":\"e\",\n\t\"è\":\"e\",\n\t\"ẻ\":\"e\",\n\t\"ȇ\":\"e\",\n\t\"ē\":\"e\",\n\t\"ḗ\":\"e\",\n\t\"ḕ\":\"e\",\n\t\"ⱸ\":\"e\",\n\t\"ę\":\"e\",\n\t\"ᶒ\":\"e\",\n\t\"ɇ\":\"e\",\n\t\"ẽ\":\"e\",\n\t\"ḛ\":\"e\",\n\t\"ꝫ\":\"et\",\n\t\"ḟ\":\"f\",\n\t\"ƒ\":\"f\",\n\t\"ᵮ\":\"f\",\n\t\"ᶂ\":\"f\",\n\t\"ǵ\":\"g\",\n\t\"ğ\":\"g\",\n\t\"ǧ\":\"g\",\n\t\"ģ\":\"g\",\n\t\"ĝ\":\"g\",\n\t\"ġ\":\"g\",\n\t\"ɠ\":\"g\",\n\t\"ḡ\":\"g\",\n\t\"ᶃ\":\"g\",\n\t\"ǥ\":\"g\",\n\t\"ḫ\":\"h\",\n\t\"ȟ\":\"h\",\n\t\"ḩ\":\"h\",\n\t\"ĥ\":\"h\",\n\t\"ⱨ\":\"h\",\n\t\"ḧ\":\"h\",\n\t\"ḣ\":\"h\",\n\t\"ḥ\":\"h\",\n\t\"ɦ\":\"h\",\n\t\"ẖ\":\"h\",\n\t\"ħ\":\"h\",\n\t\"ƕ\":\"hv\",\n\t\"í\":\"i\",\n\t\"ĭ\":\"i\",\n\t\"ǐ\":\"i\",\n\t\"î\":\"i\",\n\t\"ï\":\"i\",\n\t\"ḯ\":\"i\",\n\t\"ị\":\"i\",\n\t\"ȉ\":\"i\",\n\t\"ì\":\"i\",\n\t\"ỉ\":\"i\",\n\t\"ȋ\":\"i\",\n\t\"ī\":\"i\",\n\t\"į\":\"i\",\n\t\"ᶖ\":\"i\",\n\t\"ɨ\":\"i\",\n\t\"ĩ\":\"i\",\n\t\"ḭ\":\"i\",\n\t\"ꝺ\":\"d\",\n\t\"ꝼ\":\"f\",\n\t\"ᵹ\":\"g\",\n\t\"ꞃ\":\"r\",\n\t\"ꞅ\":\"s\",\n\t\"ꞇ\":\"t\",\n\t\"ꝭ\":\"is\",\n\t\"ǰ\":\"j\",\n\t\"ĵ\":\"j\",\n\t\"ʝ\":\"j\",\n\t\"ɉ\":\"j\",\n\t\"ḱ\":\"k\",\n\t\"ǩ\":\"k\",\n\t\"ķ\":\"k\",\n\t\"ⱪ\":\"k\",\n\t\"ꝃ\":\"k\",\n\t\"ḳ\":\"k\",\n\t\"ƙ\":\"k\",\n\t\"ḵ\":\"k\",\n\t\"ᶄ\":\"k\",\n\t\"ꝁ\":\"k\",\n\t\"ꝅ\":\"k\",\n\t\"ĺ\":\"l\",\n\t\"ƚ\":\"l\",\n\t\"ɬ\":\"l\",\n\t\"ľ\":\"l\",\n\t\"ļ\":\"l\",\n\t\"ḽ\":\"l\",\n\t\"ȴ\":\"l\",\n\t\"ḷ\":\"l\",\n\t\"ḹ\":\"l\",\n\t\"ⱡ\":\"l\",\n\t\"ꝉ\":\"l\",\n\t\"ḻ\":\"l\",\n\t\"ŀ\":\"l\",\n\t\"ɫ\":\"l\",\n\t\"ᶅ\":\"l\",\n\t\"ɭ\":\"l\",\n\t\"ł\":\"l\",\n\t\"lj\":\"lj\",\n\t\"ſ\":\"s\",\n\t\"ẜ\":\"s\",\n\t\"ẛ\":\"s\",\n\t\"ẝ\":\"s\",\n\t\"ḿ\":\"m\",\n\t\"ṁ\":\"m\",\n\t\"ṃ\":\"m\",\n\t\"ɱ\":\"m\",\n\t\"ᵯ\":\"m\",\n\t\"ᶆ\":\"m\",\n\t\"ń\":\"n\",\n\t\"ň\":\"n\",\n\t\"ņ\":\"n\",\n\t\"ṋ\":\"n\",\n\t\"ȵ\":\"n\",\n\t\"ṅ\":\"n\",\n\t\"ṇ\":\"n\",\n\t\"ǹ\":\"n\",\n\t\"ɲ\":\"n\",\n\t\"ṉ\":\"n\",\n\t\"ƞ\":\"n\",\n\t\"ᵰ\":\"n\",\n\t\"ᶇ\":\"n\",\n\t\"ɳ\":\"n\",\n\t\"ñ\":\"n\",\n\t\"nj\":\"nj\",\n\t\"ó\":\"o\",\n\t\"ŏ\":\"o\",\n\t\"ǒ\":\"o\",\n\t\"ô\":\"o\",\n\t\"ố\":\"o\",\n\t\"ộ\":\"o\",\n\t\"ồ\":\"o\",\n\t\"ổ\":\"o\",\n\t\"ỗ\":\"o\",\n\t\"ö\":\"o\",\n\t\"ȫ\":\"o\",\n\t\"ȯ\":\"o\",\n\t\"ȱ\":\"o\",\n\t\"ọ\":\"o\",\n\t\"ő\":\"o\",\n\t\"ȍ\":\"o\",\n\t\"ò\":\"o\",\n\t\"ỏ\":\"o\",\n\t\"ơ\":\"o\",\n\t\"ớ\":\"o\",\n\t\"ợ\":\"o\",\n\t\"ờ\":\"o\",\n\t\"ở\":\"o\",\n\t\"ỡ\":\"o\",\n\t\"ȏ\":\"o\",\n\t\"ꝋ\":\"o\",\n\t\"ꝍ\":\"o\",\n\t\"ⱺ\":\"o\",\n\t\"ō\":\"o\",\n\t\"ṓ\":\"o\",\n\t\"ṑ\":\"o\",\n\t\"ǫ\":\"o\",\n\t\"ǭ\":\"o\",\n\t\"ø\":\"o\",\n\t\"ǿ\":\"o\",\n\t\"õ\":\"o\",\n\t\"ṍ\":\"o\",\n\t\"ṏ\":\"o\",\n\t\"ȭ\":\"o\",\n\t\"ƣ\":\"oi\",\n\t\"ꝏ\":\"oo\",\n\t\"ɛ\":\"e\",\n\t\"ᶓ\":\"e\",\n\t\"ɔ\":\"o\",\n\t\"ᶗ\":\"o\",\n\t\"ȣ\":\"ou\",\n\t\"ṕ\":\"p\",\n\t\"ṗ\":\"p\",\n\t\"ꝓ\":\"p\",\n\t\"ƥ\":\"p\",\n\t\"ᵱ\":\"p\",\n\t\"ᶈ\":\"p\",\n\t\"ꝕ\":\"p\",\n\t\"ᵽ\":\"p\",\n\t\"ꝑ\":\"p\",\n\t\"ꝙ\":\"q\",\n\t\"ʠ\":\"q\",\n\t\"ɋ\":\"q\",\n\t\"ꝗ\":\"q\",\n\t\"ŕ\":\"r\",\n\t\"ř\":\"r\",\n\t\"ŗ\":\"r\",\n\t\"ṙ\":\"r\",\n\t\"ṛ\":\"r\",\n\t\"ṝ\":\"r\",\n\t\"ȑ\":\"r\",\n\t\"ɾ\":\"r\",\n\t\"ᵳ\":\"r\",\n\t\"ȓ\":\"r\",\n\t\"ṟ\":\"r\",\n\t\"ɼ\":\"r\",\n\t\"ᵲ\":\"r\",\n\t\"ᶉ\":\"r\",\n\t\"ɍ\":\"r\",\n\t\"ɽ\":\"r\",\n\t\"ↄ\":\"c\",\n\t\"ꜿ\":\"c\",\n\t\"ɘ\":\"e\",\n\t\"ɿ\":\"r\",\n\t\"ś\":\"s\",\n\t\"ṥ\":\"s\",\n\t\"š\":\"s\",\n\t\"ṧ\":\"s\",\n\t\"ş\":\"s\",\n\t\"ŝ\":\"s\",\n\t\"ș\":\"s\",\n\t\"ṡ\":\"s\",\n\t\"ṣ\":\"s\",\n\t\"ṩ\":\"s\",\n\t\"ʂ\":\"s\",\n\t\"ᵴ\":\"s\",\n\t\"ᶊ\":\"s\",\n\t\"ȿ\":\"s\",\n\t\"ɡ\":\"g\",\n\t\"ᴑ\":\"o\",\n\t\"ᴓ\":\"o\",\n\t\"ᴝ\":\"u\",\n\t\"ť\":\"t\",\n\t\"ţ\":\"t\",\n\t\"ṱ\":\"t\",\n\t\"ț\":\"t\",\n\t\"ȶ\":\"t\",\n\t\"ẗ\":\"t\",\n\t\"ⱦ\":\"t\",\n\t\"ṫ\":\"t\",\n\t\"ṭ\":\"t\",\n\t\"ƭ\":\"t\",\n\t\"ṯ\":\"t\",\n\t\"ᵵ\":\"t\",\n\t\"ƫ\":\"t\",\n\t\"ʈ\":\"t\",\n\t\"ŧ\":\"t\",\n\t\"ᵺ\":\"th\",\n\t\"ɐ\":\"a\",\n\t\"ᴂ\":\"ae\",\n\t\"ǝ\":\"e\",\n\t\"ᵷ\":\"g\",\n\t\"ɥ\":\"h\",\n\t\"ʮ\":\"h\",\n\t\"ʯ\":\"h\",\n\t\"ᴉ\":\"i\",\n\t\"ʞ\":\"k\",\n\t\"ꞁ\":\"l\",\n\t\"ɯ\":\"m\",\n\t\"ɰ\":\"m\",\n\t\"ᴔ\":\"oe\",\n\t\"ɹ\":\"r\",\n\t\"ɻ\":\"r\",\n\t\"ɺ\":\"r\",\n\t\"ⱹ\":\"r\",\n\t\"ʇ\":\"t\",\n\t\"ʌ\":\"v\",\n\t\"ʍ\":\"w\",\n\t\"ʎ\":\"y\",\n\t\"ꜩ\":\"tz\",\n\t\"ú\":\"u\",\n\t\"ŭ\":\"u\",\n\t\"ǔ\":\"u\",\n\t\"û\":\"u\",\n\t\"ṷ\":\"u\",\n\t\"ü\":\"u\",\n\t\"ǘ\":\"u\",\n\t\"ǚ\":\"u\",\n\t\"ǜ\":\"u\",\n\t\"ǖ\":\"u\",\n\t\"ṳ\":\"u\",\n\t\"ụ\":\"u\",\n\t\"ű\":\"u\",\n\t\"ȕ\":\"u\",\n\t\"ù\":\"u\",\n\t\"ủ\":\"u\",\n\t\"ư\":\"u\",\n\t\"ứ\":\"u\",\n\t\"ự\":\"u\",\n\t\"ừ\":\"u\",\n\t\"ử\":\"u\",\n\t\"ữ\":\"u\",\n\t\"ȗ\":\"u\",\n\t\"ū\":\"u\",\n\t\"ṻ\":\"u\",\n\t\"ų\":\"u\",\n\t\"ᶙ\":\"u\",\n\t\"ů\":\"u\",\n\t\"ũ\":\"u\",\n\t\"ṹ\":\"u\",\n\t\"ṵ\":\"u\",\n\t\"ᵫ\":\"ue\",\n\t\"ꝸ\":\"um\",\n\t\"ⱴ\":\"v\",\n\t\"ꝟ\":\"v\",\n\t\"ṿ\":\"v\",\n\t\"ʋ\":\"v\",\n\t\"ᶌ\":\"v\",\n\t\"ⱱ\":\"v\",\n\t\"ṽ\":\"v\",\n\t\"ꝡ\":\"vy\",\n\t\"ẃ\":\"w\",\n\t\"ŵ\":\"w\",\n\t\"ẅ\":\"w\",\n\t\"ẇ\":\"w\",\n\t\"ẉ\":\"w\",\n\t\"ẁ\":\"w\",\n\t\"ⱳ\":\"w\",\n\t\"ẘ\":\"w\",\n\t\"ẍ\":\"x\",\n\t\"ẋ\":\"x\",\n\t\"ᶍ\":\"x\",\n\t\"ý\":\"y\",\n\t\"ŷ\":\"y\",\n\t\"ÿ\":\"y\",\n\t\"ẏ\":\"y\",\n\t\"ỵ\":\"y\",\n\t\"ỳ\":\"y\",\n\t\"ƴ\":\"y\",\n\t\"ỷ\":\"y\",\n\t\"ỿ\":\"y\",\n\t\"ȳ\":\"y\",\n\t\"ẙ\":\"y\",\n\t\"ɏ\":\"y\",\n\t\"ỹ\":\"y\",\n\t\"ź\":\"z\",\n\t\"ž\":\"z\",\n\t\"ẑ\":\"z\",\n\t\"ʑ\":\"z\",\n\t\"ⱬ\":\"z\",\n\t\"ż\":\"z\",\n\t\"ẓ\":\"z\",\n\t\"ȥ\":\"z\",\n\t\"ẕ\":\"z\",\n\t\"ᵶ\":\"z\",\n\t\"ᶎ\":\"z\",\n\t\"ʐ\":\"z\",\n\t\"ƶ\":\"z\",\n\t\"ɀ\":\"z\",\n\t\"ff\":\"ff\",\n\t\"ffi\":\"ffi\",\n\t\"ffl\":\"ffl\",\n\t\"fi\":\"fi\",\n\t\"fl\":\"fl\",\n\t\"ij\":\"ij\",\n\t\"œ\":\"oe\",\n\t\"st\":\"st\",\n\t\"ₐ\":\"a\",\n\t\"ₑ\":\"e\",\n\t\"ᵢ\":\"i\",\n\t\"ⱼ\":\"j\",\n\t\"ₒ\":\"o\",\n\t\"ᵣ\":\"r\",\n\t\"ᵤ\":\"u\",\n\t\"ᵥ\":\"v\",\n\t\"ₓ\":\"x\",\n\t\"Ё\":\"YO\",\n\t\"Й\":\"I\",\n\t\"Ц\":\"TS\",\n\t\"У\":\"U\",\n\t\"К\":\"K\",\n\t\"Е\":\"E\",\n\t\"Н\":\"N\",\n\t\"Г\":\"G\",\n\t\"Ш\":\"SH\",\n\t\"Щ\":\"SCH\",\n\t\"З\":\"Z\",\n\t\"Х\":\"H\",\n\t\"Ъ\":\"'\",\n\t\"ё\":\"yo\",\n\t\"й\":\"i\",\n\t\"ц\":\"ts\",\n\t\"у\":\"u\",\n\t\"к\":\"k\",\n\t\"е\":\"e\",\n\t\"н\":\"n\",\n\t\"г\":\"g\",\n\t\"ш\":\"sh\",\n\t\"щ\":\"sch\",\n\t\"з\":\"z\",\n\t\"х\":\"h\",\n\t\"ъ\":\"'\",\n\t\"Ф\":\"F\",\n\t\"Ы\":\"I\",\n\t\"В\":\"V\",\n\t\"А\":\"a\",\n\t\"П\":\"P\",\n\t\"Р\":\"R\",\n\t\"О\":\"O\",\n\t\"Л\":\"L\",\n\t\"Д\":\"D\",\n\t\"Ж\":\"ZH\",\n\t\"Э\":\"E\",\n\t\"ф\":\"f\",\n\t\"ы\":\"i\",\n\t\"в\":\"v\",\n\t\"а\":\"a\",\n\t\"п\":\"p\",\n\t\"р\":\"r\",\n\t\"о\":\"o\",\n\t\"л\":\"l\",\n\t\"д\":\"d\",\n\t\"ж\":\"zh\",\n\t\"э\":\"e\",\n\t\"Я\":\"Ya\",\n\t\"Ч\":\"CH\",\n\t\"С\":\"S\",\n\t\"М\":\"M\",\n\t\"И\":\"I\",\n\t\"Т\":\"T\",\n\t\"Ь\":\"'\",\n\t\"Б\":\"B\",\n\t\"Ю\":\"YU\",\n\t\"я\":\"ya\",\n\t\"ч\":\"ch\",\n\t\"с\":\"s\",\n\t\"м\":\"m\",\n\t\"и\":\"i\",\n\t\"т\":\"t\",\n\t\"ь\":\"'\",\n\t\"б\":\"b\",\n\t\"ю\":\"yu\"\n};\n\nexports.transliterate = function(str) {\n\treturn str.replace(/[^A-Za-z0-9\\[\\] ]/g,function(ch) {\n\t\treturn exports.transliterationPairs[ch] || ch\n\t});\n};\n\nexports.transliterateToSafeASCII = function(str) {\n\treturn str.replace(/[^\\x00-\\x7F]/g,function(ch) {\n\t\treturn exports.transliterationPairs[ch] || \"\"\n\t});\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/utils/utils.js": {
"title": "$:/core/modules/utils/utils.js",
"text": "/*\\\ntitle: $:/core/modules/utils/utils.js\ntype: application/javascript\nmodule-type: utils\n\nVarious static utility functions.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar base64utf8 = require(\"$:/core/modules/utils/base64-utf8/base64-utf8.module.js\");\n\n/*\nDisplay a message, in colour if we're on a terminal\n*/\nexports.log = function(text,colour) {\n\tconsole.log($tw.node ? exports.terminalColour(colour) + text + exports.terminalColour() : text);\n};\n\nexports.terminalColour = function(colour) {\n\tif(!$tw.browser && $tw.node && process.stdout.isTTY) {\n\t\tif(colour) {\n\t\t\tvar code = exports.terminalColourLookup[colour];\n\t\t\tif(code) {\n\t\t\t\treturn \"\\x1b[\" + code + \"m\";\n\t\t\t}\n\t\t} else {\n\t\t\treturn \"\\x1b[0m\"; // Cancel colour\n\t\t}\n\t}\n\treturn \"\";\n};\n\nexports.terminalColourLookup = {\n\t\"black\": \"0;30\",\n\t\"red\": \"0;31\",\n\t\"green\": \"0;32\",\n\t\"brown/orange\": \"0;33\",\n\t\"blue\": \"0;34\",\n\t\"purple\": \"0;35\",\n\t\"cyan\": \"0;36\",\n\t\"light gray\": \"0;37\"\n};\n\n/*\nDisplay a warning, in colour if we're on a terminal\n*/\nexports.warning = function(text) {\n\texports.log(text,\"brown/orange\");\n};\n\n/*\nLog a table of name: value pairs\n*/\nexports.logTable = function(data) {\n\tif(console.table) {\n\t\tconsole.table(data);\n\t} else {\n\t\t$tw.utils.each(data,function(value,name) {\n\t\t\tconsole.log(name + \": \" + value);\n\t\t});\n\t}\n}\n\n/*\nReturn the integer represented by the str (string).\nReturn the dflt (default) parameter if str is not a base-10 number.\n*/\nexports.getInt = function(str,deflt) {\n\tvar i = parseInt(str,10);\n\treturn isNaN(i) ? deflt : i;\n}\n\n/*\nRepeatedly replaces a substring within a string. Like String.prototype.replace, but without any of the default special handling of $ sequences in the replace string\n*/\nexports.replaceString = function(text,search,replace) {\n\treturn text.replace(search,function() {\n\t\treturn replace;\n\t});\n};\n\n/*\nRepeats a string\n*/\nexports.repeat = function(str,count) {\n\tvar result = \"\";\n\tfor(var t=0;t<count;t++) {\n\t\tresult += str;\n\t}\n\treturn result;\n};\n\n/*\nTrim whitespace from the start and end of a string\nThanks to Steven Levithan, http://blog.stevenlevithan.com/archives/faster-trim-javascript\n*/\nexports.trim = function(str) {\n\tif(typeof str === \"string\") {\n\t\treturn str.replace(/^\\s\\s*/, '').replace(/\\s\\s*$/, '');\n\t} else {\n\t\treturn str;\n\t}\n};\n\nexports.trimPrefix = function(str,unwanted) {\n\tif(typeof str === \"string\" && typeof unwanted === \"string\") {\n\t\tif(unwanted === \"\") {\n\t\t\treturn str.replace(/^\\s\\s*/, '');\n\t\t} else {\n\t\t\t// Safely regexp-escape the unwanted text\n\t\t\tunwanted = unwanted.replace(/[\\\\^$*+?.()|[\\]{}]/g, '\\\\$&');\n\t\t\tvar regex = new RegExp('^(' + unwanted + ')+');\n\t\t\treturn str.replace(regex, '');\n\t\t}\n\t} else {\n\t\treturn str;\n\t}\n};\n\nexports.trimSuffix = function(str,unwanted) {\n\tif(typeof str === \"string\" && typeof unwanted === \"string\") {\n\t\tif(unwanted === \"\") {\n\t\t\treturn str.replace(/\\s\\s*$/, '');\n\t\t} else {\n\t\t\t// Safely regexp-escape the unwanted text\n\t\t\tunwanted = unwanted.replace(/[\\\\^$*+?.()|[\\]{}]/g, '\\\\$&');\n\t\t\tvar regex = new RegExp('(' + unwanted + ')+$');\n\t\t\treturn str.replace(regex, '');\n\t\t}\n\t} else {\n\t\treturn str;\n\t}\n};\n\n/*\nConvert a string to sentence case (ie capitalise first letter)\n*/\nexports.toSentenceCase = function(str) {\n\treturn (str || \"\").replace(/^\\S/, function(c) {return c.toUpperCase();});\n}\n\n/*\nConvert a string to title case (ie capitalise each initial letter)\n*/\nexports.toTitleCase = function(str) {\n\treturn (str || \"\").replace(/(^|\\s)\\S/g, function(c) {return c.toUpperCase();});\n}\n\t\n/*\nFind the line break preceding a given position in a string\nReturns position immediately after that line break, or the start of the string\n*/\nexports.findPrecedingLineBreak = function(text,pos) {\n\tvar result = text.lastIndexOf(\"\\n\",pos - 1);\n\tif(result === -1) {\n\t\tresult = 0;\n\t} else {\n\t\tresult++;\n\t\tif(text.charAt(result) === \"\\r\") {\n\t\t\tresult++;\n\t\t}\n\t}\n\treturn result;\n};\n\n/*\nFind the line break following a given position in a string\n*/\nexports.findFollowingLineBreak = function(text,pos) {\n\t// Cut to just past the following line break, or to the end of the text\n\tvar result = text.indexOf(\"\\n\",pos);\n\tif(result === -1) {\n\t\tresult = text.length;\n\t} else {\n\t\tif(text.charAt(result) === \"\\r\") {\n\t\t\tresult++;\n\t\t}\n\t}\n\treturn result;\n};\n\n/*\nReturn the number of keys in an object\n*/\nexports.count = function(object) {\n\treturn Object.keys(object || {}).length;\n};\n\n/*\nDetermine whether an array-item is an object-property\n*/\nexports.hopArray = function(object,array) {\n\tfor(var i=0; i<array.length; i++) {\n\t\tif($tw.utils.hop(object,array[i])) {\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false;\n};\n\n/*\nRemove entries from an array\n\tarray: array to modify\n\tvalue: a single value to remove, or an array of values to remove\n*/\nexports.removeArrayEntries = function(array,value) {\n\tvar t,p;\n\tif($tw.utils.isArray(value)) {\n\t\tfor(t=0; t<value.length; t++) {\n\t\t\tp = array.indexOf(value[t]);\n\t\t\tif(p !== -1) {\n\t\t\t\tarray.splice(p,1);\n\t\t\t}\n\t\t}\n\t} else {\n\t\tp = array.indexOf(value);\n\t\tif(p !== -1) {\n\t\t\tarray.splice(p,1);\n\t\t}\n\t}\n};\n\n/*\nCheck whether any members of a hashmap are present in another hashmap\n*/\nexports.checkDependencies = function(dependencies,changes) {\n\tvar hit = false;\n\t$tw.utils.each(changes,function(change,title) {\n\t\tif($tw.utils.hop(dependencies,title)) {\n\t\t\thit = true;\n\t\t}\n\t});\n\treturn hit;\n};\n\nexports.extend = function(object /* [, src] */) {\n\t$tw.utils.each(Array.prototype.slice.call(arguments, 1), function(source) {\n\t\tif(source) {\n\t\t\tfor(var property in source) {\n\t\t\t\tobject[property] = source[property];\n\t\t\t}\n\t\t}\n\t});\n\treturn object;\n};\n\nexports.deepCopy = function(object) {\n\tvar result,t;\n\tif($tw.utils.isArray(object)) {\n\t\t// Copy arrays\n\t\tresult = object.slice(0);\n\t} else if(typeof object === \"object\") {\n\t\tresult = {};\n\t\tfor(t in object) {\n\t\t\tif(object[t] !== undefined) {\n\t\t\t\tresult[t] = $tw.utils.deepCopy(object[t]);\n\t\t\t}\n\t\t}\n\t} else {\n\t\tresult = object;\n\t}\n\treturn result;\n};\n\nexports.extendDeepCopy = function(object,extendedProperties) {\n\tvar result = $tw.utils.deepCopy(object),t;\n\tfor(t in extendedProperties) {\n\t\tif(extendedProperties[t] !== undefined) {\n\t\t\tresult[t] = $tw.utils.deepCopy(extendedProperties[t]);\n\t\t}\n\t}\n\treturn result;\n};\n\nexports.deepFreeze = function deepFreeze(object) {\n\tvar property, key;\n\tif(object) {\n\t\tObject.freeze(object);\n\t\tfor(key in object) {\n\t\t\tproperty = object[key];\n\t\t\tif($tw.utils.hop(object,key) && (typeof property === \"object\") && !Object.isFrozen(property)) {\n\t\t\t\tdeepFreeze(property);\n\t\t\t}\n\t\t}\n\t}\n};\n\nexports.slowInSlowOut = function(t) {\n\treturn (1 - ((Math.cos(t * Math.PI) + 1) / 2));\n};\n\nexports.formatDateString = function(date,template) {\n\tvar result = \"\",\n\t\tt = template,\n\t\tmatches = [\n\t\t\t[/^0hh12/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getHours12(date));\n\t\t\t}],\n\t\t\t[/^wYYYY/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getYearForWeekNo(date),4);\n\t\t\t}],\n\t\t\t[/^hh12/, function() {\n\t\t\t\treturn $tw.utils.getHours12(date);\n\t\t\t}],\n\t\t\t[/^DDth/, function() {\n\t\t\t\treturn date.getDate() + $tw.utils.getDaySuffix(date);\n\t\t\t}],\n\t\t\t[/^YYYY/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getFullYear(),4);\n\t\t\t}],\n\t\t\t[/^aYYYY/, function() {\n\t\t\t\treturn $tw.utils.pad(Math.abs(date.getFullYear()),4);\n\t\t\t}],\n\t\t\t[/^\\{era:([^,\\|}]*)\\|([^}\\|]*)\\|([^}]*)\\}/, function(match) {\n\t\t\t\tvar year = date.getFullYear();\n\t\t\t\treturn year === 0 ? match[2] : (year < 0 ? match[1] : match[3]);\n\t\t\t}],\n\t\t\t[/^0hh/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getHours());\n\t\t\t}],\n\t\t\t[/^0mm/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getMinutes());\n\t\t\t}],\n\t\t\t[/^0ss/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getSeconds());\n\t\t\t}],\n\t\t\t[/^0XXX/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getMilliseconds(),3);\n\t\t\t}],\n\t\t\t[/^0DD/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getDate());\n\t\t\t}],\n\t\t\t[/^0MM/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getMonth()+1);\n\t\t\t}],\n\t\t\t[/^0WW/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getWeek(date));\n\t\t\t}],\n\t\t\t[/^ddd/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Short/Day/\" + date.getDay());\n\t\t\t}],\n\t\t\t[/^mmm/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Short/Month/\" + (date.getMonth() + 1));\n\t\t\t}],\n\t\t\t[/^DDD/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Long/Day/\" + date.getDay());\n\t\t\t}],\n\t\t\t[/^MMM/, function() {\n\t\t\t\treturn $tw.language.getString(\"Date/Long/Month/\" + (date.getMonth() + 1));\n\t\t\t}],\n\t\t\t[/^TZD/, function() {\n\t\t\t\tvar tz = date.getTimezoneOffset(),\n\t\t\t\tatz = Math.abs(tz);\n\t\t\t\treturn (tz < 0 ? '+' : '-') + $tw.utils.pad(Math.floor(atz / 60)) + ':' + $tw.utils.pad(atz % 60);\n\t\t\t}],\n\t\t\t[/^wYY/, function() {\n\t\t\t\treturn $tw.utils.pad($tw.utils.getYearForWeekNo(date) - 2000);\n\t\t\t}],\n\t\t\t[/^[ap]m/, function() {\n\t\t\t\treturn $tw.utils.getAmPm(date).toLowerCase();\n\t\t\t}],\n\t\t\t[/^hh/, function() {\n\t\t\t\treturn date.getHours();\n\t\t\t}],\n\t\t\t[/^mm/, function() {\n\t\t\t\treturn date.getMinutes();\n\t\t\t}],\n\t\t\t[/^ss/, function() {\n\t\t\t\treturn date.getSeconds();\n\t\t\t}],\n\t\t\t[/^XXX/, function() {\n\t\t\t\treturn date.getMilliseconds();\n\t\t\t}],\n\t\t\t[/^[AP]M/, function() {\n\t\t\t\treturn $tw.utils.getAmPm(date).toUpperCase();\n\t\t\t}],\n\t\t\t[/^DD/, function() {\n\t\t\t\treturn date.getDate();\n\t\t\t}],\n\t\t\t[/^MM/, function() {\n\t\t\t\treturn date.getMonth() + 1;\n\t\t\t}],\n\t\t\t[/^WW/, function() {\n\t\t\t\treturn $tw.utils.getWeek(date);\n\t\t\t}],\n\t\t\t[/^YY/, function() {\n\t\t\t\treturn $tw.utils.pad(date.getFullYear() - 2000);\n\t\t\t}]\n\t\t];\n\t// If the user wants everything in UTC, shift the datestamp\n\t// Optimize for format string that essentially means\n\t// 'return raw UTC (tiddlywiki style) date string.'\n\tif(t.indexOf(\"[UTC]\") == 0 ) {\n\t\tif(t == \"[UTC]YYYY0MM0DD0hh0mm0ssXXX\")\n\t\t\treturn $tw.utils.stringifyDate(new Date());\n\t\tvar offset = date.getTimezoneOffset() ; // in minutes\n\t\tdate = new Date(date.getTime()+offset*60*1000) ;\n\t\tt = t.substr(5) ;\n\t}\n\twhile(t.length){\n\t\tvar matchString = \"\";\n\t\t$tw.utils.each(matches, function(m) {\n\t\t\tvar match = m[0].exec(t);\n\t\t\tif(match) {\n\t\t\t\tmatchString = m[1].call(null,match);\n\t\t\t\tt = t.substr(match[0].length);\n\t\t\t\treturn false;\n\t\t\t}\n\t\t});\n\t\tif(matchString) {\n\t\t\tresult += matchString;\n\t\t} else {\n\t\t\tresult += t.charAt(0);\n\t\t\tt = t.substr(1);\n\t\t}\n\t}\n\tresult = result.replace(/\\\\(.)/g,\"$1\");\n\treturn result;\n};\n\nexports.getAmPm = function(date) {\n\treturn $tw.language.getString(\"Date/Period/\" + (date.getHours() >= 12 ? \"pm\" : \"am\"));\n};\n\nexports.getDaySuffix = function(date) {\n\treturn $tw.language.getString(\"Date/DaySuffix/\" + date.getDate());\n};\n\nexports.getWeek = function(date) {\n\tvar dt = new Date(date.getTime());\n\tvar d = dt.getDay();\n\tif(d === 0) {\n\t\td = 7; // JavaScript Sun=0, ISO Sun=7\n\t}\n\tdt.setTime(dt.getTime() + (4 - d) * 86400000);// shift day to Thurs of same week to calculate weekNo\n\tvar x = new Date(dt.getFullYear(),0,1);\n\tvar n = Math.floor((dt.getTime() - x.getTime()) / 86400000);\n\treturn Math.floor(n / 7) + 1;\n};\n\nexports.getYearForWeekNo = function(date) {\n\tvar dt = new Date(date.getTime());\n\tvar d = dt.getDay();\n\tif(d === 0) {\n\t\td = 7; // JavaScript Sun=0, ISO Sun=7\n\t}\n\tdt.setTime(dt.getTime() + (4 - d) * 86400000);// shift day to Thurs of same week\n\treturn dt.getFullYear();\n};\n\nexports.getHours12 = function(date) {\n\tvar h = date.getHours();\n\treturn h > 12 ? h-12 : ( h > 0 ? h : 12 );\n};\n\n/*\nConvert a date delta in milliseconds into a string representation of \"23 seconds ago\", \"27 minutes ago\" etc.\n\tdelta: delta in milliseconds\nReturns an object with these members:\n\tdescription: string describing the delta period\n\tupdatePeriod: time in millisecond until the string will be inaccurate\n*/\nexports.getRelativeDate = function(delta) {\n\tvar futurep = false;\n\tif(delta < 0) {\n\t\tdelta = -1 * delta;\n\t\tfuturep = true;\n\t}\n\tvar units = [\n\t\t{name: \"Years\", duration: 365 * 24 * 60 * 60 * 1000},\n\t\t{name: \"Months\", duration: (365/12) * 24 * 60 * 60 * 1000},\n\t\t{name: \"Days\", duration: 24 * 60 * 60 * 1000},\n\t\t{name: \"Hours\", duration: 60 * 60 * 1000},\n\t\t{name: \"Minutes\", duration: 60 * 1000},\n\t\t{name: \"Seconds\", duration: 1000}\n\t];\n\tfor(var t=0; t<units.length; t++) {\n\t\tvar result = Math.floor(delta / units[t].duration);\n\t\tif(result >= 2) {\n\t\t\treturn {\n\t\t\t\tdelta: delta,\n\t\t\t\tdescription: $tw.language.getString(\n\t\t\t\t\t\"RelativeDate/\" + (futurep ? \"Future\" : \"Past\") + \"/\" + units[t].name,\n\t\t\t\t\t{variables:\n\t\t\t\t\t\t{period: result.toString()}\n\t\t\t\t\t}\n\t\t\t\t),\n\t\t\t\tupdatePeriod: units[t].duration\n\t\t\t};\n\t\t}\n\t}\n\treturn {\n\t\tdelta: delta,\n\t\tdescription: $tw.language.getString(\n\t\t\t\"RelativeDate/\" + (futurep ? \"Future\" : \"Past\") + \"/Second\",\n\t\t\t{variables:\n\t\t\t\t{period: \"1\"}\n\t\t\t}\n\t\t),\n\t\tupdatePeriod: 1000\n\t};\n};\n\n// Convert & to \"&\", < to \"<\", > to \">\", \" to \""\"\nexports.htmlEncode = function(s) {\n\tif(s) {\n\t\treturn s.toString().replace(/&/mg,\"&\").replace(/</mg,\"<\").replace(/>/mg,\">\").replace(/\\\"/mg,\""\");\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\n// Converts all HTML entities to their character equivalents\nexports.entityDecode = function(s) {\n\tvar converter = String.fromCodePoint || String.fromCharCode,\n\t\te = s.substr(1,s.length-2), // Strip the & and the ;\n\t\tc;\n\tif(e.charAt(0) === \"#\") {\n\t\tif(e.charAt(1) === \"x\" || e.charAt(1) === \"X\") {\n\t\t\tc = parseInt(e.substr(2),16);\n\t\t} else {\n\t\t\tc = parseInt(e.substr(1),10);\n\t\t}\n\t\tif(isNaN(c)) {\n\t\t\treturn s;\n\t\t} else {\n\t\t\treturn converter(c);\n\t\t}\n\t} else {\n\t\tc = $tw.config.htmlEntities[e];\n\t\tif(c) {\n\t\t\treturn converter(c);\n\t\t} else {\n\t\t\treturn s; // Couldn't convert it as an entity, just return it raw\n\t\t}\n\t}\n};\n\nexports.unescapeLineBreaks = function(s) {\n\treturn s.replace(/\\\\n/mg,\"\\n\").replace(/\\\\b/mg,\" \").replace(/\\\\s/mg,\"\\\\\").replace(/\\r/mg,\"\");\n};\n\n/*\n * Returns an escape sequence for given character. Uses \\x for characters <=\n * 0xFF to save space, \\u for the rest.\n *\n * The code needs to be in sync with th code template in the compilation\n * function for \"action\" nodes.\n */\n// Copied from peg.js, thanks to David Majda\nexports.escape = function(ch) {\n\tvar charCode = ch.charCodeAt(0);\n\tif(charCode <= 0xFF) {\n\t\treturn '\\\\x' + $tw.utils.pad(charCode.toString(16).toUpperCase());\n\t} else {\n\t\treturn '\\\\u' + $tw.utils.pad(charCode.toString(16).toUpperCase(),4);\n\t}\n};\n\n// Turns a string into a legal JavaScript string\n// Copied from peg.js, thanks to David Majda\nexports.stringify = function(s, rawUnicode) {\n\t/*\n\t* ECMA-262, 5th ed., 7.8.4: All characters may appear literally in a string\n\t* literal except for the closing quote character, backslash, carriage return,\n\t* line separator, paragraph separator, and line feed. Any character may\n\t* appear in the form of an escape sequence.\n\t*\n\t* For portability, we also escape all non-ASCII characters.\n\t*/\n\tvar regex = rawUnicode ? /[\\x00-\\x1f]/g : /[\\x00-\\x1f\\x80-\\uFFFF]/g;\n\treturn (s || \"\")\n\t\t.replace(/\\\\/g, '\\\\\\\\') // backslash\n\t\t.replace(/\"/g, '\\\\\"') // double quote character\n\t\t.replace(/'/g, \"\\\\'\") // single quote character\n\t\t.replace(/\\r/g, '\\\\r') // carriage return\n\t\t.replace(/\\n/g, '\\\\n') // line feed\n\t\t.replace(regex, exports.escape); // non-ASCII characters\n};\n\n// Turns a string into a legal JSON string\n// Derived from peg.js, thanks to David Majda\nexports.jsonStringify = function(s, rawUnicode) {\n\t// See http://www.json.org/\n\tvar regex = rawUnicode ? /[\\x00-\\x1f]/g : /[\\x00-\\x1f\\x80-\\uFFFF]/g;\n\treturn (s || \"\")\n\t\t.replace(/\\\\/g, '\\\\\\\\') // backslash\n\t\t.replace(/\"/g, '\\\\\"') // double quote character\n\t\t.replace(/\\r/g, '\\\\r') // carriage return\n\t\t.replace(/\\n/g, '\\\\n') // line feed\n\t\t.replace(/\\x08/g, '\\\\b') // backspace\n\t\t.replace(/\\x0c/g, '\\\\f') // formfeed\n\t\t.replace(/\\t/g, '\\\\t') // tab\n\t\t.replace(regex,function(s) {\n\t\t\treturn '\\\\u' + $tw.utils.pad(s.charCodeAt(0).toString(16).toUpperCase(),4);\n\t\t}); // non-ASCII characters\n};\n\n/*\nEscape the RegExp special characters with a preceding backslash\n*/\nexports.escapeRegExp = function(s) {\n return s.replace(/[\\-\\/\\\\\\^\\$\\*\\+\\?\\.\\(\\)\\|\\[\\]\\{\\}]/g, '\\\\$&');\n};\n\n// Checks whether a link target is external, i.e. not a tiddler title\nexports.isLinkExternal = function(to) {\n\tvar externalRegExp = /^(?:file|http|https|mailto|ftp|irc|news|data|skype):[^\\s<>{}\\[\\]`|\"\\\\^]+(?:\\/|\\b)/i;\n\treturn externalRegExp.test(to);\n};\n\nexports.nextTick = function(fn) {\n/*global window: false */\n\tif(typeof process === \"undefined\") {\n\t\t// Apparently it would be faster to use postMessage - http://dbaron.org/log/20100309-faster-timeouts\n\t\twindow.setTimeout(fn,0);\n\t} else {\n\t\tprocess.nextTick(fn);\n\t}\n};\n\n/*\nConvert a hyphenated CSS property name into a camel case one\n*/\nexports.unHyphenateCss = function(propName) {\n\treturn propName.replace(/-([a-z])/gi, function(match0,match1) {\n\t\treturn match1.toUpperCase();\n\t});\n};\n\n/*\nConvert a camelcase CSS property name into a dashed one (\"backgroundColor\" --> \"background-color\")\n*/\nexports.hyphenateCss = function(propName) {\n\treturn propName.replace(/([A-Z])/g, function(match0,match1) {\n\t\treturn \"-\" + match1.toLowerCase();\n\t});\n};\n\n/*\nParse a text reference of one of these forms:\n* title\n* !!field\n* title!!field\n* title##index\n* etc\nReturns an object with the following fields, all optional:\n* title: tiddler title\n* field: tiddler field name\n* index: JSON property index\n*/\nexports.parseTextReference = function(textRef) {\n\t// Separate out the title, field name and/or JSON indices\n\tvar reTextRef = /(?:(.*?)!!(.+))|(?:(.*?)##(.+))|(.*)/mg,\n\t\tmatch = reTextRef.exec(textRef),\n\t\tresult = {};\n\tif(match && reTextRef.lastIndex === textRef.length) {\n\t\t// Return the parts\n\t\tif(match[1]) {\n\t\t\tresult.title = match[1];\n\t\t}\n\t\tif(match[2]) {\n\t\t\tresult.field = match[2];\n\t\t}\n\t\tif(match[3]) {\n\t\t\tresult.title = match[3];\n\t\t}\n\t\tif(match[4]) {\n\t\t\tresult.index = match[4];\n\t\t}\n\t\tif(match[5]) {\n\t\t\tresult.title = match[5];\n\t\t}\n\t} else {\n\t\t// If we couldn't parse it\n\t\tresult.title = textRef\n\t}\n\treturn result;\n};\n\n/*\nChecks whether a string is a valid fieldname\n*/\nexports.isValidFieldName = function(name) {\n\tif(!name || typeof name !== \"string\") {\n\t\treturn false;\n\t}\n\tname = name.toLowerCase().trim();\n\tvar fieldValidatorRegEx = /^[a-z0-9\\-\\._]+$/mg;\n\treturn fieldValidatorRegEx.test(name);\n};\n\n/*\nExtract the version number from the meta tag or from the boot file\n*/\n\n// Browser version\nexports.extractVersionInfo = function() {\n\tif($tw.packageInfo) {\n\t\treturn $tw.packageInfo.version;\n\t} else {\n\t\tvar metatags = document.getElementsByTagName(\"meta\");\n\t\tfor(var t=0; t<metatags.length; t++) {\n\t\t\tvar m = metatags[t];\n\t\t\tif(m.name === \"tiddlywiki-version\") {\n\t\t\t\treturn m.content;\n\t\t\t}\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nGet the animation duration in ms\n*/\nexports.getAnimationDuration = function() {\n\treturn parseInt($tw.wiki.getTiddlerText(\"$:/config/AnimationDuration\",\"400\"),10) || 0;\n};\n\n/*\nHash a string to a number\nDerived from http://stackoverflow.com/a/15710692\n*/\nexports.hashString = function(str) {\n\treturn str.split(\"\").reduce(function(a,b) {\n\t\ta = ((a << 5) - a) + b.charCodeAt(0);\n\t\treturn a & a;\n\t},0);\n};\n\n/*\nDecode a base64 string\n*/\nexports.base64Decode = function(string64) {\n\treturn base64utf8.base64.decode.call(base64utf8,string64);\n};\n\n/*\nEncode a string to base64\n*/\nexports.base64Encode = function(string64) {\n\treturn base64utf8.base64.encode.call(base64utf8,string64);\n};\n\n/*\nConvert a hashmap into a tiddler dictionary format sequence of name:value pairs\n*/\nexports.makeTiddlerDictionary = function(data) {\n\tvar output = [];\n\tfor(var name in data) {\n\t\toutput.push(name + \": \" + data[name]);\n\t}\n\treturn output.join(\"\\n\");\n};\n\n/*\nHigh resolution microsecond timer for profiling\n*/\nexports.timer = function(base) {\n\tvar m;\n\tif($tw.node) {\n\t\tvar r = process.hrtime();\n\t\tm = r[0] * 1e3 + (r[1] / 1e6);\n\t} else if(window.performance) {\n\t\tm = performance.now();\n\t} else {\n\t\tm = Date.now();\n\t}\n\tif(typeof base !== \"undefined\") {\n\t\tm = m - base;\n\t}\n\treturn m;\n};\n\n/*\nConvert text and content type to a data URI\n*/\nexports.makeDataUri = function(text,type,_canonical_uri) {\n\ttype = type || \"text/vnd.tiddlywiki\";\n\tvar typeInfo = $tw.config.contentTypeInfo[type] || $tw.config.contentTypeInfo[\"text/plain\"],\n\t\tisBase64 = typeInfo.encoding === \"base64\",\n\t\tparts = [];\n\tif(_canonical_uri) {\n\t\tparts.push(_canonical_uri);\n\t} else {\n\t\tparts.push(\"data:\");\n\t\tparts.push(type);\n\t\tparts.push(isBase64 ? \";base64\" : \"\");\n\t\tparts.push(\",\");\n\t\tparts.push(isBase64 ? text : encodeURIComponent(text));\t\t\n\t}\n\treturn parts.join(\"\");\n};\n\n/*\nUseful for finding out the fully escaped CSS selector equivalent to a given tag. For example:\n\n$tw.utils.tagToCssSelector(\"$:/tags/Stylesheet\") --> tc-tagged-\\%24\\%3A\\%2Ftags\\%2FStylesheet\n*/\nexports.tagToCssSelector = function(tagName) {\n\treturn \"tc-tagged-\" + encodeURIComponent(tagName).replace(/[!\"#$%&'()*+,\\-./:;<=>?@[\\\\\\]^`{\\|}~,]/mg,function(c) {\n\t\treturn \"\\\\\" + c;\n\t});\n};\n\n/*\nIE does not have sign function\n*/\nexports.sign = Math.sign || function(x) {\n\tx = +x; // convert to a number\n\tif (x === 0 || isNaN(x)) {\n\t\treturn x;\n\t}\n\treturn x > 0 ? 1 : -1;\n};\n\n/*\nIE does not have an endsWith function\n*/\nexports.strEndsWith = function(str,ending,position) {\n\tif(str.endsWith) {\n\t\treturn str.endsWith(ending,position);\n\t} else {\n\t\tif (typeof position !== 'number' || !isFinite(position) || Math.floor(position) !== position || position > str.length) {\n\t\t\tposition = str.length;\n\t\t}\n\t\tposition -= ending.length;\n\t\tvar lastIndex = str.indexOf(ending, position);\n\t\treturn lastIndex !== -1 && lastIndex === position;\n\t}\n};\n\n/*\nReturn system information useful for debugging\n*/\nexports.getSystemInfo = function(str,ending,position) {\n\tvar results = [],\n\t\tsave = function(desc,value) {\n\t\t\tresults.push(desc + \": \" + value);\n\t\t};\n\tif($tw.browser) {\n\t\tsave(\"User Agent\",navigator.userAgent);\n\t\tsave(\"Online Status\",window.navigator.onLine);\n\t}\n\tif($tw.node) {\n\t\tsave(\"Node Version\",process.version);\n\t}\n\treturn results.join(\"\\n\");\n};\n\nexports.parseNumber = function(str) {\n\treturn parseFloat(str) || 0;\n};\n\nexports.parseInt = function(str) {\n\treturn parseInt(str,10) || 0;\n};\n\nexports.stringifyNumber = function(num) {\n\treturn num + \"\";\n};\n\nexports.makeCompareFunction = function(type,options) {\n\toptions = options || {};\n\tvar gt = options.invert ? -1 : +1,\n\t\tlt = options.invert ? +1 : -1,\n\t\tcompare = function(a,b) {\n\t\t\tif(a > b) {\n\t\t\t\treturn gt ;\n\t\t\t} else if(a < b) {\n\t\t\t\treturn lt;\n\t\t\t} else {\n\t\t\t\treturn 0;\n\t\t\t}\n\t\t},\n\t\ttypes = {\n\t\t\t\"number\": function(a,b) {\n\t\t\t\treturn compare($tw.utils.parseNumber(a),$tw.utils.parseNumber(b));\n\t\t\t},\n\t\t\t\"integer\": function(a,b) {\n\t\t\t\treturn compare($tw.utils.parseInt(a),$tw.utils.parseInt(b));\n\t\t\t},\n\t\t\t\"string\": function(a,b) {\n\t\t\t\treturn compare(\"\" + a,\"\" +b);\n\t\t\t},\n\t\t\t\"date\": function(a,b) {\n\t\t\t\tvar dateA = $tw.utils.parseDate(a),\n\t\t\t\t\tdateB = $tw.utils.parseDate(b);\n\t\t\t\tif(!isFinite(dateA)) {\n\t\t\t\t\tdateA = new Date(0);\n\t\t\t\t}\n\t\t\t\tif(!isFinite(dateB)) {\n\t\t\t\t\tdateB = new Date(0);\n\t\t\t\t}\n\t\t\t\treturn compare(dateA,dateB);\n\t\t\t},\n\t\t\t\"version\": function(a,b) {\n\t\t\t\treturn $tw.utils.compareVersions(a,b);\n\t\t\t}\n\t\t};\n\treturn (types[type] || types[options.defaultType] || types.number);\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "utils"
},
"$:/core/modules/widgets/action-confirm.js": {
"title": "$:/core/modules/widgets/action-confirm.js",
"text": "/*\\\n\ntitle: $:/core/modules/widgets/action-confirm.js\ntype: application/javascript\nmodule-type: widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ConfirmWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nConfirmWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nConfirmWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.parentDomNode = parent;\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nConfirmWidget.prototype.execute = function() {\n\tthis.message = this.getAttribute(\"$message\",$tw.language.getString(\"ConfirmAction\"));\n\tthis.prompt = (this.getAttribute(\"$prompt\",\"yes\") == \"no\" ? false : true);\n\tthis.makeChildWidgets();\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nConfirmWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$message\"] || changedAttributes[\"$prompt\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nConfirmWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar invokeActions = true,\n\t\thandled = true;\n\tif(this.prompt) {\n\t\tinvokeActions = confirm(this.message);\n\t}\n\tif(invokeActions) {\n\t\thandled = this.invokeActions(triggeringWidget,event);\n\t}\n\treturn handled;\n};\n\nConfirmWidget.prototype.allowActionPropagation = function() {\n\treturn false;\n};\n\nexports[\"action-confirm\"] = ConfirmWidget;\n\n})();",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-createtiddler.js": {
"title": "$:/core/modules/widgets/action-createtiddler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-createtiddler.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to create a new tiddler with a unique name and specified fields.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw:false, require:false, exports:false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CreateTiddlerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCreateTiddlerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCreateTiddlerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nCreateTiddlerWidget.prototype.execute = function() {\n\tthis.actionBaseTitle = this.getAttribute(\"$basetitle\");\n\tthis.hasBase = !!this.actionBaseTitle;\n\tthis.actionSaveTitle = this.getAttribute(\"$savetitle\");\n\tthis.actionSaveDraftTitle = this.getAttribute(\"$savedrafttitle\");\n\tthis.actionTimestamp = this.getAttribute(\"$timestamp\",\"yes\") === \"yes\";\n\t//Following params are new since 5.1.22\n\tthis.actionTemplate = this.getAttribute(\"$template\");\n\tthis.useTemplate = !!this.actionTemplate;\n\tthis.actionOverwrite = this.getAttribute(\"$overwrite\",\"no\");\n\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nCreateTiddlerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif($tw.utils.count(changedAttributes) > 0) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nCreateTiddlerWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar title = this.wiki.getTiddlerText(\"$:/language/DefaultNewTiddlerTitle\"), // Get the initial new-tiddler title\n\t\tfields = {},\n\t\tcreationFields,\n\t\tmodificationFields;\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tfields[name] = attribute;\n\t\t}\n\t});\n\tif(this.actionTimestamp) {\n\t\tcreationFields = this.wiki.getCreationFields();\n\t\tmodificationFields = this.wiki.getModificationFields();\n\t}\n\tif(this.hasBase && this.actionOverwrite === \"no\") {\n\t\ttitle = this.wiki.generateNewTitle(this.actionBaseTitle);\n\t} else if (this.hasBase && this.actionOverwrite === \"yes\") {\n\t\ttitle = this.actionBaseTitle\n\t}\n\t// NO $basetitle BUT $template parameter is available\n\t// the title MUST be unique, otherwise the template would be overwritten\n\tif (!this.hasBase && this.useTemplate) {\n\t\ttitle = this.wiki.generateNewTitle(this.actionTemplate);\n\t} else if (!this.hasBase && !this.useTemplate) {\n\t\t// If NO $basetitle AND NO $template use initial title\n\t\t// DON'T overwrite any stuff\n\t\ttitle = this.wiki.generateNewTitle(title);\n\t}\n\tvar templateTiddler = this.wiki.getTiddler(this.actionTemplate) || {};\n\tvar tiddler = this.wiki.addTiddler(new $tw.Tiddler(templateTiddler.fields,creationFields,fields,modificationFields,{title: title}));\n\tif(this.actionSaveTitle) {\n\t\tthis.wiki.setTextReference(this.actionSaveTitle,title,this.getVariable(\"currentTiddler\"));\n\t}\n\tif(this.actionSaveDraftTitle) {\n\t\tthis.wiki.setTextReference(this.actionSaveDraftTitle,this.wiki.generateDraftTitle(title),this.getVariable(\"currentTiddler\"));\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-createtiddler\"] = CreateTiddlerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-deletefield.js": {
"title": "$:/core/modules/widgets/action-deletefield.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-deletefield.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to delete fields of a tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DeleteFieldWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDeleteFieldWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDeleteFieldWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nDeleteFieldWidget.prototype.execute = function() {\n\tthis.actionTiddler = this.getAttribute(\"$tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.actionField = this.getAttribute(\"$field\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nDeleteFieldWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$tiddler\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nDeleteFieldWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar self = this,\n\t\ttiddler = this.wiki.getTiddler(self.actionTiddler),\n\t\tremoveFields = {},\n\t\thasChanged = false;\n\tif(this.actionField && tiddler) {\n\t\tremoveFields[this.actionField] = undefined;\n\t\tif(this.actionField in tiddler.fields) {\n\t\t\thasChanged = true;\n\t\t}\n\t}\n\tif(tiddler) {\n\t\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\t\tif(name.charAt(0) !== \"$\" && name !== \"title\") {\n\t\t\t\tremoveFields[name] = undefined;\n\t\t\t\thasChanged = true;\n\t\t\t}\n\t\t});\n\t\tif(hasChanged) {\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getCreationFields(),tiddler,removeFields,this.wiki.getModificationFields()));\t\t\t\n\t\t}\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-deletefield\"] = DeleteFieldWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-deletetiddler.js": {
"title": "$:/core/modules/widgets/action-deletetiddler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-deletetiddler.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to delete a tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DeleteTiddlerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDeleteTiddlerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDeleteTiddlerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nDeleteTiddlerWidget.prototype.execute = function() {\n\tthis.actionFilter = this.getAttribute(\"$filter\");\n\tthis.actionTiddler = this.getAttribute(\"$tiddler\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nDeleteTiddlerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$filter\"] || changedAttributes[\"$tiddler\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nDeleteTiddlerWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar tiddlers = [];\n\tif(this.actionFilter) {\n\t\ttiddlers = this.wiki.filterTiddlers(this.actionFilter,this);\n\t}\n\tif(this.actionTiddler) {\n\t\ttiddlers.push(this.actionTiddler);\n\t}\n\tfor(var t=0; t<tiddlers.length; t++) {\n\t\tthis.wiki.deleteTiddler(tiddlers[t]);\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-deletetiddler\"] = DeleteTiddlerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-listops.js": {
"title": "$:/core/modules/widgets/action-listops.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-listops.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to apply list operations to any tiddler field (defaults to the 'list' field of the current tiddler)\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nvar ActionListopsWidget = function(parseTreeNode, options) {\n\tthis.initialise(parseTreeNode, options);\n};\n/**\n * Inherit from the base widget class\n */\nActionListopsWidget.prototype = new Widget();\n/**\n * Render this widget into the DOM\n */\nActionListopsWidget.prototype.render = function(parent, nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n/**\n * Compute the internal state of the widget\n */\nActionListopsWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.target = this.getAttribute(\"$tiddler\", this.getVariable(\n\t\t\"currentTiddler\"));\n\tthis.filter = this.getAttribute(\"$filter\");\n\tthis.subfilter = this.getAttribute(\"$subfilter\");\n\tthis.listField = this.getAttribute(\"$field\", \"list\");\n\tthis.listIndex = this.getAttribute(\"$index\");\n\tthis.filtertags = this.getAttribute(\"$tags\");\n};\n/**\n * \tRefresh the widget by ensuring our attributes are up to date\n */\nActionListopsWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.$tiddler || changedAttributes.$filter ||\n\t\tchangedAttributes.$subfilter || changedAttributes.$field ||\n\t\tchangedAttributes.$index || changedAttributes.$tags) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n/**\n * \tInvoke the action associated with this widget\n */\nActionListopsWidget.prototype.invokeAction = function(triggeringWidget,\n\tevent) {\n\t//Apply the specified filters to the lists\n\tvar field = this.listField,\n\t\tindex,\n\t\ttype = \"!!\",\n\t\tlist = this.listField;\n\tif(this.listIndex) {\n\t\tfield = undefined;\n\t\tindex = this.listIndex;\n\t\ttype = \"##\";\n\t\tlist = this.listIndex;\n\t}\n\tif(this.filter) {\n\t\tthis.wiki.setText(this.target, field, index, $tw.utils.stringifyList(\n\t\t\tthis.wiki\n\t\t\t.filterTiddlers(this.filter, this)));\n\t}\n\tif(this.subfilter) {\n\t\tvar subfilter = \"[list[\" + this.target + type + list + \"]] \" + this.subfilter;\n\t\tthis.wiki.setText(this.target, field, index, $tw.utils.stringifyList(\n\t\t\tthis.wiki\n\t\t\t.filterTiddlers(subfilter, this)));\n\t}\n\tif(this.filtertags) {\n\t\tvar tiddler = this.wiki.getTiddler(this.target),\n\t\t\toldtags = tiddler ? (tiddler.fields.tags || []).slice(0) : [],\n\t\t\ttagfilter = \"[list[\" + this.target + \"!!tags]] \" + this.filtertags,\n\t\t\tnewtags = this.wiki.filterTiddlers(tagfilter,this);\n\t\tif($tw.utils.stringifyList(oldtags.sort()) !== $tw.utils.stringifyList(newtags.sort())) {\n\t\t\tthis.wiki.setText(this.target,\"tags\",undefined,$tw.utils.stringifyList(newtags));\t\t\t\n\t\t}\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-listops\"] = ActionListopsWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-log.js": {
"title": "$:/core/modules/widgets/action-log.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-log.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to log debug messages\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar LogWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nLogWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nLogWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\nLogWidget.prototype.execute = function(){\n\tthis.message = this.getAttribute(\"$$message\",\"debug\");\n\tthis.logAll = this.getAttribute(\"$$all\",\"no\") === \"yes\" ? true : false;\n\tthis.filter = this.getAttribute(\"$$filter\");\n}\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nLogWidget.prototype.refresh = function(changedTiddlers) {\n\tthis.refreshSelf();\n\treturn true;\n};\n\n/*\nInvoke the action associated with this widget\n*/\nLogWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tthis.log();\n\treturn true; // Action was invoked\n};\n\nLogWidget.prototype.log = function() {\n\tvar data = {},\n\t\tdataCount,\n\t\tallVars = {},\n\t\tfilteredVars;\n\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.substring(0,2) !== \"$$\") {\n\t\t\tdata[name] = attribute;\n\t\t}\t\t\n\t});\n\n\tfor(var v in this.variables) {\n\t\tallVars[v] = this.getVariable(v,{defaultValue:\"\"});\n\t}\t\n\tif(this.filter) {\n\t\tfilteredVars = this.wiki.compileFilter(this.filter).call(this.wiki,this.wiki.makeTiddlerIterator(allVars));\n\t\t$tw.utils.each(filteredVars,function(name) {\n\t\t\tdata[name] = allVars[name];\n\t\t});\t\t\n\t}\n\tdataCount = $tw.utils.count(data);\n\n\tconsole.group(this.message);\n\tif(dataCount > 0) {\n\t\t$tw.utils.logTable(data);\n\t}\n\tif(this.logAll || !dataCount) {\n\t\tconsole.groupCollapsed(\"All variables\");\n\t\t$tw.utils.logTable(allVars);\n\t\tconsole.groupEnd();\n\t}\n\tconsole.groupEnd();\n}\n\nexports[\"action-log\"] = LogWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-navigate.js": {
"title": "$:/core/modules/widgets/action-navigate.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-navigate.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to navigate to a tiddler\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar NavigateWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nNavigateWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nNavigateWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nNavigateWidget.prototype.execute = function() {\n\tthis.actionTo = this.getAttribute(\"$to\");\n\tthis.actionScroll = this.getAttribute(\"$scroll\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nNavigateWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$to\"] || changedAttributes[\"$scroll\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nNavigateWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tevent = event || {};\n\tvar bounds = triggeringWidget && triggeringWidget.getBoundingClientRect && triggeringWidget.getBoundingClientRect(),\n\t\tsuppressNavigation = event.metaKey || event.ctrlKey || (event.button === 1);\n\tif(this.actionScroll === \"yes\") {\n\t\tsuppressNavigation = false;\n\t} else if(this.actionScroll === \"no\") {\n\t\tsuppressNavigation = true;\n\t}\n\tthis.dispatchEvent({\n\t\ttype: \"tm-navigate\",\n\t\tnavigateTo: this.actionTo === undefined ? this.getVariable(\"currentTiddler\") : this.actionTo,\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tnavigateFromNode: triggeringWidget,\n\t\tnavigateFromClientRect: bounds && { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n\t\t},\n\t\tnavigateSuppressNavigation: suppressNavigation\n\t});\n\treturn true; // Action was invoked\n};\n\nexports[\"action-navigate\"] = NavigateWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-popup.js": {
"title": "$:/core/modules/widgets/action-popup.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-popup.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to trigger a popup.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ActionPopupWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nActionPopupWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nActionPopupWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nActionPopupWidget.prototype.execute = function() {\n\tthis.actionState = this.getAttribute(\"$state\");\n\tthis.actionCoords = this.getAttribute(\"$coords\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nActionPopupWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$state\"] || changedAttributes[\"$coords\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nActionPopupWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\t// Trigger the popup\n\tvar popupLocationRegExp = /^\\((-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+)\\)$/,\n\t\tmatch = popupLocationRegExp.exec(this.actionCoords || \"\");\n\tif(match) {\n\t\t$tw.popup.triggerPopup({\n\t\t\tdomNode: null,\n\t\t\tdomNodeRect: {\n\t\t\t\tleft: parseFloat(match[1]),\n\t\t\t\ttop: parseFloat(match[2]),\n\t\t\t\twidth: parseFloat(match[3]),\n\t\t\t\theight: parseFloat(match[4])\n\t\t\t},\n\t\t\ttitle: this.actionState,\n\t\t\twiki: this.wiki\n\t\t});\n\t} else {\n\t\t$tw.popup.cancel(0);\n\t}\n\treturn true; // Action was invoked\n};\n\nexports[\"action-popup\"] = ActionPopupWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-sendmessage.js": {
"title": "$:/core/modules/widgets/action-sendmessage.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-sendmessage.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to send a message\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SendMessageWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSendMessageWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSendMessageWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nSendMessageWidget.prototype.execute = function() {\n\tthis.actionMessage = this.getAttribute(\"$message\");\n\tthis.actionParam = this.getAttribute(\"$param\");\n\tthis.actionName = this.getAttribute(\"$name\");\n\tthis.actionValue = this.getAttribute(\"$value\",\"\");\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nSendMessageWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(Object.keys(changedAttributes).length) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nSendMessageWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\t// Get the string parameter\n\tvar param = this.actionParam;\n\t// Assemble the attributes as a hashmap\n\tvar paramObject = Object.create(null);\n\tvar count = 0;\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tparamObject[name] = attribute;\n\t\t\tcount++;\n\t\t}\n\t});\n\t// Add name/value pair if present\n\tif(this.actionName) {\n\t\tparamObject[this.actionName] = this.actionValue;\n\t}\n\t// Dispatch the message\n\tthis.dispatchEvent({\n\t\ttype: this.actionMessage,\n\t\tparam: param,\n\t\tparamObject: paramObject,\n\t\ttiddlerTitle: this.getVariable(\"currentTiddler\"),\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tevent: event\n\t});\n\treturn true; // Action was invoked\n};\n\nexports[\"action-sendmessage\"] = SendMessageWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/action-setfield.js": {
"title": "$:/core/modules/widgets/action-setfield.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/action-setfield.js\ntype: application/javascript\nmodule-type: widget\n\nAction widget to set a single field or index on a tiddler.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SetFieldWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSetFieldWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSetFieldWidget.prototype.render = function(parent,nextSibling) {\n\tthis.computeAttributes();\n\tthis.execute();\n};\n\n/*\nCompute the internal state of the widget\n*/\nSetFieldWidget.prototype.execute = function() {\n\tthis.actionTiddler = this.getAttribute(\"$tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.actionField = this.getAttribute(\"$field\");\n\tthis.actionIndex = this.getAttribute(\"$index\");\n\tthis.actionValue = this.getAttribute(\"$value\");\n\tthis.actionTimestamp = this.getAttribute(\"$timestamp\",\"yes\") === \"yes\";\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nSetFieldWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"$tiddler\"] || changedAttributes[\"$field\"] || changedAttributes[\"$index\"] || changedAttributes[\"$value\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nInvoke the action associated with this widget\n*/\nSetFieldWidget.prototype.invokeAction = function(triggeringWidget,event) {\n\tvar self = this,\n\t\toptions = {};\n\toptions.suppressTimestamp = !this.actionTimestamp;\n\tif((typeof this.actionField == \"string\") || (typeof this.actionIndex == \"string\") || (typeof this.actionValue == \"string\")) {\n\t\tthis.wiki.setText(this.actionTiddler,this.actionField,this.actionIndex,this.actionValue,options);\n\t}\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tself.wiki.setText(self.actionTiddler,name,undefined,attribute,options);\n\t\t}\n\t});\n\treturn true; // Action was invoked\n};\n\nexports[\"action-setfield\"] = SetFieldWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/browse.js": {
"title": "$:/core/modules/widgets/browse.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/browse.js\ntype: application/javascript\nmodule-type: widget\n\nBrowse widget for browsing for files to import\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar BrowseWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nBrowseWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nBrowseWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar domNode = this.document.createElement(\"input\");\n\tdomNode.setAttribute(\"type\",\"file\");\n\tif(this.browseMultiple) {\n\t\tdomNode.setAttribute(\"multiple\",\"multiple\");\n\t}\n\tif(this.tooltip) {\n\t\tdomNode.setAttribute(\"title\",this.tooltip);\n\t}\n\t// Nw.js supports \"nwsaveas\" to force a \"save as\" dialogue that allows a new or existing file to be selected\n\tif(this.nwsaveas) {\n\t\tdomNode.setAttribute(\"nwsaveas\",this.nwsaveas);\n\t}\n\tif(this.accept) {\n\t\tdomNode.setAttribute(\"accept\",this.accept);\n\t}\n\t// Nw.js supports \"webkitdirectory\" and \"nwdirectory\" to allow a directory to be selected\n\tif(this.webkitdirectory) {\n\t\tdomNode.setAttribute(\"webkitdirectory\",this.webkitdirectory);\n\t}\n\tif(this.nwdirectory) {\n\t\tdomNode.setAttribute(\"nwdirectory\",this.nwdirectory);\n\t}\n\t// Add a click event handler\n\tdomNode.addEventListener(\"change\",function (event) {\n\t\tif(self.message) {\n\t\t\tself.dispatchEvent({type: self.message, param: self.param, files: event.target.files});\n\t\t} else {\n\t\t\tself.wiki.readFiles(event.target.files,{\n\t\t\t\tcallback: function(tiddlerFieldsArray) {\n\t\t\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify(tiddlerFieldsArray)});\n\t\t\t\t},\n\t\t\t\tdeserializer: self.deserializer\n\t\t\t});\n\t\t}\n\t\treturn false;\n\t},false);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nBrowseWidget.prototype.execute = function() {\n\tthis.browseMultiple = this.getAttribute(\"multiple\");\n\tthis.deserializer = this.getAttribute(\"deserializer\");\n\tthis.message = this.getAttribute(\"message\");\n\tthis.param = this.getAttribute(\"param\");\n\tthis.tooltip = this.getAttribute(\"tooltip\");\n\tthis.nwsaveas = this.getAttribute(\"nwsaveas\");\n\tthis.accept = this.getAttribute(\"accept\");\n\tthis.webkitdirectory = this.getAttribute(\"webkitdirectory\");\n\tthis.nwdirectory = this.getAttribute(\"nwdirectory\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nBrowseWidget.prototype.refresh = function(changedTiddlers) {\n\treturn false;\n};\n\nexports.browse = BrowseWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/button.js": {
"title": "$:/core/modules/widgets/button.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/button.js\ntype: application/javascript\nmodule-type: widget\n\nButton widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ButtonWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nButtonWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nButtonWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this,\n\t\ttag = \"button\",\n\t\tdomNode;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tif(this.buttonTag && $tw.config.htmlUnsafeElements.indexOf(this.buttonTag) === -1) {\n\t\ttag = this.buttonTag;\n\t}\n\tdomNode = this.document.createElement(tag);\n\tthis.domNode = domNode;\n\t// Assign classes\n\tvar classes = this[\"class\"].split(\" \") || [],\n\t\tisPoppedUp = (this.popup || this.popupTitle) && this.isPoppedUp();\n\tif(this.selectedClass) {\n\t\tif((this.set || this.setTitle) && this.setTo && this.isSelected()) {\n\t\t\t$tw.utils.pushTop(classes,this.selectedClass.split(\" \"));\n\t\t}\n\t\tif(isPoppedUp) {\n\t\t\t$tw.utils.pushTop(classes,this.selectedClass.split(\" \"));\n\t\t}\n\t}\n\tif(isPoppedUp) {\n\t\t$tw.utils.pushTop(classes,\"tc-popup-handle\");\n\t}\n\tdomNode.className = classes.join(\" \");\n\t// Assign other attributes\n\tif(this.style) {\n\t\tdomNode.setAttribute(\"style\",this.style);\n\t}\n\tif(this.tooltip) {\n\t\tdomNode.setAttribute(\"title\",this.tooltip);\n\t}\n\tif(this[\"aria-label\"]) {\n\t\tdomNode.setAttribute(\"aria-label\",this[\"aria-label\"]);\n\t}\n\tif(this.popup || this.popupTitle) {\n\t\tdomNode.setAttribute(\"aria-expanded\",isPoppedUp ? \"true\" : \"false\");\n\t}\n\t// Set the tabindex\n\tif(this.tabIndex) {\n\t\tdomNode.setAttribute(\"tabindex\",this.tabIndex);\n\t}\n\tif(this.isDisabled === \"yes\") {\n\t\tdomNode.setAttribute(\"disabled\",true);\n\t}\n\t// Add a click event handler\n\tdomNode.addEventListener(\"click\",function (event) {\n\t\tvar handled = false;\n\t\tif(self.invokeActions(self,event)) {\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.to) {\n\t\t\tself.navigateTo(event);\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.message) {\n\t\t\tself.dispatchMessage(event);\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.popup || self.popupTitle) {\n\t\t\tself.triggerPopup(event);\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.set || self.setTitle) {\n\t\t\tself.setTiddler();\n\t\t\thandled = true;\n\t\t}\n\t\tif(self.actions) {\n\t\t\tvar modifierKey = $tw.keyboardManager.getEventModifierKeyDescriptor(event);\n\t\t\tself.invokeActionString(self.actions,self,event,{modifier: modifierKey});\n\t\t}\n\t\tif(handled) {\n\t\t\tevent.preventDefault();\n\t\t\tevent.stopPropagation();\n\t\t}\n\t\treturn handled;\n\t},false);\n\t// Make it draggable if required\n\tif(this.dragTiddler || this.dragFilter) {\n\t\t$tw.utils.makeDraggable({\n\t\t\tdomNode: domNode,\n\t\t\tdragTiddlerFn: function() {return self.dragTiddler;},\n\t\t\tdragFilterFn: function() {return self.dragFilter;},\n\t\t\twidget: this\n\t\t});\n\t}\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nWe don't allow actions to propagate because we trigger actions ourselves\n*/\nButtonWidget.prototype.allowActionPropagation = function() {\n\treturn false;\n};\n\nButtonWidget.prototype.getBoundingClientRect = function() {\n\treturn this.domNodes[0].getBoundingClientRect();\n};\n\nButtonWidget.prototype.isSelected = function() {\n return this.setTitle ? (this.setField ? this.wiki.getTiddler(this.setTitle).getFieldString(this.setField) === this.setTo :\n\t\t(this.setIndex ? this.wiki.extractTiddlerDataItem(this.setTitle,this.setIndex) === this.setTo :\n\t\t\tthis.wiki.getTiddlerText(this.setTitle))) || this.defaultSetValue || this.getVariable(\"currentTiddler\") :\n\t\tthis.wiki.getTextReference(this.set,this.defaultSetValue,this.getVariable(\"currentTiddler\")) === this.setTo;\n};\n\nButtonWidget.prototype.isPoppedUp = function() {\n\tvar tiddler = this.popupTitle ? this.wiki.getTiddler(this.popupTitle) : this.wiki.getTiddler(this.popup);\n\tvar result = tiddler && tiddler.fields.text ? $tw.popup.readPopupState(tiddler.fields.text) : false;\n\treturn result;\n};\n\nButtonWidget.prototype.navigateTo = function(event) {\n\tvar bounds = this.getBoundingClientRect();\n\tthis.dispatchEvent({\n\t\ttype: \"tm-navigate\",\n\t\tnavigateTo: this.to,\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tnavigateFromNode: this,\n\t\tnavigateFromClientRect: { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n\t\t},\n\t\tnavigateSuppressNavigation: event.metaKey || event.ctrlKey || (event.button === 1),\n\t\tevent: event\n\t});\n};\n\nButtonWidget.prototype.dispatchMessage = function(event) {\n\tthis.dispatchEvent({type: this.message, param: this.param, tiddlerTitle: this.getVariable(\"currentTiddler\"), event: event});\n};\n\nButtonWidget.prototype.triggerPopup = function(event) {\n\tif(this.popupTitle) {\n\t\t$tw.popup.triggerPopup({\n\t\t\tdomNode: this.domNodes[0],\n\t\t\ttitle: this.popupTitle,\n\t\t\twiki: this.wiki,\n\t\t\tnoStateReference: true\n\t\t});\n\t} else {\n\t\t$tw.popup.triggerPopup({\n\t\t\tdomNode: this.domNodes[0],\n\t\t\ttitle: this.popup,\n\t\t\twiki: this.wiki\n\t\t});\n\t}\n};\n\nButtonWidget.prototype.setTiddler = function() {\n\tif(this.setTitle) {\n\t\tthis.setField ? this.wiki.setText(this.setTitle,this.setField,undefined,this.setTo) :\n\t\t\t\t(this.setIndex ? this.wiki.setText(this.setTitle,undefined,this.setIndex,this.setTo) :\n\t\t\t\tthis.wiki.setText(this.setTitle,\"text\",undefined,this.setTo));\n\t} else {\n\t\tthis.wiki.setTextReference(this.set,this.setTo,this.getVariable(\"currentTiddler\"));\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nButtonWidget.prototype.execute = function() {\n\t// Get attributes\n\tthis.actions = this.getAttribute(\"actions\");\n\tthis.to = this.getAttribute(\"to\");\n\tthis.message = this.getAttribute(\"message\");\n\tthis.param = this.getAttribute(\"param\");\n\tthis.set = this.getAttribute(\"set\");\n\tthis.setTo = this.getAttribute(\"setTo\");\n\tthis.popup = this.getAttribute(\"popup\");\n\tthis.hover = this.getAttribute(\"hover\");\n\tthis[\"aria-label\"] = this.getAttribute(\"aria-label\");\n\tthis.tooltip = this.getAttribute(\"tooltip\");\n\tthis.style = this.getAttribute(\"style\");\n\tthis[\"class\"] = this.getAttribute(\"class\",\"\");\n\tthis.selectedClass = this.getAttribute(\"selectedClass\");\n\tthis.defaultSetValue = this.getAttribute(\"default\",\"\");\n\tthis.buttonTag = this.getAttribute(\"tag\");\n\tthis.dragTiddler = this.getAttribute(\"dragTiddler\");\n\tthis.dragFilter = this.getAttribute(\"dragFilter\");\n\tthis.setTitle = this.getAttribute(\"setTitle\");\n\tthis.setField = this.getAttribute(\"setField\");\n\tthis.setIndex = this.getAttribute(\"setIndex\");\n\tthis.popupTitle = this.getAttribute(\"popupTitle\");\n\tthis.tabIndex = this.getAttribute(\"tabindex\");\n\tthis.isDisabled = this.getAttribute(\"disabled\",\"no\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\nButtonWidget.prototype.updateDomNodeClasses = function() {\n\tvar domNodeClasses = this.domNode.className.split(\" \"),\n\t\toldClasses = this.class.split(\" \"),\n\t\tnewClasses;\t\n\tthis[\"class\"] = this.getAttribute(\"class\",\"\");\n\tnewClasses = this.class.split(\" \");\n\t//Remove classes assigned from the old value of class attribute\n\t$tw.utils.each(oldClasses,function(oldClass){\n\t\tvar i = domNodeClasses.indexOf(oldClass);\n\t\tif(i !== -1) {\n\t\t\tdomNodeClasses.splice(i,1);\n\t\t}\n\t});\n\t//Add new classes from updated class attribute.\n\t$tw.utils.pushTop(domNodeClasses,newClasses);\n\tthis.domNode.className = domNodeClasses.join(\" \");\n}\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nButtonWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.actions || changedAttributes.to || changedAttributes.message || changedAttributes.param || changedAttributes.set || changedAttributes.setTo || changedAttributes.popup || changedAttributes.hover || changedAttributes.selectedClass || changedAttributes.style || changedAttributes.dragFilter || changedAttributes.dragTiddler || (this.set && changedTiddlers[this.set]) || (this.popup && changedTiddlers[this.popup]) || (this.popupTitle && changedTiddlers[this.popupTitle]) || changedAttributes.setTitle || changedAttributes.setField || changedAttributes.setIndex || changedAttributes.popupTitle || changedAttributes.disabled) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else if(changedAttributes[\"class\"]) {\n\t\tthis.updateDomNodeClasses();\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.button = ButtonWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/checkbox.js": {
"title": "$:/core/modules/widgets/checkbox.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/checkbox.js\ntype: application/javascript\nmodule-type: widget\n\nCheckbox widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CheckboxWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCheckboxWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCheckboxWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Create our elements\n\tthis.labelDomNode = this.document.createElement(\"label\");\n\tthis.labelDomNode.setAttribute(\"class\",this.checkboxClass);\n\tthis.inputDomNode = this.document.createElement(\"input\");\n\tthis.inputDomNode.setAttribute(\"type\",\"checkbox\");\n\tif(this.getValue()) {\n\t\tthis.inputDomNode.setAttribute(\"checked\",\"true\");\n\t}\n\tif(this.isDisabled === \"yes\") {\n\t\tthis.inputDomNode.setAttribute(\"disabled\",true);\n\t}\n\tthis.labelDomNode.appendChild(this.inputDomNode);\n\tthis.spanDomNode = this.document.createElement(\"span\");\n\tthis.labelDomNode.appendChild(this.spanDomNode);\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(this.inputDomNode,[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(this.labelDomNode,nextSibling);\n\tthis.renderChildren(this.spanDomNode,null);\n\tthis.domNodes.push(this.labelDomNode);\n};\n\nCheckboxWidget.prototype.getValue = function() {\n\tvar tiddler = this.wiki.getTiddler(this.checkboxTitle);\n\tif(tiddler) {\n\t\tif(this.checkboxTag) {\n\t\t\tif(this.checkboxInvertTag) {\n\t\t\t\treturn !tiddler.hasTag(this.checkboxTag);\n\t\t\t} else {\n\t\t\t\treturn tiddler.hasTag(this.checkboxTag);\n\t\t\t}\n\t\t}\n\t\tif(this.checkboxField) {\n\t\t\tvar value;\n\t\t\tif($tw.utils.hop(tiddler.fields,this.checkboxField)) {\n\t\t\t\tvalue = tiddler.fields[this.checkboxField] || \"\";\n\t\t\t} else {\n\t\t\t\tvalue = this.checkboxDefault || \"\";\n\t\t\t}\n\t\t\tif(value === this.checkboxChecked) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\tif(value === this.checkboxUnchecked) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t\tif(this.checkboxIndex) {\n\t\t\tvar value = this.wiki.extractTiddlerDataItem(tiddler,this.checkboxIndex,this.checkboxDefault || \"\");\n\t\t\tif(value === this.checkboxChecked) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\tif(value === this.checkboxUnchecked) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t} else {\n\t\tif(this.checkboxTag) {\n\t\t\treturn false;\n\t\t}\n\t\tif(this.checkboxField) {\n\t\t\tif(this.checkboxDefault === this.checkboxChecked) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\tif(this.checkboxDefault === this.checkboxUnchecked) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\nCheckboxWidget.prototype.handleChangeEvent = function(event) {\n\tvar checked = this.inputDomNode.checked,\n\t\ttiddler = this.wiki.getTiddler(this.checkboxTitle),\n\t\tfallbackFields = {text: \"\"},\n\t\tnewFields = {title: this.checkboxTitle},\n\t\thasChanged = false,\n\t\ttagCheck = false,\n\t\thasTag = tiddler && tiddler.hasTag(this.checkboxTag),\n\t\tvalue = checked ? this.checkboxChecked : this.checkboxUnchecked;\n\tif(this.checkboxTag && this.checkboxInvertTag === \"yes\") {\n\t\ttagCheck = hasTag === checked;\n\t} else {\n\t\ttagCheck = hasTag !== checked;\n\t}\n\t// Set the tag if specified\n\tif(this.checkboxTag && (!tiddler || tagCheck)) {\n\t\tnewFields.tags = tiddler ? (tiddler.fields.tags || []).slice(0) : [];\n\t\tvar pos = newFields.tags.indexOf(this.checkboxTag);\n\t\tif(pos !== -1) {\n\t\t\tnewFields.tags.splice(pos,1);\n\t\t}\n\t\tif(this.checkboxInvertTag === \"yes\" && !checked) {\n\t\t\tnewFields.tags.push(this.checkboxTag);\n\t\t} else if(this.checkboxInvertTag !== \"yes\" && checked) {\n\t\t\tnewFields.tags.push(this.checkboxTag);\n\t\t}\n\t\thasChanged = true;\n\t}\n\t// Set the field if specified\n\tif(this.checkboxField) {\n\t\tif(!tiddler || tiddler.fields[this.checkboxField] !== value) {\n\t\t\tnewFields[this.checkboxField] = value;\n\t\t\thasChanged = true;\n\t\t}\n\t}\n\t// Set the index if specified\n\tif(this.checkboxIndex) {\n\t\tvar indexValue = this.wiki.extractTiddlerDataItem(this.checkboxTitle,this.checkboxIndex);\n\t\tif(!tiddler || indexValue !== value) {\n\t\t\thasChanged = true;\n\t\t}\n\t}\n\tif(hasChanged) {\n\t\tif(this.checkboxIndex) {\n\t\t\tthis.wiki.setText(this.checkboxTitle,\"\",this.checkboxIndex,value);\n\t\t} else {\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getCreationFields(),fallbackFields,tiddler,newFields,this.wiki.getModificationFields()));\n\t\t}\n\t}\n\t// Trigger actions\n\tif(this.checkboxActions) {\n\t\tthis.invokeActionString(this.checkboxActions,this,event);\n\t}\n\tif(this.checkboxCheckActions && checked) {\n\t\tthis.invokeActionString(this.checkboxCheckActions,this,event);\n\t}\n\tif(this.checkboxUncheckActions && !checked) {\n\t\tthis.invokeActionString(this.checkboxUncheckActions,this,event);\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nCheckboxWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.checkboxActions = this.getAttribute(\"actions\");\n\tthis.checkboxCheckActions = this.getAttribute(\"checkactions\");\n\tthis.checkboxUncheckActions = this.getAttribute(\"uncheckactions\");\n\tthis.checkboxTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.checkboxTag = this.getAttribute(\"tag\");\n\tthis.checkboxField = this.getAttribute(\"field\");\n\tthis.checkboxIndex = this.getAttribute(\"index\");\n\tthis.checkboxChecked = this.getAttribute(\"checked\");\n\tthis.checkboxUnchecked = this.getAttribute(\"unchecked\");\n\tthis.checkboxDefault = this.getAttribute(\"default\");\n\tthis.checkboxClass = this.getAttribute(\"class\",\"\");\n\tthis.checkboxInvertTag = this.getAttribute(\"invertTag\",\"\");\n\tthis.isDisabled = this.getAttribute(\"disabled\",\"no\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCheckboxWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.tag || changedAttributes.invertTag || changedAttributes.field || changedAttributes.index || changedAttributes.checked || changedAttributes.unchecked || changedAttributes[\"default\"] || changedAttributes[\"class\"] || changedAttributes.disabled) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar refreshed = false;\n\t\tif(changedTiddlers[this.checkboxTitle]) {\n\t\t\tthis.inputDomNode.checked = this.getValue();\n\t\t\trefreshed = true;\n\t\t}\n\t\treturn this.refreshChildren(changedTiddlers) || refreshed;\n\t}\n};\n\nexports.checkbox = CheckboxWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/codeblock.js": {
"title": "$:/core/modules/widgets/codeblock.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/codeblock.js\ntype: application/javascript\nmodule-type: widget\n\nCode block node widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CodeBlockWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCodeBlockWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCodeBlockWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar codeNode = this.document.createElement(\"code\"),\n\t\tdomNode = this.document.createElement(\"pre\");\n\tcodeNode.appendChild(this.document.createTextNode(this.getAttribute(\"code\")));\n\tdomNode.appendChild(codeNode);\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.domNodes.push(domNode);\n\tif(this.postRender) {\n\t\tthis.postRender();\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nCodeBlockWidget.prototype.execute = function() {\n\tthis.language = this.getAttribute(\"language\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCodeBlockWidget.prototype.refresh = function(changedTiddlers) {\n\treturn false;\n};\n\nexports.codeblock = CodeBlockWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/count.js": {
"title": "$:/core/modules/widgets/count.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/count.js\ntype: application/javascript\nmodule-type: widget\n\nCount widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar CountWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nCountWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nCountWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar textNode = this.document.createTextNode(this.currentCount);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nCountWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.filter = this.getAttribute(\"filter\");\n\t// Execute the filter\n\tif(this.filter) {\n\t\tthis.currentCount = this.wiki.filterTiddlers(this.filter,this).length;\n\t} else {\n\t\tthis.currentCount = \"0\";\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nCountWidget.prototype.refresh = function(changedTiddlers) {\n\t// Re-execute the filter to get the count\n\tthis.computeAttributes();\n\tvar oldCount = this.currentCount;\n\tthis.execute();\n\tif(this.currentCount !== oldCount) {\n\t\t// Regenerate and rerender the widget and replace the existing DOM node\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n\n};\n\nexports.count = CountWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/diff-text.js": {
"title": "$:/core/modules/widgets/diff-text.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/diff-text.js\ntype: application/javascript\nmodule-type: widget\n\nWidget to display a diff between two texts\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget,\n\tdmp = require(\"$:/core/modules/utils/diff-match-patch/diff_match_patch.js\");\n\nvar DiffTextWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDiffTextWidget.prototype = new Widget();\n\nDiffTextWidget.prototype.invisibleCharacters = {\n\t\"\\n\": \"↩︎\\n\",\n\t\"\\r\": \"⇠\",\n\t\"\\t\": \"⇥\\t\"\n};\n\n/*\nRender this widget into the DOM\n*/\nDiffTextWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create the diff\n\tvar dmpObject = new dmp.diff_match_patch(),\n\t\tdiffs = dmpObject.diff_main(this.getAttribute(\"source\"),this.getAttribute(\"dest\"));\n\t// Apply required cleanup\n\tswitch(this.getAttribute(\"cleanup\",\"semantic\")) {\n\t\tcase \"none\":\n\t\t\t// No cleanup\n\t\t\tbreak;\n\t\tcase \"efficiency\":\n\t\t\tdmpObject.diff_cleanupEfficiency(diffs);\n\t\t\tbreak;\n\t\tdefault: // case \"semantic\"\n\t\t\tdmpObject.diff_cleanupSemantic(diffs);\n\t\t\tbreak;\n\t}\n\t// Create the elements\n\tvar domContainer = this.document.createElement(\"div\"), \n\t\tdomDiff = this.createDiffDom(diffs);\n\tparent.insertBefore(domContainer,nextSibling);\n\t// Set variables\n\tthis.setVariable(\"diff-count\",diffs.reduce(function(acc,diff) {\n\t\tif(diff[0] !== dmp.DIFF_EQUAL) {\n\t\t\tacc++;\n\t\t}\n\t\treturn acc;\n\t},0).toString());\n\t// Render child widgets\n\tthis.renderChildren(domContainer,null);\n\t// Render the diff\n\tdomContainer.appendChild(domDiff);\n\t// Save our container\n\tthis.domNodes.push(domContainer);\n};\n\n/*\nCreate DOM elements representing a list of diffs\n*/\nDiffTextWidget.prototype.createDiffDom = function(diffs) {\n\tvar self = this;\n\t// Create the element and assign the attributes\n\tvar domPre = this.document.createElement(\"pre\"),\n\t\tdomCode = this.document.createElement(\"code\");\n\t$tw.utils.each(diffs,function(diff) {\n\t\tvar tag = diff[0] === dmp.DIFF_INSERT ? \"ins\" : (diff[0] === dmp.DIFF_DELETE ? \"del\" : \"span\"),\n\t\t\tclassName = diff[0] === dmp.DIFF_INSERT ? \"tc-diff-insert\" : (diff[0] === dmp.DIFF_DELETE ? \"tc-diff-delete\" : \"tc-diff-equal\"),\n\t\t\tdom = self.document.createElement(tag),\n\t\t\ttext = diff[1],\n\t\t\tcurrPos = 0,\n\t\t\tre = /([\\x00-\\x1F])/mg,\n\t\t\tmatch = re.exec(text),\n\t\t\tspan,\n\t\t\tprintable;\n\t\tdom.className = className;\n\t\twhile(match) {\n\t\t\tif(currPos < match.index) {\n\t\t\t\tdom.appendChild(self.document.createTextNode(text.slice(currPos,match.index)));\n\t\t\t}\n\t\t\tspan = self.document.createElement(\"span\");\n\t\t\tspan.className = \"tc-diff-invisible\";\n\t\t\tprintable = self.invisibleCharacters[match[0]] || (\"[0x\" + match[0].charCodeAt(0).toString(16) + \"]\");\n\t\t\tspan.appendChild(self.document.createTextNode(printable));\n\t\t\tdom.appendChild(span);\n\t\t\tcurrPos = match.index + match[0].length;\n\t\t\tmatch = re.exec(text);\n\t\t}\n\t\tif(currPos < text.length) {\n\t\t\tdom.appendChild(self.document.createTextNode(text.slice(currPos)));\n\t\t}\n\t\tdomCode.appendChild(dom);\n\t});\n\tdomPre.appendChild(domCode);\n\treturn domPre;\n};\n\n/*\nCompute the internal state of the widget\n*/\nDiffTextWidget.prototype.execute = function() {\n\t// Make child widgets\n\tvar parseTreeNodes;\n\tif(this.parseTreeNode && this.parseTreeNode.children && this.parseTreeNode.children.length > 0) {\n\t\tparseTreeNodes = this.parseTreeNode.children;\n\t} else {\n\t\tparseTreeNodes = [{\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: \"$:/language/Diffs/CountMessage\"}\n\t\t\t}\n\t\t}];\n\t}\n\tthis.makeChildWidgets(parseTreeNodes);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDiffTextWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.source || changedAttributes.dest || changedAttributes.cleanup) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports[\"diff-text\"] = DiffTextWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/draggable.js": {
"title": "$:/core/modules/widgets/draggable.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/draggable.js\ntype: application/javascript\nmodule-type: widget\n\nDraggable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DraggableWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDraggableWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDraggableWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Sanitise the specified tag\n\tvar tag = this.draggableTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"div\";\n\t}\n\t// Create our element\n\tvar domNode = this.document.createElement(tag);\n\t// Assign classes\n\tvar classes = [\"tc-draggable\"];\n\tif(this.draggableClasses) {\n\t\tclasses.push(this.draggableClasses);\n\t}\n\tdomNode.setAttribute(\"class\",classes.join(\" \"));\n\t// Add event handlers\n\t$tw.utils.makeDraggable({\n\t\tdomNode: domNode,\n\t\tdragTiddlerFn: function() {return self.getAttribute(\"tiddler\");},\n\t\tdragFilterFn: function() {return self.getAttribute(\"filter\");},\n\t\tstartActions: self.startActions,\n\t\tendActions: self.endActions,\n\t\twidget: this\n\t});\n\t// Insert the link into the DOM and render any children\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nDraggableWidget.prototype.execute = function() {\n\t// Pick up our attributes\n\tthis.draggableTag = this.getAttribute(\"tag\",\"div\");\n\tthis.draggableClasses = this.getAttribute(\"class\");\n\tthis.startActions = this.getAttribute(\"startactions\");\n\tthis.endActions = this.getAttribute(\"endactions\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDraggableWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tag || changedAttributes[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.draggable = DraggableWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/droppable.js": {
"title": "$:/core/modules/widgets/droppable.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/droppable.js\ntype: application/javascript\nmodule-type: widget\n\nDroppable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DroppableWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDroppableWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDroppableWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this,\n\t\ttag = this.parseTreeNode.isBlock ? \"div\" : \"span\",\n\t\tdomNode;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\tif(this.droppableTag && $tw.config.htmlUnsafeElements.indexOf(this.droppableTag) === -1) {\n\t\ttag = this.droppableTag;\n\t}\n\t// Create element and assign classes\n\tdomNode = this.document.createElement(tag);\n\tthis.domNode = domNode;\n\tthis.assignDomNodeClasses();\n\t// Add event handlers\n\tif(this.droppableEnable) {\n\t\t$tw.utils.addEventListeners(domNode,[\n\t\t\t{name: \"dragenter\", handlerObject: this, handlerMethod: \"handleDragEnterEvent\"},\n\t\t\t{name: \"dragover\", handlerObject: this, handlerMethod: \"handleDragOverEvent\"},\n\t\t\t{name: \"dragleave\", handlerObject: this, handlerMethod: \"handleDragLeaveEvent\"},\n\t\t\t{name: \"drop\", handlerObject: this, handlerMethod: \"handleDropEvent\"}\n\t\t]);\t\t\n\t} else {\n\t\t$tw.utils.addClass(this.domNode,this.disabledClass);\n\t}\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n\t// Stack of outstanding enter/leave events\n\tthis.currentlyEntered = [];\n};\n\nDroppableWidget.prototype.enterDrag = function(event) {\n\tif(this.currentlyEntered.indexOf(event.target) === -1) {\n\t\tthis.currentlyEntered.push(event.target);\n\t}\n\t// If we're entering for the first time we need to apply highlighting\n\t$tw.utils.addClass(this.domNodes[0],\"tc-dragover\");\n};\n\nDroppableWidget.prototype.leaveDrag = function(event) {\n\tvar pos = this.currentlyEntered.indexOf(event.target);\n\tif(pos !== -1) {\n\t\tthis.currentlyEntered.splice(pos,1);\n\t}\n\t// Remove highlighting if we're leaving externally. The hacky second condition is to resolve a problem with Firefox whereby there is an erroneous dragenter event if the node being dragged is within the dropzone\n\tif(this.currentlyEntered.length === 0 || (this.currentlyEntered.length === 1 && this.currentlyEntered[0] === $tw.dragInProgress)) {\n\t\tthis.currentlyEntered = [];\n\t\tif(this.domNodes[0]) {\n\t\t\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t\t}\n\t}\n};\n\nDroppableWidget.prototype.handleDragEnterEvent = function(event) {\n\tthis.enterDrag(event);\n\t// Tell the browser that we're ready to handle the drop\n\tevent.preventDefault();\n\t// Tell the browser not to ripple the drag up to any parent drop handlers\n\tevent.stopPropagation();\n\treturn false;\n};\n\nDroppableWidget.prototype.handleDragOverEvent = function(event) {\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\t// Tell the browser that we're still interested in the drop\n\tevent.preventDefault();\n\t// Set the drop effect\n\tevent.dataTransfer.dropEffect = this.droppableEffect;\n\treturn false;\n};\n\nDroppableWidget.prototype.handleDragLeaveEvent = function(event) {\n\tthis.leaveDrag(event);\n\treturn false;\n};\n\nDroppableWidget.prototype.handleDropEvent = function(event) {\n\tvar self = this;\n\tthis.leaveDrag(event);\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\tvar dataTransfer = event.dataTransfer;\n\t// Remove highlighting\n\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t// Try to import the various data types we understand\n\t$tw.utils.importDataTransfer(dataTransfer,null,function(fieldsArray) {\n\t\tfieldsArray.forEach(function(fields) {\n\t\t\tself.performActions(fields.title || fields.text,event);\n\t\t});\n\t});\n\t// Tell the browser that we handled the drop\n\tevent.preventDefault();\n\t// Stop the drop ripple up to any parent handlers\n\tevent.stopPropagation();\n\treturn false;\n};\n\nDroppableWidget.prototype.performActions = function(title,event) {\n\tif(this.droppableActions) {\n\t\tvar modifierKey = $tw.keyboardManager.getEventModifierKeyDescriptor(event);\n\t\tthis.invokeActionString(this.droppableActions,this,event,{actionTiddler: title, modifier: modifierKey});\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nDroppableWidget.prototype.execute = function() {\n\tthis.droppableActions = this.getAttribute(\"actions\");\n\tthis.droppableEffect = this.getAttribute(\"effect\",\"copy\");\n\tthis.droppableTag = this.getAttribute(\"tag\");\n\tthis.droppableEnable = (this.getAttribute(\"enable\") || \"yes\") === \"yes\";\n\tthis.disabledClass = this.getAttribute(\"disabledClass\",\"\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\nDroppableWidget.prototype.assignDomNodeClasses = function() {\n\tvar classes = this.getAttribute(\"class\",\"\").split(\" \");\n\tclasses.push(\"tc-droppable\");\n\tthis.domNode.className = classes.join(\" \");\t\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDroppableWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tag || changedAttributes.enable || changedAttributes.disabledClass || changedAttributes.actions || changedAttributes.effect) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else if(changedAttributes[\"class\"]) {\n\t\tthis.assignDomNodeClasses();\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.droppable = DroppableWidget;\n\n})();",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/dropzone.js": {
"title": "$:/core/modules/widgets/dropzone.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/dropzone.js\ntype: application/javascript\nmodule-type: widget\n\nDropzone widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar DropZoneWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nDropZoneWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nDropZoneWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar domNode = this.document.createElement(\"div\");\n\tdomNode.className = this.dropzoneClass || \"tc-dropzone\";\n\t// Add event handlers\n\tif(this.dropzoneEnable) {\n\t\t$tw.utils.addEventListeners(domNode,[\n\t\t\t{name: \"dragenter\", handlerObject: this, handlerMethod: \"handleDragEnterEvent\"},\n\t\t\t{name: \"dragover\", handlerObject: this, handlerMethod: \"handleDragOverEvent\"},\n\t\t\t{name: \"dragleave\", handlerObject: this, handlerMethod: \"handleDragLeaveEvent\"},\n\t\t\t{name: \"drop\", handlerObject: this, handlerMethod: \"handleDropEvent\"},\n\t\t\t{name: \"paste\", handlerObject: this, handlerMethod: \"handlePasteEvent\"},\n\t\t\t{name: \"dragend\", handlerObject: this, handlerMethod: \"handleDragEndEvent\"}\n\t\t]);\t\t\n\t}\n\tdomNode.addEventListener(\"click\",function (event) {\n\t},false);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n\t// Stack of outstanding enter/leave events\n\tthis.currentlyEntered = [];\n};\n\nDropZoneWidget.prototype.enterDrag = function(event) {\n\tif(this.currentlyEntered.indexOf(event.target) === -1) {\n\t\tthis.currentlyEntered.push(event.target);\n\t}\n\t// If we're entering for the first time we need to apply highlighting\n\t$tw.utils.addClass(this.domNodes[0],\"tc-dragover\");\n};\n\nDropZoneWidget.prototype.leaveDrag = function(event) {\n\tvar pos = this.currentlyEntered.indexOf(event.target);\n\tif(pos !== -1) {\n\t\tthis.currentlyEntered.splice(pos,1);\n\t}\n\t// Remove highlighting if we're leaving externally\n\tif(this.currentlyEntered.length === 0) {\n\t\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t}\n};\n\nDropZoneWidget.prototype.handleDragEnterEvent = function(event) {\n\t// Check for this window being the source of the drag\n\tif($tw.dragInProgress) {\n\t\treturn false;\n\t}\n\tthis.enterDrag(event);\n\t// Tell the browser that we're ready to handle the drop\n\tevent.preventDefault();\n\t// Tell the browser not to ripple the drag up to any parent drop handlers\n\tevent.stopPropagation();\n};\n\nDropZoneWidget.prototype.handleDragOverEvent = function(event) {\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\t// Check for this window being the source of the drag\n\tif($tw.dragInProgress) {\n\t\treturn false;\n\t}\n\t// Tell the browser that we're still interested in the drop\n\tevent.preventDefault();\n\tevent.dataTransfer.dropEffect = \"copy\"; // Explicitly show this is a copy\n};\n\nDropZoneWidget.prototype.handleDragLeaveEvent = function(event) {\n\tthis.leaveDrag(event);\n};\n\nDropZoneWidget.prototype.handleDragEndEvent = function(event) {\n\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n};\n\nDropZoneWidget.prototype.handleDropEvent = function(event) {\n\tvar self = this,\n\t\treadFileCallback = function(tiddlerFieldsArray) {\n\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify(tiddlerFieldsArray), autoOpenOnImport: self.autoOpenOnImport, importTitle: self.importTitle});\n\t\t};\n\tthis.leaveDrag(event);\n\t// Check for being over a TEXTAREA or INPUT\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) !== -1) {\n\t\treturn false;\n\t}\n\t// Check for this window being the source of the drag\n\tif($tw.dragInProgress) {\n\t\treturn false;\n\t}\n\tvar self = this,\n\t\tdataTransfer = event.dataTransfer;\n\t// Remove highlighting\n\t$tw.utils.removeClass(this.domNodes[0],\"tc-dragover\");\n\t// Import any files in the drop\n\tvar numFiles = 0;\n\tif(dataTransfer.files) {\n\t\tnumFiles = this.wiki.readFiles(dataTransfer.files,{\n\t\t\tcallback: readFileCallback,\n\t\t\tdeserializer: this.dropzoneDeserializer\n\t\t});\n\t}\n\t// Try to import the various data types we understand\n\tif(numFiles === 0) {\n\t\t$tw.utils.importDataTransfer(dataTransfer,this.wiki.generateNewTitle(\"Untitled\"),readFileCallback);\n\t}\n\t// Tell the browser that we handled the drop\n\tevent.preventDefault();\n\t// Stop the drop ripple up to any parent handlers\n\tevent.stopPropagation();\n};\n\nDropZoneWidget.prototype.handlePasteEvent = function(event) {\n\tvar self = this,\n\t\treadFileCallback = function(tiddlerFieldsArray) {\n\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify(tiddlerFieldsArray), autoOpenOnImport: self.autoOpenOnImport, importTitle: self.importTitle});\n\t\t};\n\t// Let the browser handle it if we're in a textarea or input box\n\tif([\"TEXTAREA\",\"INPUT\"].indexOf(event.target.tagName) == -1 && !event.target.isContentEditable) {\n\t\tvar self = this,\n\t\t\titems = event.clipboardData.items;\n\t\t// Enumerate the clipboard items\n\t\tfor(var t = 0; t<items.length; t++) {\n\t\t\tvar item = items[t];\n\t\t\tif(item.kind === \"file\") {\n\t\t\t\t// Import any files\n\t\t\t\tthis.wiki.readFile(item.getAsFile(),{\n\t\t\t\t\tcallback: readFileCallback,\n\t\t\t\t\tdeserializer: this.dropzoneDeserializer\n\t\t\t\t});\n\t\t\t} else if(item.kind === \"string\") {\n\t\t\t\t// Create tiddlers from string items\n\t\t\t\tvar type = item.type;\n\t\t\t\titem.getAsString(function(str) {\n\t\t\t\t\tvar tiddlerFields = {\n\t\t\t\t\t\ttitle: self.wiki.generateNewTitle(\"Untitled\"),\n\t\t\t\t\t\ttext: str,\n\t\t\t\t\t\ttype: type\n\t\t\t\t\t};\n\t\t\t\t\tif($tw.log.IMPORT) {\n\t\t\t\t\t\tconsole.log(\"Importing string '\" + str + \"', type: '\" + type + \"'\");\n\t\t\t\t\t}\n\t\t\t\t\tself.dispatchEvent({type: \"tm-import-tiddlers\", param: JSON.stringify([tiddlerFields]), autoOpenOnImport: self.autoOpenOnImport, importTitle: self.importTitle});\n\t\t\t\t});\n\t\t\t}\n\t\t}\n\t\t// Tell the browser that we've handled the paste\n\t\tevent.stopPropagation();\n\t\tevent.preventDefault();\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nDropZoneWidget.prototype.execute = function() {\n\tthis.dropzoneClass = this.getAttribute(\"class\");\n\tthis.dropzoneDeserializer = this.getAttribute(\"deserializer\");\n\tthis.dropzoneEnable = (this.getAttribute(\"enable\") || \"yes\") === \"yes\";\n\tthis.autoOpenOnImport = this.getAttribute(\"autoOpenOnImport\");\n\tthis.importTitle = this.getAttribute(\"importTitle\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nDropZoneWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.enable || changedAttributes.autoOpenOnImport || changedAttributes.importTitle || changedAttributes.deserializer || changedAttributes.class) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.dropzone = DropZoneWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-binary.js": {
"title": "$:/core/modules/widgets/edit-binary.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-binary.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-binary widget; placeholder for editing binary tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar BINARY_WARNING_MESSAGE = \"$:/core/ui/BinaryWarning\";\nvar EXPORT_BUTTON_IMAGE = \"$:/core/images/export-button\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditBinaryWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditBinaryWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditBinaryWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEditBinaryWidget.prototype.execute = function() {\n\t// Get our parameters\n\tvar editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tvar tiddler = this.wiki.getTiddler(editTitle);\n\tvar type = tiddler.fields.type;\n\tvar text = tiddler.fields.text;\n\t// Transclude the binary data tiddler warning message\n\tvar warn = {\n\t\ttype: \"element\",\n\t\ttag: \"p\",\n\t\tchildren: [{\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: BINARY_WARNING_MESSAGE}\n\t\t\t}\n\t\t}]\n\t};\n\t// Create download link based on draft tiddler title\n\tvar link = {\n\t\ttype: \"element\",\n\t\ttag: \"a\",\n\t\tattributes: {\n\t\t\ttitle: {type: \"indirect\", textReference: \"!!draft.title\"},\n\t\t\tdownload: {type: \"indirect\", textReference: \"!!draft.title\"}\n\t\t},\n\t\tchildren: [{\n\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\ttiddler: {type: \"string\", value: EXPORT_BUTTON_IMAGE}\n\t\t\t}\n\t\t}]\n\t};\n\t// Set the link href to internal data URI (no external)\n\tif(text) {\n\t\tlink.attributes.href = {\n\t\t\ttype: \"string\", \n\t\t\tvalue: \"data:\" + type + \";base64,\" + text\n\t\t};\n\t}\n\t// Combine warning message and download link in a div\n\tvar element = {\n\t\ttype: \"element\",\n\t\ttag: \"div\",\n\t\tattributes: {\n\t\t\tclass: {type: \"string\", value: \"tc-binary-warning\"}\n\t\t},\n\t\tchildren: [warn, link]\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets([element]);\n};\n\n/*\nRefresh by refreshing our child widget\n*/\nEditBinaryWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports[\"edit-binary\"] = EditBinaryWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-bitmap.js": {
"title": "$:/core/modules/widgets/edit-bitmap.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-bitmap.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-bitmap widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// Default image sizes\nvar DEFAULT_IMAGE_WIDTH = 600,\n\tDEFAULT_IMAGE_HEIGHT = 370,\n\tDEFAULT_IMAGE_TYPE = \"image/png\";\n\n// Configuration tiddlers\nvar LINE_WIDTH_TITLE = \"$:/config/BitmapEditor/LineWidth\",\n\tLINE_COLOUR_TITLE = \"$:/config/BitmapEditor/Colour\",\n\tLINE_OPACITY_TITLE = \"$:/config/BitmapEditor/Opacity\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditBitmapWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditBitmapWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditBitmapWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Initialise the editor operations if they've not been done already\n\tif(!this.editorOperations) {\n\t\tEditBitmapWidget.prototype.editorOperations = {};\n\t\t$tw.modules.applyMethods(\"bitmapeditoroperation\",this.editorOperations);\n\t}\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Create the wrapper for the toolbar and render its content\n\tthis.toolbarNode = this.document.createElement(\"div\");\n\tthis.toolbarNode.className = \"tc-editor-toolbar\";\n\tparent.insertBefore(this.toolbarNode,nextSibling);\n\tthis.domNodes.push(this.toolbarNode);\n\t// Create the on-screen canvas\n\tthis.canvasDomNode = $tw.utils.domMaker(\"canvas\",{\n\t\tdocument: this.document,\n\t\t\"class\":\"tc-edit-bitmapeditor\",\n\t\teventListeners: [{\n\t\t\tname: \"touchstart\", handlerObject: this, handlerMethod: \"handleTouchStartEvent\"\n\t\t},{\n\t\t\tname: \"touchmove\", handlerObject: this, handlerMethod: \"handleTouchMoveEvent\"\n\t\t},{\n\t\t\tname: \"touchend\", handlerObject: this, handlerMethod: \"handleTouchEndEvent\"\n\t\t},{\n\t\t\tname: \"mousedown\", handlerObject: this, handlerMethod: \"handleMouseDownEvent\"\n\t\t},{\n\t\t\tname: \"mousemove\", handlerObject: this, handlerMethod: \"handleMouseMoveEvent\"\n\t\t},{\n\t\t\tname: \"mouseup\", handlerObject: this, handlerMethod: \"handleMouseUpEvent\"\n\t\t}]\n\t});\n\t// Set the width and height variables\n\tthis.setVariable(\"tv-bitmap-editor-width\",this.canvasDomNode.width + \"px\");\n\tthis.setVariable(\"tv-bitmap-editor-height\",this.canvasDomNode.height + \"px\");\n\t// Render toolbar child widgets\n\tthis.renderChildren(this.toolbarNode,null);\n\t// // Insert the elements into the DOM\n\tparent.insertBefore(this.canvasDomNode,nextSibling);\n\tthis.domNodes.push(this.canvasDomNode);\n\t// Load the image into the canvas\n\tif($tw.browser) {\n\t\tthis.loadCanvas();\n\t}\n\t// Add widget message listeners\n\tthis.addEventListeners([\n\t\t{type: \"tm-edit-bitmap-operation\", handler: \"handleEditBitmapOperationMessage\"}\n\t]);\n};\n\n/*\nHandle an edit bitmap operation message from the toolbar\n*/\nEditBitmapWidget.prototype.handleEditBitmapOperationMessage = function(event) {\n\t// Invoke the handler\n\tvar handler = this.editorOperations[event.param];\n\tif(handler) {\n\t\thandler.call(this,event);\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nEditBitmapWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nJust refresh the toolbar\n*/\nEditBitmapWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nSet the bitmap size variables and refresh the toolbar\n*/\nEditBitmapWidget.prototype.refreshToolbar = function() {\n\t// Set the width and height variables\n\tthis.setVariable(\"tv-bitmap-editor-width\",this.canvasDomNode.width + \"px\");\n\tthis.setVariable(\"tv-bitmap-editor-height\",this.canvasDomNode.height + \"px\");\n\t// Refresh each of our child widgets\n\t$tw.utils.each(this.children,function(childWidget) {\n\t\tchildWidget.refreshSelf();\n\t});\n};\n\nEditBitmapWidget.prototype.loadCanvas = function() {\n\tvar tiddler = this.wiki.getTiddler(this.editTitle),\n\t\tcurrImage = new Image();\n\t// Set up event handlers for loading the image\n\tvar self = this;\n\tcurrImage.onload = function() {\n\t\t// Copy the image to the on-screen canvas\n\t\tself.initCanvas(self.canvasDomNode,currImage.width,currImage.height,currImage);\n\t\t// And also copy the current bitmap to the off-screen canvas\n\t\tself.currCanvas = self.document.createElement(\"canvas\");\n\t\tself.initCanvas(self.currCanvas,currImage.width,currImage.height,currImage);\n\t\t// Set the width and height input boxes\n\t\tself.refreshToolbar();\n\t};\n\tcurrImage.onerror = function() {\n\t\t// Set the on-screen canvas size and clear it\n\t\tself.initCanvas(self.canvasDomNode,DEFAULT_IMAGE_WIDTH,DEFAULT_IMAGE_HEIGHT);\n\t\t// Set the off-screen canvas size and clear it\n\t\tself.currCanvas = self.document.createElement(\"canvas\");\n\t\tself.initCanvas(self.currCanvas,DEFAULT_IMAGE_WIDTH,DEFAULT_IMAGE_HEIGHT);\n\t\t// Set the width and height input boxes\n\t\tself.refreshToolbar();\n\t};\n\t// Get the current bitmap into an image object\n\tif(tiddler && tiddler.fields.type && tiddler.fields.text) {\n\t\tcurrImage.src = \"data:\" + tiddler.fields.type + \";base64,\" + tiddler.fields.text;\t\t\n\t} else {\n\t\tcurrImage.width = DEFAULT_IMAGE_WIDTH;\n\t\tcurrImage.height = DEFAULT_IMAGE_HEIGHT;\n\t\tcurrImage.onerror();\n\t}\n};\n\nEditBitmapWidget.prototype.initCanvas = function(canvas,width,height,image) {\n\tcanvas.width = width;\n\tcanvas.height = height;\n\tvar ctx = canvas.getContext(\"2d\");\n\tif(image) {\n\t\tctx.drawImage(image,0,0);\n\t} else {\n\t\tctx.fillStyle = \"#fff\";\n\t\tctx.fillRect(0,0,canvas.width,canvas.height);\n\t}\n};\n\n/*\n** Change the size of the canvas, preserving the current image\n*/\nEditBitmapWidget.prototype.changeCanvasSize = function(newWidth,newHeight) {\n\t// Create and size a new canvas\n\tvar newCanvas = this.document.createElement(\"canvas\");\n\tthis.initCanvas(newCanvas,newWidth,newHeight);\n\t// Copy the old image\n\tvar ctx = newCanvas.getContext(\"2d\");\n\tctx.drawImage(this.currCanvas,0,0);\n\t// Set the new canvas as the current one\n\tthis.currCanvas = newCanvas;\n\t// Set the size of the onscreen canvas\n\tthis.canvasDomNode.width = newWidth;\n\tthis.canvasDomNode.height = newHeight;\n\t// Paint the onscreen canvas with the offscreen canvas\n\tctx = this.canvasDomNode.getContext(\"2d\");\n\tctx.drawImage(this.currCanvas,0,0);\n};\n\n/*\n** Rotate the canvas left by 90 degrees\n*/\nEditBitmapWidget.prototype.rotateCanvasLeft = function() {\n\t// Get the current size of the image\n\tvar origWidth = this.currCanvas.width,\n\t\torigHeight = this.currCanvas.height;\n\t// Create and size a new canvas\n\tvar newCanvas = this.document.createElement(\"canvas\"),\n\t\tnewWidth = origHeight,\n\t\tnewHeight = origWidth;\n\tthis.initCanvas(newCanvas,newWidth,newHeight);\n\t// Copy the old image\n\tvar ctx = newCanvas.getContext(\"2d\");\n\tctx.save();\n\tctx.translate(newWidth / 2,newHeight / 2);\n\tctx.rotate(-Math.PI / 2);\n\tctx.drawImage(this.currCanvas,-origWidth / 2,-origHeight / 2);\n\tctx.restore();\n\t// Set the new canvas as the current one\n\tthis.currCanvas = newCanvas;\n\t// Set the size of the onscreen canvas\n\tthis.canvasDomNode.width = newWidth;\n\tthis.canvasDomNode.height = newHeight;\n\t// Paint the onscreen canvas with the offscreen canvas\n\tctx = this.canvasDomNode.getContext(\"2d\");\n\tctx.drawImage(this.currCanvas,0,0);\n};\n\nEditBitmapWidget.prototype.handleTouchStartEvent = function(event) {\n\tthis.brushDown = true;\n\tthis.strokeStart(event.touches[0].clientX,event.touches[0].clientY);\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleTouchMoveEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.strokeMove(event.touches[0].clientX,event.touches[0].clientY);\n\t}\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleTouchEndEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.brushDown = false;\n\t\tthis.strokeEnd();\n\t}\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleMouseDownEvent = function(event) {\n\tthis.strokeStart(event.clientX,event.clientY);\n\tthis.brushDown = true;\n\tevent.preventDefault();\n\tevent.stopPropagation();\n\treturn false;\n};\n\nEditBitmapWidget.prototype.handleMouseMoveEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.strokeMove(event.clientX,event.clientY);\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\treturn false;\n\t}\n\treturn true;\n};\n\nEditBitmapWidget.prototype.handleMouseUpEvent = function(event) {\n\tif(this.brushDown) {\n\t\tthis.brushDown = false;\n\t\tthis.strokeEnd();\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\treturn false;\n\t}\n\treturn true;\n};\n\nEditBitmapWidget.prototype.adjustCoordinates = function(x,y) {\n\tvar canvasRect = this.canvasDomNode.getBoundingClientRect(),\n\t\tscale = this.canvasDomNode.width/canvasRect.width;\n\treturn {x: (x - canvasRect.left) * scale, y: (y - canvasRect.top) * scale};\n};\n\nEditBitmapWidget.prototype.strokeStart = function(x,y) {\n\t// Start off a new stroke\n\tthis.stroke = [this.adjustCoordinates(x,y)];\n};\n\nEditBitmapWidget.prototype.strokeMove = function(x,y) {\n\tvar ctx = this.canvasDomNode.getContext(\"2d\"),\n\t\tt;\n\t// Add the new position to the end of the stroke\n\tthis.stroke.push(this.adjustCoordinates(x,y));\n\t// Redraw the previous image\n\tctx.drawImage(this.currCanvas,0,0);\n\t// Render the stroke\n\tctx.globalAlpha = parseFloat(this.wiki.getTiddlerText(LINE_OPACITY_TITLE,\"1.0\"));\n\tctx.strokeStyle = this.wiki.getTiddlerText(LINE_COLOUR_TITLE,\"#ff0\");\n\tctx.lineWidth = parseFloat(this.wiki.getTiddlerText(LINE_WIDTH_TITLE,\"3\"));\n\tctx.lineCap = \"round\";\n\tctx.lineJoin = \"round\";\n\tctx.beginPath();\n\tctx.moveTo(this.stroke[0].x,this.stroke[0].y);\n\tfor(t=1; t<this.stroke.length-1; t++) {\n\t\tvar s1 = this.stroke[t],\n\t\t\ts2 = this.stroke[t-1],\n\t\t\ttx = (s1.x + s2.x)/2,\n\t\t\tty = (s1.y + s2.y)/2;\n\t\tctx.quadraticCurveTo(s2.x,s2.y,tx,ty);\n\t}\n\tctx.stroke();\n};\n\nEditBitmapWidget.prototype.strokeEnd = function() {\n\t// Copy the bitmap to the off-screen canvas\n\tvar ctx = this.currCanvas.getContext(\"2d\");\n\tctx.drawImage(this.canvasDomNode,0,0);\n\t// Save the image into the tiddler\n\tthis.saveChanges();\n};\n\nEditBitmapWidget.prototype.saveChanges = function() {\n\tvar tiddler = this.wiki.getTiddler(this.editTitle) || new $tw.Tiddler({title: this.editTitle,type: DEFAULT_IMAGE_TYPE});\n\t// data URIs look like \"data:<type>;base64,<text>\"\n\tvar dataURL = this.canvasDomNode.toDataURL(tiddler.fields.type),\n\t\tposColon = dataURL.indexOf(\":\"),\n\t\tposSemiColon = dataURL.indexOf(\";\"),\n\t\tposComma = dataURL.indexOf(\",\"),\n\t\ttype = dataURL.substring(posColon+1,posSemiColon),\n\t\ttext = dataURL.substring(posComma+1);\n\tvar update = {type: type, text: text};\n\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getModificationFields(),tiddler,update,this.wiki.getCreationFields()));\n};\n\nexports[\"edit-bitmap\"] = EditBitmapWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-shortcut.js": {
"title": "$:/core/modules/widgets/edit-shortcut.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-shortcut.js\ntype: application/javascript\nmodule-type: widget\n\nWidget to display an editable keyboard shortcut\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditShortcutWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditShortcutWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditShortcutWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.inputNode = this.document.createElement(\"input\");\n\t// Assign classes\n\tif(this.shortcutClass) {\n\t\tthis.inputNode.className = this.shortcutClass;\t\t\n\t}\n\t// Assign other attributes\n\tif(this.shortcutStyle) {\n\t\tthis.inputNode.setAttribute(\"style\",this.shortcutStyle);\n\t}\n\tif(this.shortcutTooltip) {\n\t\tthis.inputNode.setAttribute(\"title\",this.shortcutTooltip);\n\t}\n\tif(this.shortcutPlaceholder) {\n\t\tthis.inputNode.setAttribute(\"placeholder\",this.shortcutPlaceholder);\n\t}\n\tif(this.shortcutAriaLabel) {\n\t\tthis.inputNode.setAttribute(\"aria-label\",this.shortcutAriaLabel);\n\t}\n\t// Assign the current shortcut\n\tthis.updateInputNode();\n\t// Add event handlers\n\t$tw.utils.addEventListeners(this.inputNode,[\n\t\t{name: \"keydown\", handlerObject: this, handlerMethod: \"handleKeydownEvent\"}\n\t]);\n\t// Link into the DOM\n\tparent.insertBefore(this.inputNode,nextSibling);\n\tthis.domNodes.push(this.inputNode);\n\t// Focus the input Node if focus === \"yes\" or focus === \"true\"\n\tif(this.shortcutFocus === \"yes\" || this.shortcutFocus === \"true\") {\n\t\tthis.focus();\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nEditShortcutWidget.prototype.execute = function() {\n\tthis.shortcutTiddler = this.getAttribute(\"tiddler\");\n\tthis.shortcutField = this.getAttribute(\"field\");\n\tthis.shortcutIndex = this.getAttribute(\"index\");\n\tthis.shortcutPlaceholder = this.getAttribute(\"placeholder\");\n\tthis.shortcutDefault = this.getAttribute(\"default\",\"\");\n\tthis.shortcutClass = this.getAttribute(\"class\");\n\tthis.shortcutStyle = this.getAttribute(\"style\");\n\tthis.shortcutTooltip = this.getAttribute(\"tooltip\");\n\tthis.shortcutAriaLabel = this.getAttribute(\"aria-label\");\n\tthis.shortcutFocus = this.getAttribute(\"focus\");\n};\n\n/*\nUpdate the value of the input node\n*/\nEditShortcutWidget.prototype.updateInputNode = function() {\n\tif(this.shortcutField) {\n\t\tvar tiddler = this.wiki.getTiddler(this.shortcutTiddler);\n\t\tif(tiddler && $tw.utils.hop(tiddler.fields,this.shortcutField)) {\n\t\t\tthis.inputNode.value = tiddler.getFieldString(this.shortcutField);\n\t\t} else {\n\t\t\tthis.inputNode.value = this.shortcutDefault;\n\t\t}\n\t} else if(this.shortcutIndex) {\n\t\tthis.inputNode.value = this.wiki.extractTiddlerDataItem(this.shortcutTiddler,this.shortcutIndex,this.shortcutDefault);\n\t} else {\n\t\tthis.inputNode.value = this.wiki.getTiddlerText(this.shortcutTiddler,this.shortcutDefault);\n\t}\n};\n\n/*\nHandle a dom \"keydown\" event\n*/\nEditShortcutWidget.prototype.handleKeydownEvent = function(event) {\n\t// Ignore shift, ctrl, meta, alt\n\tif(event.keyCode && $tw.keyboardManager.getModifierKeys().indexOf(event.keyCode) === -1) {\n\t\t// Get the shortcut text representation\n\t\tvar value = $tw.keyboardManager.getPrintableShortcuts([{\n\t\t\tctrlKey: event.ctrlKey,\n\t\t\tshiftKey: event.shiftKey,\n\t\t\taltKey: event.altKey,\n\t\t\tmetaKey: event.metaKey,\n\t\t\tkeyCode: event.keyCode\n\t\t}]);\n\t\tif(value.length > 0) {\n\t\t\tthis.wiki.setText(this.shortcutTiddler,this.shortcutField,this.shortcutIndex,value[0]);\n\t\t}\n\t\t// Ignore the keydown if it was already handled\n\t\tevent.preventDefault();\n\t\tevent.stopPropagation();\n\t\treturn true;\t\t\n\t} else {\n\t\treturn false;\n\t}\n};\n\n/*\nfocus the input node\n*/\nEditShortcutWidget.prototype.focus = function() {\n\tif(this.inputNode.focus && this.inputNode.select) {\n\t\tthis.inputNode.focus();\n\t\tthis.inputNode.select();\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget needed re-rendering\n*/\nEditShortcutWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes.placeholder || changedAttributes[\"default\"] || changedAttributes[\"class\"] || changedAttributes.style || changedAttributes.tooltip || changedAttributes[\"aria-label\"] || changedAttributes.focus) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else if(changedTiddlers[this.shortcutTiddler]) {\n\t\tthis.updateInputNode();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports[\"edit-shortcut\"] = EditShortcutWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit-text.js": {
"title": "$:/core/modules/widgets/edit-text.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit-text.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-text widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar editTextWidgetFactory = require(\"$:/core/modules/editor/factory.js\").editTextWidgetFactory,\n\tFramedEngine = require(\"$:/core/modules/editor/engines/framed.js\").FramedEngine,\n\tSimpleEngine = require(\"$:/core/modules/editor/engines/simple.js\").SimpleEngine;\n\nexports[\"edit-text\"] = editTextWidgetFactory(FramedEngine,SimpleEngine);\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/edit.js": {
"title": "$:/core/modules/widgets/edit.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/edit.js\ntype: application/javascript\nmodule-type: widget\n\nEdit widget is a meta-widget chooses the appropriate actual editting widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EditWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEditWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEditWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n// Mappings from content type to editor type are stored in tiddlers with this prefix\nvar EDITOR_MAPPING_PREFIX = \"$:/config/EditorTypeMappings/\";\n\n/*\nCompute the internal state of the widget\n*/\nEditWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.editTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.editField = this.getAttribute(\"field\",\"text\");\n\tthis.editIndex = this.getAttribute(\"index\");\n\tthis.editClass = this.getAttribute(\"class\");\n\tthis.editPlaceholder = this.getAttribute(\"placeholder\");\n\tthis.editTabIndex = this.getAttribute(\"tabindex\");\n\tthis.editFocus = this.getAttribute(\"focus\",\"\");\n\tthis.editCancelPopups = this.getAttribute(\"cancelPopups\",\"\");\n\tthis.editInputActions = this.getAttribute(\"inputActions\");\n\tthis.editRefreshTitle = this.getAttribute(\"refreshTitle\");\n\tthis.editAutoComplete = this.getAttribute(\"autocomplete\");\n\t// Choose the appropriate edit widget\n\tthis.editorType = this.getEditorType();\n\t// Make the child widgets\n\tthis.makeChildWidgets([{\n\t\ttype: \"edit-\" + this.editorType,\n\t\tattributes: this.parseTreeNode.attributes,\n\t\tchildren: this.parseTreeNode.children\n\t}]);\n};\n\nEditWidget.prototype.getEditorType = function() {\n\t// Get the content type of the thing we're editing\n\tvar type;\n\tif(this.editField === \"text\") {\n\t\tvar tiddler = this.wiki.getTiddler(this.editTitle);\n\t\tif(tiddler) {\n\t\t\ttype = tiddler.fields.type;\n\t\t}\n\t}\n\ttype = type || \"text/vnd.tiddlywiki\";\n\tvar editorType = this.wiki.getTiddlerText(EDITOR_MAPPING_PREFIX + type);\n\tif(!editorType) {\n\t\tvar typeInfo = $tw.config.contentTypeInfo[type];\n\t\tif(typeInfo && typeInfo.encoding === \"base64\") {\n\t\t\teditorType = \"binary\";\n\t\t} else {\n\t\t\teditorType = \"text\";\n\t\t}\n\t}\n\treturn editorType;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEditWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\t// Refresh if an attribute has changed, or the type associated with the target tiddler has changed\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes.tabindex || changedAttributes.cancelPopups || changedAttributes.inputActions || changedAttributes.refreshTitle || changedAttributes.autocomplete || (changedTiddlers[this.editTitle] && this.getEditorType() !== this.editorType)) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.edit = EditWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/element.js": {
"title": "$:/core/modules/widgets/element.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/element.js\ntype: application/javascript\nmodule-type: widget\n\nElement widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ElementWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nElementWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nElementWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\t// Neuter blacklisted elements\n\tthis.tag = this.parseTreeNode.tag;\n\tif($tw.config.htmlUnsafeElements.indexOf(this.tag) !== -1) {\n\t\tthis.tag = \"safe-\" + this.tag;\n\t}\n\t// Adjust headings by the current base level\n\tvar headingLevel = [\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\"].indexOf(this.tag);\n\tif(headingLevel !== -1) {\n\t\tvar baseLevel = parseInt(this.getVariable(\"tv-adjust-heading-level\",\"0\"),10) || 0;\n\t\theadingLevel = Math.min(Math.max(headingLevel + 1 + baseLevel,1),6);\n\t\tthis.tag = \"h\" + headingLevel;\n\t}\n\t// Select the namespace for the tag\n\tvar tagNamespaces = {\n\t\t\tsvg: \"http://www.w3.org/2000/svg\",\n\t\t\tmath: \"http://www.w3.org/1998/Math/MathML\",\n\t\t\tbody: \"http://www.w3.org/1999/xhtml\"\n\t\t};\n\tthis.namespace = tagNamespaces[this.tag];\n\tif(this.namespace) {\n\t\tthis.setVariable(\"namespace\",this.namespace);\n\t} else {\n\t\tthis.namespace = this.getVariable(\"namespace\",{defaultValue: \"http://www.w3.org/1999/xhtml\"});\n\t}\n\t// Invoke the th-rendering-element hook\n\tvar parseTreeNodes = $tw.hooks.invokeHook(\"th-rendering-element\",null,this);\n\tthis.isReplaced = !!parseTreeNodes;\n\tif(parseTreeNodes) {\n\t\t// Use the parse tree nodes provided by the hook\n\t\tthis.makeChildWidgets(parseTreeNodes);\n\t\tthis.renderChildren(this.parentDomNode,null);\n\t\treturn;\n\t}\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n\t// Create the DOM node and render children\n\tvar domNode = this.document.createElementNS(this.namespace,this.tag);\n\tthis.assignAttributes(domNode,{excludeEventAttributes: true});\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nElementWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes(),\n\t\thasChangedAttributes = $tw.utils.count(changedAttributes) > 0;\n\tif(hasChangedAttributes) {\n\t\tif(!this.isReplaced) {\n\t\t\t// Update our attributes\n\t\t\tthis.assignAttributes(this.domNodes[0],{excludeEventAttributes: true});\t\t\t\n\t\t} else {\n\t\t\t// If we were replaced then completely refresh ourselves\n\t\t\treturn this.refreshSelf();\n\t\t}\n\t}\n\treturn this.refreshChildren(changedTiddlers) || hasChangedAttributes;\n};\n\nexports.element = ElementWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/encrypt.js": {
"title": "$:/core/modules/widgets/encrypt.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/encrypt.js\ntype: application/javascript\nmodule-type: widget\n\nEncrypt widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EncryptWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEncryptWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEncryptWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar textNode = this.document.createTextNode(this.encryptedText);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEncryptWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.filter = this.getAttribute(\"filter\",\"[!is[system]]\");\n\t// Encrypt the filtered tiddlers\n\tvar tiddlers = this.wiki.filterTiddlers(this.filter),\n\t\tjson = {},\n\t\tself = this;\n\t$tw.utils.each(tiddlers,function(title) {\n\t\tvar tiddler = self.wiki.getTiddler(title),\n\t\t\tjsonTiddler = {};\n\t\tfor(var f in tiddler.fields) {\n\t\t\tjsonTiddler[f] = tiddler.getFieldString(f);\n\t\t}\n\t\tjson[title] = jsonTiddler;\n\t});\n\tthis.encryptedText = $tw.utils.htmlEncode($tw.crypto.encrypt(JSON.stringify(json)));\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEncryptWidget.prototype.refresh = function(changedTiddlers) {\n\t// We don't need to worry about refreshing because the encrypt widget isn't for interactive use\n\treturn false;\n};\n\nexports.encrypt = EncryptWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/entity.js": {
"title": "$:/core/modules/widgets/entity.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/entity.js\ntype: application/javascript\nmodule-type: widget\n\nHTML entity widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EntityWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEntityWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEntityWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar entityString = this.getAttribute(\"entity\",this.parseTreeNode.entity || \"\"),\n\t\ttextNode = this.document.createTextNode($tw.utils.entityDecode(entityString));\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEntityWidget.prototype.execute = function() {\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEntityWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.entity) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.entity = EntityWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/eventcatcher.js": {
"title": "$:/core/modules/widgets/eventcatcher.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/eventcatcher.js\ntype: application/javascript\nmodule-type: widget\n\nEvent handler widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar EventWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nEventWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nEventWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\tvar tag = this.parseTreeNode.isBlock ? \"div\" : \"span\";\n\tif(this.elementTag && $tw.config.htmlUnsafeElements.indexOf(this.elementTag) === -1) {\n\t\ttag = this.elementTag;\n\t}\t\n\tvar domNode = this.document.createElement(tag);\n\tthis.domNode = domNode;\n\t// Assign classes\n\tthis.assignDomNodeClasses();\t\n\t// Add our event handler\n\t$tw.utils.each(this.types,function(type) {\n\t\tdomNode.addEventListener(type,function(event) {\n\t\t\tvar selector = self.getAttribute(\"selector\"),\n\t\t\t\tactions = self.getAttribute(\"actions-\"+type),\n\t\t\t\tselectedNode = event.target,\n\t\t\t\tselectedNodeRect,\n\t\t\t\tcatcherNodeRect,\n\t\t\t\tvariables = {};\n\t\t\tif(selector) {\n\t\t\t\t// Search ancestors for a node that matches the selector\n\t\t\t\twhile(!selectedNode.matches(selector) && selectedNode !== domNode) {\n\t\t\t\t\tselectedNode = selectedNode.parentNode;\n\t\t\t\t}\n\t\t\t\t// If we found one, copy the attributes as variables, otherwise exit\n\t\t\t\tif(selectedNode.matches(selector)) {\n\t\t\t\t\t$tw.utils.each(selectedNode.attributes,function(attribute) {\n\t\t\t\t\t\tvariables[\"dom-\" + attribute.name] = attribute.value.toString();\n\t\t\t\t\t});\n\t\t\t\t\t//Add a variable with a popup coordinate string for the selected node\n\t\t\t\t\tvariables[\"tv-popup-coords\"] = \"(\" + selectedNode.offsetLeft + \",\" + selectedNode.offsetTop +\",\" + selectedNode.offsetWidth + \",\" + selectedNode.offsetHeight + \")\";\n\t\t\t\t\t\n\t\t\t\t\t//Add variables for offset of selected node\n\t\t\t\t\tvariables[\"tv-selectednode-posx\"] = selectedNode.offsetLeft.toString();\n\t\t\t\t\tvariables[\"tv-selectednode-posy\"] = selectedNode.offsetTop.toString();\n\t\t\t\t\tvariables[\"tv-selectednode-width\"] = selectedNode.offsetWidth.toString();\n\t\t\t\t\tvariables[\"tv-selectednode-height\"] = selectedNode.offsetHeight.toString();\n\n\t\t\t\t\t//Add variables for event X and Y position relative to selected node\n\t\t\t\t\tselectedNodeRect = selectedNode.getBoundingClientRect();\t\t\t\t\n\t\t\t\t\tvariables[\"event-fromselected-posx\"] = (event.clientX - selectedNodeRect.left).toString();\n\t\t\t\t\tvariables[\"event-fromselected-posy\"] = (event.clientY - selectedNodeRect.top).toString();\n\n\t\t\t\t\t//Add variables for event X and Y position relative to event catcher node\n\t\t\t\t\tcatcherNodeRect = self.domNode.getBoundingClientRect();\n\t\t\t\t\tvariables[\"event-fromcatcher-posx\"] = (event.clientX - catcherNodeRect.left).toString();\n\t\t\t\t\tvariables[\"event-fromcatcher-posy\"] = (event.clientY - catcherNodeRect.top).toString();\n\t\t\t\t} else {\n\t\t\t\t\treturn false;\n\t\t\t\t}\n\t\t\t}\n\t\t\t// Execute our actions with the variables\n\t\t\tif(actions) {\n\t\t\t\t// Add a variable for the modifier key\n\t\t\t\tvariables.modifier = $tw.keyboardManager.getEventModifierKeyDescriptor(event);\n\t\t\t\t// Add a variable for the mouse button\n\t\t\t\tif(\"button\" in event) {\n\t\t\t\t\tif(event.button === 0) {\n\t\t\t\t\t\tvariables[\"event-mousebutton\"] = \"left\";\n\t\t\t\t\t} else if(event.button === 1) {\n\t\t\t\t\t\tvariables[\"event-mousebutton\"] = \"middle\";\n\t\t\t\t\t} else if(event.button === 2) {\n\t\t\t\t\t\tvariables[\"event-mousebutton\"] = \"right\";\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tvariables[\"event-type\"] = event.type.toString();\n\t\t\t\tif(typeof event.detail === \"object\" && !!event.detail) {\n\t\t\t\t\t$tw.utils.each(event.detail,function(detailValue,detail) {\n\t\t\t\t\t\tvariables[\"event-detail-\" + detail] = detailValue.toString();\n\t\t\t\t\t});\n\t\t\t\t} else if(!!event.detail) {\n\t\t\t\t\tvariables[\"event-detail\"] = event.detail.toString();\n\t\t\t\t}\n\t\t\t\tself.invokeActionString(actions,self,event,variables);\n\t\t\t\tevent.preventDefault();\n\t\t\t\tevent.stopPropagation();\n\t\t\t\treturn true;\n\t\t\t}\n\t\t\treturn false;\n\t\t},false);\n\t});\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nEventWidget.prototype.execute = function() {\n\tvar self = this;\n\t// Get attributes that require a refresh on change\n\tthis.types = this.getAttribute(\"events\",\"\").split(\" \");\n\tthis.elementTag = this.getAttribute(\"tag\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\nEventWidget.prototype.assignDomNodeClasses = function() {\n\tvar classes = this.getAttribute(\"class\",\"\").split(\" \");\n\tclasses.push(\"tc-eventcatcher\");\n\tthis.domNode.className = classes.join(\" \");\t\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nEventWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"events\"] || changedAttributes[\"tag\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else if(changedAttributes[\"class\"]) {\n\t\tthis.assignDomNodeClasses();\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.eventcatcher = EventWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/fieldmangler.js": {
"title": "$:/core/modules/widgets/fieldmangler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/fieldmangler.js\ntype: application/javascript\nmodule-type: widget\n\nField mangler widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar FieldManglerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nFieldManglerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nFieldManglerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.addEventListeners([\n\t\t{type: \"tm-remove-field\", handler: \"handleRemoveFieldEvent\"},\n\t\t{type: \"tm-add-field\", handler: \"handleAddFieldEvent\"},\n\t\t{type: \"tm-remove-tag\", handler: \"handleRemoveTagEvent\"},\n\t\t{type: \"tm-add-tag\", handler: \"handleAddTagEvent\"}\n\t]);\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nFieldManglerWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.mangleTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nFieldManglerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nFieldManglerWidget.prototype.handleRemoveFieldEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\tdeletion = {};\n\tdeletion[event.param] = undefined;\n\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,deletion));\n\treturn true;\n};\n\nFieldManglerWidget.prototype.handleAddFieldEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\taddition = this.wiki.getModificationFields(),\n\t\thadInvalidFieldName = false,\n\t\taddField = function(name,value) {\n\t\t\tvar trimmedName = name.toLowerCase().trim();\n\t\t\tif(!$tw.utils.isValidFieldName(trimmedName)) {\n\t\t\t\tif(!hadInvalidFieldName) {\n\t\t\t\t\talert($tw.language.getString(\n\t\t\t\t\t\t\"InvalidFieldName\",\n\t\t\t\t\t\t{variables:\n\t\t\t\t\t\t\t{fieldName: trimmedName}\n\t\t\t\t\t\t}\n\t\t\t\t\t));\n\t\t\t\t\thadInvalidFieldName = true;\n\t\t\t\t\treturn;\n\t\t\t\t}\n\t\t\t} else {\n\t\t\t\tif(!value && tiddler) {\n\t\t\t\t\tvalue = tiddler.fields[trimmedName];\n\t\t\t\t}\n\t\t\t\taddition[trimmedName] = value || \"\";\n\t\t\t}\n\t\t\treturn;\n\t\t};\n\taddition.title = this.mangleTitle;\n\tif(typeof event.param === \"string\") {\n\t\taddField(event.param,\"\");\n\t}\n\tif(typeof event.paramObject === \"object\") {\n\t\tfor(var name in event.paramObject) {\n\t\t\taddField(name,event.paramObject[name]);\n\t\t}\n\t}\n\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,addition));\n\treturn true;\n};\n\nFieldManglerWidget.prototype.handleRemoveTagEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\tmodification = this.wiki.getModificationFields();\n\tif(tiddler && tiddler.fields.tags) {\n\t\tvar p = tiddler.fields.tags.indexOf(event.param);\n\t\tif(p !== -1) {\n\t\t\tmodification.tags = (tiddler.fields.tags || []).slice(0);\n\t\t\tmodification.tags.splice(p,1);\n\t\t\tif(modification.tags.length === 0) {\n\t\t\t\tmodification.tags = undefined;\n\t\t\t}\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,modification));\n\t\t}\n\t}\n\treturn true;\n};\n\nFieldManglerWidget.prototype.handleAddTagEvent = function(event) {\n\tvar tiddler = this.wiki.getTiddler(this.mangleTitle),\n\t\tmodification = this.wiki.getModificationFields();\n\tif(tiddler && typeof event.param === \"string\") {\n\t\tvar tag = event.param.trim();\n\t\tif(tag !== \"\") {\n\t\t\tmodification.tags = (tiddler.fields.tags || []).slice(0);\n\t\t\t$tw.utils.pushTop(modification.tags,tag);\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,modification));\t\t\t\n\t\t}\n\t} else if(typeof event.param === \"string\" && event.param.trim() !== \"\" && this.mangleTitle.trim() !== \"\") {\n\t\tvar tag = [];\n\t\ttag.push(event.param.trim());\n\t\tthis.wiki.addTiddler(new $tw.Tiddler({title: this.mangleTitle, tags: tag},modification));\n\t}\n\treturn true;\n};\n\nexports.fieldmangler = FieldManglerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/fields.js": {
"title": "$:/core/modules/widgets/fields.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/fields.js\ntype: application/javascript\nmodule-type: widget\n\nFields widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar FieldsWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nFieldsWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nFieldsWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar textNode = this.document.createTextNode(this.text);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nFieldsWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.tiddlerTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.template = this.getAttribute(\"template\");\n\tthis.sort = this.getAttribute(\"sort\",\"yes\") === \"yes\";\n\tthis.sortReverse = this.getAttribute(\"sortReverse\",\"no\") === \"yes\";\n\tthis.exclude = this.getAttribute(\"exclude\");\n\tthis.include = this.getAttribute(\"include\",null);\n\tthis.stripTitlePrefix = this.getAttribute(\"stripTitlePrefix\",\"no\") === \"yes\";\n\t// Get the value to display\n\tvar tiddler = this.wiki.getTiddler(this.tiddlerTitle);\n\n\t// Get the inclusion and exclusion list\n\tvar excludeArr = (this.exclude) ? this.exclude.split(\" \") : [\"text\"];\n\t// Include takes precedence\n\tvar includeArr = (this.include) ? this.include.split(\" \") : null;\n\n\t// Compose the template\n\tvar text = [];\n\tif(this.template && tiddler) {\n\t\tvar fields = [];\n\t\tif (includeArr) { // Include takes precedence\n\t\t\tfor(var i=0; i<includeArr.length; i++) {\n\t\t\t\tif(tiddler.fields[includeArr[i]]) {\n\t\t\t\t\tfields.push(includeArr[i]);\n\t\t\t\t}\n\t\t\t}\n\t\t} else {\n\t\t\tfor(var fieldName in tiddler.fields) {\n\t\t\t\tif(excludeArr.indexOf(fieldName) === -1) {\n\t\t\t\t\tfields.push(fieldName);\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t\tif (this.sort) fields.sort();\n\t\tif (this.sortReverse) fields.reverse();\n\t\tfor(var f=0, fmax=fields.length; f<fmax; f++) {\n\t\t\tfieldName = fields[f];\n\t\t\tvar row = this.template,\n\t\t\t\tvalue = tiddler.getFieldString(fieldName);\n\t\t\tif(this.stripTitlePrefix && fieldName === \"title\") {\n\t\t\t\tvar reStrip = /^\\{[^\\}]+\\}(.+)/mg,\n\t\t\t\t\treMatch = reStrip.exec(value);\n\t\t\t\tif(reMatch) {\n\t\t\t\t\tvalue = reMatch[1];\n\t\t\t\t}\n\t\t\t}\n\t\t\trow = $tw.utils.replaceString(row,\"$name$\",fieldName);\n\t\t\trow = $tw.utils.replaceString(row,\"$value$\",value);\n\t\t\trow = $tw.utils.replaceString(row,\"$encoded_value$\",$tw.utils.htmlEncode(value));\n\t\t\ttext.push(row);\n\t\t}\n\t}\n\tthis.text = text.join(\"\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nFieldsWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif( changedAttributes.tiddler || changedAttributes.template || changedAttributes.exclude ||\n\t\tchangedAttributes.include || changedAttributes.sort || changedAttributes.sortReverse ||\n\t\tchangedTiddlers[this.tiddlerTitle] || changedAttributes.stripTitlePrefix) {\n\t\t\tthis.refreshSelf();\n\t\t\treturn true;\n\t} else {\n\t\treturn false;\n\t}\n};\n\nexports.fields = FieldsWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/image.js": {
"title": "$:/core/modules/widgets/image.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/image.js\ntype: application/javascript\nmodule-type: widget\n\nThe image widget displays an image referenced with an external URI or with a local tiddler title.\n\n```\n<$image src=\"TiddlerTitle\" width=\"320\" height=\"400\" class=\"classnames\">\n```\n\nThe image source can be the title of an existing tiddler or the URL of an external image.\n\nExternal images always generate an HTML `<img>` tag.\n\nTiddlers that have a _canonical_uri field generate an HTML `<img>` tag with the src attribute containing the URI.\n\nTiddlers that contain image data generate an HTML `<img>` tag with the src attribute containing a base64 representation of the image.\n\nTiddlers that contain wikitext could be rendered to a DIV of the usual size of a tiddler, and then transformed to the size requested.\n\nThe width and height attributes are interpreted as a number of pixels, and do not need to include the \"px\" suffix.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ImageWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nImageWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nImageWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create element\n\t// Determine what type of image it is\n\tvar tag = \"img\", src = \"\",\n\t\ttiddler = this.wiki.getTiddler(this.imageSource);\n\tif(!tiddler) {\n\t\t// The source isn't the title of a tiddler, so we'll assume it's a URL\n\t\tsrc = this.getVariable(\"tv-get-export-image-link\",{params: [{name: \"src\",value: this.imageSource}],defaultValue: this.imageSource});\n\t} else {\n\t\t// Check if it is an image tiddler\n\t\tif(this.wiki.isImageTiddler(this.imageSource)) {\n\t\t\tvar type = tiddler.fields.type,\n\t\t\t\ttext = tiddler.fields.text,\n\t\t\t\t_canonical_uri = tiddler.fields._canonical_uri;\n\t\t\t// If the tiddler has body text then it doesn't need to be lazily loaded\n\t\t\tif(text) {\n\t\t\t\t// Render the appropriate element for the image type\n\t\t\t\tswitch(type) {\n\t\t\t\t\tcase \"application/pdf\":\n\t\t\t\t\t\ttag = \"embed\";\n\t\t\t\t\t\tsrc = \"data:application/pdf;base64,\" + text;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tcase \"image/svg+xml\":\n\t\t\t\t\t\tsrc = \"data:image/svg+xml,\" + encodeURIComponent(text);\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tdefault:\n\t\t\t\t\t\tsrc = \"data:\" + type + \";base64,\" + text;\n\t\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t} else if(_canonical_uri) {\n\t\t\t\tswitch(type) {\n\t\t\t\t\tcase \"application/pdf\":\n\t\t\t\t\t\ttag = \"embed\";\n\t\t\t\t\t\tsrc = _canonical_uri;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tcase \"image/svg+xml\":\n\t\t\t\t\t\tsrc = _canonical_uri;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\tdefault:\n\t\t\t\t\t\tsrc = _canonical_uri;\n\t\t\t\t\t\tbreak;\n\t\t\t\t}\t\n\t\t\t} else {\n\t\t\t\t// Just trigger loading of the tiddler\n\t\t\t\tthis.wiki.getTiddlerText(this.imageSource);\n\t\t\t}\n\t\t}\n\t}\n\t// Create the element and assign the attributes\n\tvar domNode = this.document.createElement(tag);\n\tdomNode.setAttribute(\"src\",src);\n\tif(this.imageClass) {\n\t\tdomNode.setAttribute(\"class\",this.imageClass);\t\t\n\t}\n\tif(this.imageWidth) {\n\t\tdomNode.setAttribute(\"width\",this.imageWidth);\n\t}\n\tif(this.imageHeight) {\n\t\tdomNode.setAttribute(\"height\",this.imageHeight);\n\t}\n\tif(this.imageTooltip) {\n\t\tdomNode.setAttribute(\"title\",this.imageTooltip);\t\t\n\t}\n\tif(this.imageAlt) {\n\t\tdomNode.setAttribute(\"alt\",this.imageAlt);\t\t\n\t}\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.domNodes.push(domNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nImageWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.imageSource = this.getAttribute(\"source\");\n\tthis.imageWidth = this.getAttribute(\"width\");\n\tthis.imageHeight = this.getAttribute(\"height\");\n\tthis.imageClass = this.getAttribute(\"class\");\n\tthis.imageTooltip = this.getAttribute(\"tooltip\");\n\tthis.imageAlt = this.getAttribute(\"alt\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nImageWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.source || changedAttributes.width || changedAttributes.height || changedAttributes[\"class\"] || changedAttributes.tooltip || changedTiddlers[this.imageSource]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\t\n\t}\n};\n\nexports.image = ImageWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/importvariables.js": {
"title": "$:/core/modules/widgets/importvariables.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/importvariables.js\ntype: application/javascript\nmodule-type: widget\n\nImport variable definitions from other tiddlers\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ImportVariablesWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nImportVariablesWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nImportVariablesWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nImportVariablesWidget.prototype.execute = function(tiddlerList) {\n\tvar widgetPointer = this;\n\t// Got to flush all the accumulated variables\n\tthis.variables = new this.variablesConstructor();\n\t// Get our parameters\n\tthis.filter = this.getAttribute(\"filter\");\n\t// Compute the filter\n\tthis.tiddlerList = tiddlerList || this.wiki.filterTiddlers(this.filter,this);\n\t// Accumulate the <$set> widgets from each tiddler\n\t$tw.utils.each(this.tiddlerList,function(title) {\n\t\tvar parser = widgetPointer.wiki.parseTiddler(title);\n\t\tif(parser) {\n\t\t\tvar parseTreeNode = parser.tree[0];\n\t\t\twhile(parseTreeNode && parseTreeNode.type === \"set\") {\n\t\t\t\tvar node = {\n\t\t\t\t\ttype: \"set\",\n\t\t\t\t\tattributes: parseTreeNode.attributes,\n\t\t\t\t\tparams: parseTreeNode.params,\n\t\t\t\t\tisMacroDefinition: parseTreeNode.isMacroDefinition\n\t\t\t\t};\n\t\t\t\tif (parseTreeNode.isMacroDefinition) {\n\t\t\t\t\t// Macro definitions can be folded into\n\t\t\t\t\t// current widget instead of adding\n\t\t\t\t\t// another link to the chain.\n\t\t\t\t\tvar widget = widgetPointer.makeChildWidget(node);\n\t\t\t\t\twidget.computeAttributes();\n\t\t\t\t\twidget.execute();\n\t\t\t\t\t// We SHALLOW copy over all variables\n\t\t\t\t\t// in widget. We can't use\n\t\t\t\t\t// $tw.utils.assign, because that copies\n\t\t\t\t\t// up the prototype chain, which we\n\t\t\t\t\t// don't want.\n\t\t\t\t\t$tw.utils.each(Object.keys(widget.variables), function(key) {\n\t\t\t\t\t\twidgetPointer.variables[key] = widget.variables[key];\n\t\t\t\t\t});\n\t\t\t\t} else {\n\t\t\t\t\twidgetPointer.children = [widgetPointer.makeChildWidget(node)];\n\t\t\t\t\t// No more regenerating children for\n\t\t\t\t\t// this widget. If it needs to refresh,\n\t\t\t\t\t// it'll do so along with the the whole\n\t\t\t\t\t// importvariable tree.\n\t\t\t\t\tif (widgetPointer != this) {\n\t\t\t\t\t\twidgetPointer.makeChildWidgets = function(){};\n\t\t\t\t\t}\n\t\t\t\t\twidgetPointer = widgetPointer.children[0];\n\t\t\t\t}\n\t\t\t\tparseTreeNode = parseTreeNode.children && parseTreeNode.children[0];\n\t\t\t}\n\t\t} \n\t});\n\n\tif (widgetPointer != this) {\n\t\twidgetPointer.parseTreeNode.children = this.parseTreeNode.children;\n\t} else {\n\t\twidgetPointer.makeChildWidgets();\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nImportVariablesWidget.prototype.refresh = function(changedTiddlers) {\n\t// Recompute our attributes and the filter list\n\tvar changedAttributes = this.computeAttributes(),\n\t\ttiddlerList = this.wiki.filterTiddlers(this.getAttribute(\"filter\"),this);\n\t// Refresh if the filter has changed, or the list of tiddlers has changed, or any of the tiddlers in the list has changed\n\tfunction haveListedTiddlersChanged() {\n\t\tvar changed = false;\n\t\ttiddlerList.forEach(function(title) {\n\t\t\tif(changedTiddlers[title]) {\n\t\t\t\tchanged = true;\n\t\t\t}\n\t\t});\n\t\treturn changed;\n\t}\n\tif(changedAttributes.filter || !$tw.utils.isArrayEqual(this.tiddlerList,tiddlerList) || haveListedTiddlersChanged()) {\n\t\t// Compute the filter\n\t\tthis.removeChildDomNodes();\n\t\tthis.execute(tiddlerList);\n\t\tthis.renderChildren(this.parentDomNode,this.findNextSiblingDomNode());\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.importvariables = ImportVariablesWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/keyboard.js": {
"title": "$:/core/modules/widgets/keyboard.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/keyboard.js\ntype: application/javascript\nmodule-type: widget\n\nKeyboard shortcut widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar KeyboardWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nKeyboardWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nKeyboardWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar tag = this.parseTreeNode.isBlock ? \"div\" : \"span\";\n\tif(this.tag && $tw.config.htmlUnsafeElements.indexOf(this.tag) === -1) {\n\t\ttag = this.tag;\n\t}\n\t// Create element\n\tvar domNode = this.document.createElement(tag);\n\t// Assign classes\n\tvar classes = (this[\"class\"] || \"\").split(\" \");\n\tclasses.push(\"tc-keyboard\");\n\tdomNode.className = classes.join(\" \");\n\t// Add a keyboard event handler\n\tdomNode.addEventListener(\"keydown\",function (event) {\n\t\tif($tw.keyboardManager.checkKeyDescriptors(event,self.keyInfoArray)) {\n\t\t\tvar handled = self.invokeActions(self,event);\n\t\t\tif(self.actions) {\n\t\t\t\tself.invokeActionString(self.actions,self,event);\n\t\t\t}\n\t\t\tself.dispatchMessage(event);\n\t\t\tif(handled || self.actions || self.message) {\n\t\t\t\tevent.preventDefault();\n\t\t\t\tevent.stopPropagation();\n\t\t\t}\n\t\t\treturn true;\n\t\t}\n\t\treturn false;\n\t},false);\n\t// Insert element\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\nKeyboardWidget.prototype.dispatchMessage = function(event) {\n\tthis.dispatchEvent({type: this.message, param: this.param, tiddlerTitle: this.getVariable(\"currentTiddler\")});\n};\n\n/*\nCompute the internal state of the widget\n*/\nKeyboardWidget.prototype.execute = function() {\n\tvar self = this;\n\t// Get attributes\n\tthis.actions = this.getAttribute(\"actions\",\"\");\n\tthis.message = this.getAttribute(\"message\",\"\");\n\tthis.param = this.getAttribute(\"param\",\"\");\n\tthis.key = this.getAttribute(\"key\",\"\");\n\tthis.tag = this.getAttribute(\"tag\",\"\");\n\tthis.keyInfoArray = $tw.keyboardManager.parseKeyDescriptors(this.key);\n\tthis[\"class\"] = this.getAttribute(\"class\",\"\");\n\tif(this.key.substr(0,2) === \"((\" && this.key.substr(-2,2) === \"))\") {\n\t\tthis.shortcutTiddlers = [];\n\t\tvar name = this.key.substring(2,this.key.length -2);\n\t\t$tw.utils.each($tw.keyboardManager.lookupNames,function(platformDescriptor) {\n\t\t\tself.shortcutTiddlers.push(\"$:/config/\" + platformDescriptor + \"/\" + name);\n\t\t});\n\t}\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nKeyboardWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.message || changedAttributes.param || changedAttributes.key || changedAttributes[\"class\"] || changedAttributes.tag) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\t// Update the keyInfoArray if one of its shortcut-config-tiddlers has changed\n\tif(this.shortcutTiddlers && $tw.utils.hopArray(changedTiddlers,this.shortcutTiddlers)) {\n\t\tthis.keyInfoArray = $tw.keyboardManager.parseKeyDescriptors(this.key);\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.keyboard = KeyboardWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/link.js": {
"title": "$:/core/modules/widgets/link.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/link.js\ntype: application/javascript\nmodule-type: widget\n\nLink widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar LinkWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nLinkWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nLinkWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Get the value of the tv-wikilinks configuration macro\n\tvar wikiLinksMacro = this.getVariable(\"tv-wikilinks\"),\n\t\tuseWikiLinks = wikiLinksMacro ? (wikiLinksMacro.trim() !== \"no\") : true,\n\t\tmissingLinksEnabled = !(this.hideMissingLinks && this.isMissing && !this.isShadow);\n\t// Render the link if required\n\tif(useWikiLinks && missingLinksEnabled) {\n\t\tthis.renderLink(parent,nextSibling);\n\t} else {\n\t\t// Just insert the link text\n\t\tvar domNode = this.document.createElement(\"span\");\n\t\tparent.insertBefore(domNode,nextSibling);\n\t\tthis.renderChildren(domNode,null);\n\t\tthis.domNodes.push(domNode);\n\t}\n};\n\n/*\nRender this widget into the DOM\n*/\nLinkWidget.prototype.renderLink = function(parent,nextSibling) {\n\tvar self = this;\n\t// Sanitise the specified tag\n\tvar tag = this.linkTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"a\";\n\t}\n\t// Create our element\n\tvar namespace = this.getVariable(\"namespace\",{defaultValue: \"http://www.w3.org/1999/xhtml\"}),\n\t\tdomNode = this.document.createElementNS(namespace,tag);\n\t// Assign classes\n\tvar classes = [];\n\tif(this.overrideClasses === undefined) {\n\t\tclasses.push(\"tc-tiddlylink\");\n\t\tif(this.isShadow) {\n\t\t\tclasses.push(\"tc-tiddlylink-shadow\");\n\t\t}\n\t\tif(this.isMissing && !this.isShadow) {\n\t\t\tclasses.push(\"tc-tiddlylink-missing\");\n\t\t} else {\n\t\t\tif(!this.isMissing) {\n\t\t\t\tclasses.push(\"tc-tiddlylink-resolves\");\n\t\t\t}\n\t\t}\n\t\tif(this.linkClasses) {\n\t\t\tclasses.push(this.linkClasses);\t\t\t\n\t\t}\n\t} else if(this.overrideClasses !== \"\") {\n\t\tclasses.push(this.overrideClasses)\n\t}\n\tif(classes.length > 0) {\n\t\tdomNode.setAttribute(\"class\",classes.join(\" \"));\n\t}\n\t// Set an href\n\tvar wikilinkTransformFilter = this.getVariable(\"tv-filter-export-link\"),\n\t\twikiLinkText;\n\tif(wikilinkTransformFilter) {\n\t\t// Use the filter to construct the href\n\t\twikiLinkText = this.wiki.filterTiddlers(wikilinkTransformFilter,this,function(iterator) {\n\t\t\titerator(self.wiki.getTiddler(self.to),self.to)\n\t\t})[0];\n\t} else {\n\t\t// Expand the tv-wikilink-template variable to construct the href\n\t\tvar wikiLinkTemplateMacro = this.getVariable(\"tv-wikilink-template\"),\n\t\t\twikiLinkTemplate = wikiLinkTemplateMacro ? wikiLinkTemplateMacro.trim() : \"#$uri_encoded$\";\n\t\twikiLinkText = $tw.utils.replaceString(wikiLinkTemplate,\"$uri_encoded$\",encodeURIComponent(this.to));\n\t\twikiLinkText = $tw.utils.replaceString(wikiLinkText,\"$uri_doubleencoded$\",encodeURIComponent(encodeURIComponent(this.to)));\n\t}\n\t// Override with the value of tv-get-export-link if defined\n\twikiLinkText = this.getVariable(\"tv-get-export-link\",{params: [{name: \"to\",value: this.to}],defaultValue: wikiLinkText});\n\tif(tag === \"a\") {\n\t\tvar namespaceHref = (namespace === \"http://www.w3.org/2000/svg\") ? \"http://www.w3.org/1999/xlink\" : undefined;\n\t\tdomNode.setAttributeNS(namespaceHref,\"href\",wikiLinkText);\n\t}\n\t// Set the tabindex\n\tif(this.tabIndex) {\n\t\tdomNode.setAttribute(\"tabindex\",this.tabIndex);\n\t}\n\t// Set the tooltip\n\t// HACK: Performance issues with re-parsing the tooltip prevent us defaulting the tooltip to \"<$transclude field='tooltip'><$transclude field='title'/></$transclude>\"\n\tvar tooltipWikiText = this.tooltip || this.getVariable(\"tv-wikilink-tooltip\");\n\tif(tooltipWikiText) {\n\t\tvar tooltipText = this.wiki.renderText(\"text/plain\",\"text/vnd.tiddlywiki\",tooltipWikiText,{\n\t\t\t\tparseAsInline: true,\n\t\t\t\tvariables: {\n\t\t\t\t\tcurrentTiddler: this.to\n\t\t\t\t},\n\t\t\t\tparentWidget: this\n\t\t\t});\n\t\tdomNode.setAttribute(\"title\",tooltipText);\n\t}\n\tif(this[\"aria-label\"]) {\n\t\tdomNode.setAttribute(\"aria-label\",this[\"aria-label\"]);\n\t}\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"click\", handlerObject: this, handlerMethod: \"handleClickEvent\"},\n\t]);\n\t// Make the link draggable if required\n\tif(this.draggable === \"yes\") {\n\t\t$tw.utils.makeDraggable({\n\t\t\tdomNode: domNode,\n\t\t\tdragTiddlerFn: function() {return self.to;},\n\t\t\twidget: this\n\t\t});\n\t}\n\t// Insert the link into the DOM and render any children\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\nLinkWidget.prototype.handleClickEvent = function(event) {\n\t// Send the click on its way as a navigate event\n\tvar bounds = this.domNodes[0].getBoundingClientRect();\n\tthis.dispatchEvent({\n\t\ttype: \"tm-navigate\",\n\t\tnavigateTo: this.to,\n\t\tnavigateFromTitle: this.getVariable(\"storyTiddler\"),\n\t\tnavigateFromNode: this,\n\t\tnavigateFromClientRect: { top: bounds.top, left: bounds.left, width: bounds.width, right: bounds.right, bottom: bounds.bottom, height: bounds.height\n\t\t},\n\t\tnavigateSuppressNavigation: event.metaKey || event.ctrlKey || (event.button === 1),\n\t\tmetaKey: event.metaKey,\n\t\tctrlKey: event.ctrlKey,\n\t\taltKey: event.altKey,\n\t\tshiftKey: event.shiftKey,\n\t\tevent: event\n\t});\n\tif(this.domNodes[0].hasAttribute(\"href\")) {\n\t\tevent.preventDefault();\n\t}\n\tevent.stopPropagation();\n\treturn false;\n};\n\n/*\nCompute the internal state of the widget\n*/\nLinkWidget.prototype.execute = function() {\n\t// Pick up our attributes\n\tthis.to = this.getAttribute(\"to\",this.getVariable(\"currentTiddler\"));\n\tthis.tooltip = this.getAttribute(\"tooltip\");\n\tthis[\"aria-label\"] = this.getAttribute(\"aria-label\");\n\tthis.linkClasses = this.getAttribute(\"class\");\n\tthis.overrideClasses = this.getAttribute(\"overrideClass\");\n\tthis.tabIndex = this.getAttribute(\"tabindex\");\n\tthis.draggable = this.getAttribute(\"draggable\",\"yes\");\n\tthis.linkTag = this.getAttribute(\"tag\",\"a\");\n\t// Determine the link characteristics\n\tthis.isMissing = !this.wiki.tiddlerExists(this.to);\n\tthis.isShadow = this.wiki.isShadowTiddler(this.to);\n\tthis.hideMissingLinks = (this.getVariable(\"tv-show-missing-links\") || \"yes\") === \"no\";\n\t// Make the child widgets\n\tvar templateTree;\n\tif(this.parseTreeNode.children && this.parseTreeNode.children.length > 0) {\n\t\ttemplateTree = this.parseTreeNode.children;\n\t} else {\n\t\t// Default template is a link to the title\n\t\ttemplateTree = [{type: \"text\", text: this.to}];\n\t}\n\tthis.makeChildWidgets(templateTree);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nLinkWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.to || changedTiddlers[this.to] || changedAttributes[\"aria-label\"] || changedAttributes.tooltip) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.link = LinkWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/linkcatcher.js": {
"title": "$:/core/modules/widgets/linkcatcher.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/linkcatcher.js\ntype: application/javascript\nmodule-type: widget\n\nLinkcatcher widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar LinkCatcherWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nLinkCatcherWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nLinkCatcherWidget.prototype.render = function(parent,nextSibling) {\n\tthis.addEventListeners([\n\t\t{type: \"tm-navigate\", handler: \"handleNavigateEvent\"}\n\t]);\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nLinkCatcherWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.catchTo = this.getAttribute(\"to\");\n\tthis.catchMessage = this.getAttribute(\"message\");\n\tthis.catchSet = this.getAttribute(\"set\");\n\tthis.catchSetTo = this.getAttribute(\"setTo\");\n\tthis.catchActions = this.getAttribute(\"actions\");\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n\t// When executing actions we avoid trapping navigate events, so that we don't trigger ourselves recursively\n\tthis.executingActions = false;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nLinkCatcherWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.to || changedAttributes.message || changedAttributes.set || changedAttributes.setTo) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\n/*\nHandle a tm-navigate event\n*/\nLinkCatcherWidget.prototype.handleNavigateEvent = function(event) {\n\tif(!this.executingActions) {\n\t\t// Execute the actions\n\t\tif(this.catchTo) {\n\t\t\tthis.wiki.setTextReference(this.catchTo,event.navigateTo,this.getVariable(\"currentTiddler\"));\n\t\t}\n\t\tif(this.catchMessage && this.parentWidget) {\n\t\t\tthis.parentWidget.dispatchEvent({\n\t\t\t\ttype: this.catchMessage,\n\t\t\t\tparam: event.navigateTo,\n\t\t\t\tnavigateTo: event.navigateTo\n\t\t\t});\n\t\t}\n\t\tif(this.catchSet) {\n\t\t\tvar tiddler = this.wiki.getTiddler(this.catchSet);\n\t\t\tthis.wiki.addTiddler(new $tw.Tiddler(tiddler,{title: this.catchSet, text: this.catchSetTo}));\n\t\t}\n\t\tif(this.catchActions) {\n\t\t\tthis.executingActions = true;\n\t\t\tvar modifierKey = $tw.keyboardManager.getEventModifierKeyDescriptor(event);\n\t\t\tthis.invokeActionString(this.catchActions,this,event,{navigateTo: event.navigateTo, modifier: modifierKey});\n\t\t\tthis.executingActions = false;\n\t\t}\n\t} else {\n\t\t// This is a navigate event generated by the actions of this linkcatcher, so we don't trap it again, but just pass it to the parent\n\t\tthis.parentWidget.dispatchEvent({\n\t\t\ttype: \"tm-navigate\",\n\t\t\tparam: event.navigateTo,\n\t\t\tnavigateTo: event.navigateTo\n\t\t});\n\t}\n\treturn false;\n};\n\nexports.linkcatcher = LinkCatcherWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/list.js": {
"title": "$:/core/modules/widgets/list.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/list.js\ntype: application/javascript\nmodule-type: widget\n\nList and list item widgets\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\n/*\nThe list widget creates list element sub-widgets that reach back into the list widget for their configuration\n*/\n\nvar ListWidget = function(parseTreeNode,options) {\n\t// Main initialisation inherited from widget.js\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nListWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nListWidget.prototype.render = function(parent,nextSibling) {\n\t// Initialise the storyviews if they've not been done already\n\tif(!this.storyViews) {\n\t\tListWidget.prototype.storyViews = {};\n\t\t$tw.modules.applyMethods(\"storyview\",this.storyViews);\n\t}\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n\t// Construct the storyview\n\tvar StoryView = this.storyViews[this.storyViewName];\n\tif(this.storyViewName && !StoryView) {\n\t\tStoryView = this.storyViews[\"classic\"];\n\t}\n\tif(StoryView && !this.document.isTiddlyWikiFakeDom) {\n\t\tthis.storyview = new StoryView(this);\n\t} else {\n\t\tthis.storyview = null;\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nListWidget.prototype.execute = function() {\n\t// Get our attributes\n\tthis.template = this.getAttribute(\"template\");\n\tthis.editTemplate = this.getAttribute(\"editTemplate\");\n\tthis.variableName = this.getAttribute(\"variable\",\"currentTiddler\");\n\tthis.storyViewName = this.getAttribute(\"storyview\");\n\tthis.historyTitle = this.getAttribute(\"history\");\n\t// Compose the list elements\n\tthis.list = this.getTiddlerList();\n\tvar members = [],\n\t\tself = this;\n\t// Check for an empty list\n\tif(this.list.length === 0) {\n\t\tmembers = this.getEmptyMessage();\n\t} else {\n\t\t$tw.utils.each(this.list,function(title,index) {\n\t\t\tmembers.push(self.makeItemTemplate(title));\n\t\t});\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets(members);\n\t// Clear the last history\n\tthis.history = [];\n};\n\nListWidget.prototype.getTiddlerList = function() {\n\tvar defaultFilter = \"[!is[system]sort[title]]\";\n\treturn this.wiki.filterTiddlers(this.getAttribute(\"filter\",defaultFilter),this);\n};\n\nListWidget.prototype.getEmptyMessage = function() {\n\tvar parser,\n\t\temptyMessage = this.getAttribute(\"emptyMessage\",\"\");\n\t// this.wiki.parseText() calls \n\t// new Parser(..), which should only be done, if needed, because it's heavy!\n\tif (emptyMessage === \"\") {\n\t\treturn [];\n\t}\n\tparser = this.wiki.parseText(\"text/vnd.tiddlywiki\",emptyMessage,{parseAsInline: true});\n\tif(parser) {\n\t\treturn parser.tree;\n\t} else {\n\t\treturn [];\n\t}\n};\n\n/*\nCompose the template for a list item\n*/\nListWidget.prototype.makeItemTemplate = function(title) {\n\t// Check if the tiddler is a draft\n\tvar tiddler = this.wiki.getTiddler(title),\n\t\tisDraft = tiddler && tiddler.hasField(\"draft.of\"),\n\t\ttemplate = this.template,\n\t\ttemplateTree;\n\tif(isDraft && this.editTemplate) {\n\t\ttemplate = this.editTemplate;\n\t}\n\t// Compose the transclusion of the template\n\tif(template) {\n\t\ttemplateTree = [{type: \"transclude\", attributes: {tiddler: {type: \"string\", value: template}}}];\n\t} else {\n\t\tif(this.parseTreeNode.children && this.parseTreeNode.children.length > 0) {\n\t\t\ttemplateTree = this.parseTreeNode.children;\n\t\t} else {\n\t\t\t// Default template is a link to the title\n\t\t\ttemplateTree = [{type: \"element\", tag: this.parseTreeNode.isBlock ? \"div\" : \"span\", children: [{type: \"link\", attributes: {to: {type: \"string\", value: title}}, children: [\n\t\t\t\t\t{type: \"text\", text: title}\n\t\t\t]}]}];\n\t\t}\n\t}\n\t// Return the list item\n\treturn {type: \"listitem\", itemTitle: title, variableName: this.variableName, children: templateTree};\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nListWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes(),\n\t\tresult;\n\t// Call the storyview\n\tif(this.storyview && this.storyview.refreshStart) {\n\t\tthis.storyview.refreshStart(changedTiddlers,changedAttributes);\n\t}\n\t// Completely refresh if any of our attributes have changed\n\tif(changedAttributes.filter || changedAttributes.template || changedAttributes.editTemplate || changedAttributes.emptyMessage || changedAttributes.storyview || changedAttributes.history) {\n\t\tthis.refreshSelf();\n\t\tresult = true;\n\t} else {\n\t\t// Handle any changes to the list\n\t\tresult = this.handleListChanges(changedTiddlers);\n\t\t// Handle any changes to the history stack\n\t\tif(this.historyTitle && changedTiddlers[this.historyTitle]) {\n\t\t\tthis.handleHistoryChanges();\n\t\t}\n\t}\n\t// Call the storyview\n\tif(this.storyview && this.storyview.refreshEnd) {\n\t\tthis.storyview.refreshEnd(changedTiddlers,changedAttributes);\n\t}\n\treturn result;\n};\n\n/*\nHandle any changes to the history list\n*/\nListWidget.prototype.handleHistoryChanges = function() {\n\t// Get the history data\n\tvar newHistory = this.wiki.getTiddlerDataCached(this.historyTitle,[]);\n\t// Ignore any entries of the history that match the previous history\n\tvar entry = 0;\n\twhile(entry < newHistory.length && entry < this.history.length && newHistory[entry].title === this.history[entry].title) {\n\t\tentry++;\n\t}\n\t// Navigate forwards to each of the new tiddlers\n\twhile(entry < newHistory.length) {\n\t\tif(this.storyview && this.storyview.navigateTo) {\n\t\t\tthis.storyview.navigateTo(newHistory[entry]);\n\t\t}\n\t\tentry++;\n\t}\n\t// Update the history\n\tthis.history = newHistory;\n};\n\n/*\nProcess any changes to the list\n*/\nListWidget.prototype.handleListChanges = function(changedTiddlers) {\n\t// Get the new list\n\tvar prevList = this.list;\n\tthis.list = this.getTiddlerList();\n\t// Check for an empty list\n\tif(this.list.length === 0) {\n\t\t// Check if it was empty before\n\t\tif(prevList.length === 0) {\n\t\t\t// If so, just refresh the empty message\n\t\t\treturn this.refreshChildren(changedTiddlers);\n\t\t} else {\n\t\t\t// Replace the previous content with the empty message\n\t\t\tfor(t=this.children.length-1; t>=0; t--) {\n\t\t\t\tthis.removeListItem(t);\n\t\t\t}\n\t\t\tvar nextSibling = this.findNextSiblingDomNode();\n\t\t\tthis.makeChildWidgets(this.getEmptyMessage());\n\t\t\tthis.renderChildren(this.parentDomNode,nextSibling);\n\t\t\treturn true;\n\t\t}\n\t} else {\n\t\t// If the list was empty then we need to remove the empty message\n\t\tif(prevList.length === 0) {\n\t\t\tthis.removeChildDomNodes();\n\t\t\tthis.children = [];\n\t\t}\n\t\t// Cycle through the list, inserting and removing list items as needed\n\t\tvar hasRefreshed = false;\n\t\tfor(var t=0; t<this.list.length; t++) {\n\t\t\tvar index = this.findListItem(t,this.list[t]);\n\t\t\tif(index === undefined) {\n\t\t\t\t// The list item must be inserted\n\t\t\t\tthis.insertListItem(t,this.list[t]);\n\t\t\t\thasRefreshed = true;\n\t\t\t} else {\n\t\t\t\t// There are intervening list items that must be removed\n\t\t\t\tfor(var n=index-1; n>=t; n--) {\n\t\t\t\t\tthis.removeListItem(n);\n\t\t\t\t\thasRefreshed = true;\n\t\t\t\t}\n\t\t\t\t// Refresh the item we're reusing\n\t\t\t\tvar refreshed = this.children[t].refresh(changedTiddlers);\n\t\t\t\thasRefreshed = hasRefreshed || refreshed;\n\t\t\t}\n\t\t}\n\t\t// Remove any left over items\n\t\tfor(t=this.children.length-1; t>=this.list.length; t--) {\n\t\t\tthis.removeListItem(t);\n\t\t\thasRefreshed = true;\n\t\t}\n\t\treturn hasRefreshed;\n\t}\n};\n\n/*\nFind the list item with a given title, starting from a specified position\n*/\nListWidget.prototype.findListItem = function(startIndex,title) {\n\twhile(startIndex < this.children.length) {\n\t\tif(this.children[startIndex].parseTreeNode.itemTitle === title) {\n\t\t\treturn startIndex;\n\t\t}\n\t\tstartIndex++;\n\t}\n\treturn undefined;\n};\n\n/*\nInsert a new list item at the specified index\n*/\nListWidget.prototype.insertListItem = function(index,title) {\n\t// Create, insert and render the new child widgets\n\tvar widget = this.makeChildWidget(this.makeItemTemplate(title));\n\twidget.parentDomNode = this.parentDomNode; // Hack to enable findNextSiblingDomNode() to work\n\tthis.children.splice(index,0,widget);\n\tvar nextSibling = widget.findNextSiblingDomNode();\n\twidget.render(this.parentDomNode,nextSibling);\n\t// Animate the insertion if required\n\tif(this.storyview && this.storyview.insert) {\n\t\tthis.storyview.insert(widget);\n\t}\n\treturn true;\n};\n\n/*\nRemove the specified list item\n*/\nListWidget.prototype.removeListItem = function(index) {\n\tvar widget = this.children[index];\n\t// Animate the removal if required\n\tif(this.storyview && this.storyview.remove) {\n\t\tthis.storyview.remove(widget);\n\t} else {\n\t\twidget.removeChildDomNodes();\n\t}\n\t// Remove the child widget\n\tthis.children.splice(index,1);\n};\n\nexports.list = ListWidget;\n\nvar ListItemWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nListItemWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nListItemWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nListItemWidget.prototype.execute = function() {\n\t// Set the current list item title\n\tthis.setVariable(this.parseTreeNode.variableName,this.parseTreeNode.itemTitle);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nListItemWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.listitem = ListItemWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/log.js": {
"title": "$:/core/modules/widgets/log.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/log.js\ntype: application/javascript\nmodule-type: widget-subclass\n\nWidget to log debug messages\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.baseClass = \"action-log\";\n\nexports.name = \"log\";\n\nexports.constructor = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n}\n\nexports.prototype = {};\n\nexports.prototype.render = function(event) {\n\tObject.getPrototypeOf(Object.getPrototypeOf(this)).render.call(this,event);\t\n\tObject.getPrototypeOf(Object.getPrototypeOf(this)).log.call(this);\n}\n\n})();",
"type": "application/javascript",
"module-type": "widget-subclass"
},
"$:/core/modules/widgets/macrocall.js": {
"title": "$:/core/modules/widgets/macrocall.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/macrocall.js\ntype: application/javascript\nmodule-type: widget\n\nMacrocall widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar MacroCallWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nMacroCallWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nMacroCallWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nMacroCallWidget.prototype.execute = function() {\n\t// Get the parse type if specified\n\tthis.parseType = this.getAttribute(\"$type\",\"text/vnd.tiddlywiki\");\n\tthis.renderOutput = this.getAttribute(\"$output\",\"text/html\");\n\t// Merge together the parameters specified in the parse tree with the specified attributes\n\tvar params = this.parseTreeNode.params ? this.parseTreeNode.params.slice(0) : [];\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) !== \"$\") {\n\t\t\tparams.push({name: name, value: attribute});\t\t\t\n\t\t}\n\t});\n\t// Get the macro value\n\tvar macroName = this.parseTreeNode.name || this.getAttribute(\"$name\"),\n\t\tvariableInfo = this.getVariableInfo(macroName,{params: params}),\n\t\ttext = variableInfo.text,\n\t\tparseTreeNodes;\n\t// Are we rendering to HTML?\n\tif(this.renderOutput === \"text/html\") {\n\t\t// If so we'll return the parsed macro\n\t\t// Check if we've already cached parsing this macro\n\t\tvar mode = this.parseTreeNode.isBlock ? \"blockParser\" : \"inlineParser\",\n\t\t\tparser;\n\t\tif(variableInfo.srcVariable && variableInfo.srcVariable[mode]) {\n\t\t\tparser = variableInfo.srcVariable[mode];\n\t\t} else {\n\t\t\tparser = this.wiki.parseText(this.parseType,text,\n\t\t\t\t\t\t\t\t{parseAsInline: !this.parseTreeNode.isBlock});\n\t\t\tif(variableInfo.isCacheable && variableInfo.srcVariable) {\n\t\t\t\tvariableInfo.srcVariable[mode] = parser;\n\t\t\t}\n\t\t}\n\t\tvar parseTreeNodes = parser ? parser.tree : [];\n\t\t// Wrap the parse tree in a vars widget assigning the parameters to variables named \"__paramname__\"\n\t\tvar attributes = {};\n\t\t$tw.utils.each(variableInfo.params,function(param) {\n\t\t\tvar name = \"__\" + param.name + \"__\";\n\t\t\tattributes[name] = {\n\t\t\t\tname: name,\n\t\t\t\ttype: \"string\",\n\t\t\t\tvalue: param.value\n\t\t\t};\n\t\t});\n\t\tparseTreeNodes = [{\n\t\t\ttype: \"vars\",\n\t\t\tattributes: attributes,\n\t\t\tchildren: parseTreeNodes\n\t\t}];\n\t} else if(this.renderOutput === \"text/raw\") {\n\t\tparseTreeNodes = [{type: \"text\", text: text}];\n\t} else {\n\t\t// Otherwise, we'll render the text\n\t\tvar plainText = this.wiki.renderText(\"text/plain\",this.parseType,text,{parentWidget: this});\n\t\tparseTreeNodes = [{type: \"text\", text: plainText}];\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets(parseTreeNodes);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nMacroCallWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif($tw.utils.count(changedAttributes) > 0) {\n\t\t// Rerender ourselves\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.macrocall = MacroCallWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/messagecatcher.js": {
"title": "$:/core/modules/widgets/messagecatcher.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/messagecatcher.js\ntype: application/javascript\nmodule-type: widget\n\nMessage catcher widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar MessageCatcherWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nMessageCatcherWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nMessageCatcherWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Add our message handler\n\tif(this.messageType) {\n\t\tthis.addEventListeners([\n\t\t\t{type: this.messageType, handler: \"handleEvent\"}\n\t\t]);\n\t}\n\t// Render children\n\tthis.renderChildren(parent,null);\n};\n\n/*\nCompute the internal state of the widget\n*/\nMessageCatcherWidget.prototype.execute = function() {\n\tvar self = this;\n\t// Get attributes that require a refresh on change\n\tthis.messageType = this.getAttribute(\"type\");\n\tthis.messageActions = this.getAttribute(\"actions\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nHandle an event\n*/\nMessageCatcherWidget.prototype.handleEvent = function(event) {\n\tif(this.messageActions) {\n\t\t// Collect all the event properties into variables\n\t\tvar collectProps = function(obj,prefix) {\n\t\t\t\tprefix = prefix || \"\";\n\t\t\t\tvar props = {};\n\t\t\t\t$tw.utils.each(obj,function(value,name) {\n\t\t\t\t\tif([\"string\",\"boolean\",\"number\"].indexOf(typeof value) !== -1) {\n\t\t\t\t\t\tprops[prefix + name] = value.toString();\n\t\t\t\t\t}\n\t\t\t\t});\n\t\t\t\treturn props;\n\t\t\t};\n\t\tvar variables = $tw.utils.extend(\n\t\t\t{},\n\t\t\tcollectProps(event.paramObject,\"event-paramObject-\"),\n\t\t\tcollectProps(event,\"event-\"),\n\t\t\t{\n\t\t\t\tmodifier: $tw.keyboardManager.getEventModifierKeyDescriptor(event)\n\t\t\t});\n\t\tthis.invokeActionString(this.messageActions,this,event,variables);\n\t}\n\treturn false;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nMessageCatcherWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"type\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.messagecatcher = MessageCatcherWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/navigator.js": {
"title": "$:/core/modules/widgets/navigator.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/navigator.js\ntype: application/javascript\nmodule-type: widget\n\nNavigator widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar IMPORT_TITLE = \"$:/Import\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar NavigatorWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nNavigatorWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nNavigatorWidget.prototype.render = function(parent,nextSibling) {\n\tthis.addEventListeners([\n\t\t{type: \"tm-navigate\", handler: \"handleNavigateEvent\"},\n\t\t{type: \"tm-edit-tiddler\", handler: \"handleEditTiddlerEvent\"},\n\t\t{type: \"tm-delete-tiddler\", handler: \"handleDeleteTiddlerEvent\"},\n\t\t{type: \"tm-save-tiddler\", handler: \"handleSaveTiddlerEvent\"},\n\t\t{type: \"tm-cancel-tiddler\", handler: \"handleCancelTiddlerEvent\"},\n\t\t{type: \"tm-close-tiddler\", handler: \"handleCloseTiddlerEvent\"},\n\t\t{type: \"tm-close-all-tiddlers\", handler: \"handleCloseAllTiddlersEvent\"},\n\t\t{type: \"tm-close-other-tiddlers\", handler: \"handleCloseOtherTiddlersEvent\"},\n\t\t{type: \"tm-new-tiddler\", handler: \"handleNewTiddlerEvent\"},\n\t\t{type: \"tm-import-tiddlers\", handler: \"handleImportTiddlersEvent\"},\n\t\t{type: \"tm-perform-import\", handler: \"handlePerformImportEvent\"},\n\t\t{type: \"tm-fold-tiddler\", handler: \"handleFoldTiddlerEvent\"},\n\t\t{type: \"tm-fold-other-tiddlers\", handler: \"handleFoldOtherTiddlersEvent\"},\n\t\t{type: \"tm-fold-all-tiddlers\", handler: \"handleFoldAllTiddlersEvent\"},\n\t\t{type: \"tm-unfold-all-tiddlers\", handler: \"handleUnfoldAllTiddlersEvent\"},\n\t\t{type: \"tm-rename-tiddler\", handler: \"handleRenameTiddlerEvent\"}\n\t]);\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nNavigatorWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.storyTitle = this.getAttribute(\"story\");\n\tthis.historyTitle = this.getAttribute(\"history\");\n\tthis.setVariable(\"tv-story-list\",this.storyTitle);\n\tthis.setVariable(\"tv-history-list\",this.historyTitle);\n\tthis.story = new $tw.Story({\n\t\twiki: this.wiki,\n\t\tstoryTitle: this.storyTitle,\n\t\thistoryTitle: this.historyTitle\n\t});\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nNavigatorWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.story || changedAttributes.history) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nNavigatorWidget.prototype.getStoryList = function() {\n\treturn this.storyTitle ? this.wiki.getTiddlerList(this.storyTitle) : null;\n};\n\nNavigatorWidget.prototype.saveStoryList = function(storyList) {\n\tif(this.storyTitle) {\n\t\tvar storyTiddler = this.wiki.getTiddler(this.storyTitle);\n\t\tthis.wiki.addTiddler(new $tw.Tiddler(\n\t\t\t{title: this.storyTitle},\n\t\t\tstoryTiddler,\n\t\t\t{list: storyList}\n\t\t));\t\t\n\t}\n};\n\nNavigatorWidget.prototype.removeTitleFromStory = function(storyList,title) {\n\tif(storyList) {\n\t\tvar p = storyList.indexOf(title);\n\t\twhile(p !== -1) {\n\t\t\tstoryList.splice(p,1);\n\t\t\tp = storyList.indexOf(title);\n\t\t}\t\t\n\t}\n};\n\nNavigatorWidget.prototype.replaceFirstTitleInStory = function(storyList,oldTitle,newTitle) {\n\tif(storyList) {\n\t\tvar pos = storyList.indexOf(oldTitle);\n\t\tif(pos !== -1) {\n\t\t\tstoryList[pos] = newTitle;\n\t\t\tdo {\n\t\t\t\tpos = storyList.indexOf(oldTitle,pos + 1);\n\t\t\t\tif(pos !== -1) {\n\t\t\t\t\tstoryList.splice(pos,1);\n\t\t\t\t}\n\t\t\t} while(pos !== -1);\n\t\t} else {\n\t\t\tstoryList.splice(0,0,newTitle);\n\t\t}\t\t\n\t}\n};\n\nNavigatorWidget.prototype.addToStory = function(title,fromTitle) {\n\tif(this.storyTitle) {\n\t\tthis.story.addToStory(title,fromTitle,{\n\t\t\topenLinkFromInsideRiver: this.getAttribute(\"openLinkFromInsideRiver\",\"top\"),\n\t\t\topenLinkFromOutsideRiver: this.getAttribute(\"openLinkFromOutsideRiver\",\"top\")\n\t\t});\n\t}\n};\n\n/*\nAdd a new record to the top of the history stack\ntitle: a title string or an array of title strings\nfromPageRect: page coordinates of the origin of the navigation\n*/\nNavigatorWidget.prototype.addToHistory = function(title,fromPageRect) {\n\tthis.story.addToHistory(title,fromPageRect,this.historyTitle);\n};\n\n/*\nHandle a tm-navigate event\n*/\nNavigatorWidget.prototype.handleNavigateEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-navigating\",event);\n\tif(event.navigateTo) {\n\t\tthis.addToStory(event.navigateTo,event.navigateFromTitle);\n\t\tif(!event.navigateSuppressNavigation) {\n\t\t\tthis.addToHistory(event.navigateTo,event.navigateFromClientRect);\n\t\t}\n\t}\n\treturn false;\n};\n\n// Close a specified tiddler\nNavigatorWidget.prototype.handleCloseTiddlerEvent = function(event) {\n\tvar title = event.param || event.tiddlerTitle,\n\t\tstoryList = this.getStoryList();\n\t// Look for tiddlers with this title to close\n\tthis.removeTitleFromStory(storyList,title);\n\tthis.saveStoryList(storyList);\n\treturn false;\n};\n\n// Close all tiddlers\nNavigatorWidget.prototype.handleCloseAllTiddlersEvent = function(event) {\n\tthis.saveStoryList([]);\n\treturn false;\n};\n\n// Close other tiddlers\nNavigatorWidget.prototype.handleCloseOtherTiddlersEvent = function(event) {\n\tvar title = event.param || event.tiddlerTitle;\n\tthis.saveStoryList([title]);\n\treturn false;\n};\n\n// Place a tiddler in edit mode\nNavigatorWidget.prototype.handleEditTiddlerEvent = function(event) {\n\tvar editTiddler = $tw.hooks.invokeHook(\"th-editing-tiddler\",event);\n\tif(!editTiddler) {\n\t\treturn false;\n\t}\n\tvar self = this;\n\tfunction isUnmodifiedShadow(title) {\n\t\treturn self.wiki.isShadowTiddler(title) && !self.wiki.tiddlerExists(title);\n\t}\n\tfunction confirmEditShadow(title) {\n\t\treturn confirm($tw.language.getString(\n\t\t\t\"ConfirmEditShadowTiddler\",\n\t\t\t{variables:\n\t\t\t\t{title: title}\n\t\t\t}\n\t\t));\n\t}\n\tvar title = event.param || event.tiddlerTitle;\n\tif(isUnmodifiedShadow(title) && !confirmEditShadow(title)) {\n\t\treturn false;\n\t}\n\t// Replace the specified tiddler with a draft in edit mode\n\tvar draftTiddler = this.makeDraftTiddler(title);\n\t// Update the story and history if required\n\tif(!event.paramObject || event.paramObject.suppressNavigation !== \"yes\") {\n\t\tvar draftTitle = draftTiddler.fields.title,\n\t\t\tstoryList = this.getStoryList();\n\t\tthis.removeTitleFromStory(storyList,draftTitle);\n\t\tthis.replaceFirstTitleInStory(storyList,title,draftTitle);\n\t\tthis.addToHistory(draftTitle,event.navigateFromClientRect);\n\t\tthis.saveStoryList(storyList);\n\t\treturn false;\n\t}\n};\n\n// Delete a tiddler\nNavigatorWidget.prototype.handleDeleteTiddlerEvent = function(event) {\n\t// Get the tiddler we're deleting\n\tvar title = event.param || event.tiddlerTitle,\n\t\ttiddler = this.wiki.getTiddler(title),\n\t\tstoryList = this.getStoryList(),\n\t\toriginalTitle = tiddler ? tiddler.fields[\"draft.of\"] : \"\",\n\t\toriginalTiddler = originalTitle ? this.wiki.getTiddler(originalTitle) : undefined,\n\t\tconfirmationTitle;\n\tif(!tiddler) {\n\t\treturn false;\n\t}\n\t// Check if the tiddler we're deleting is in draft mode\n\tif(originalTitle) {\n\t\t// If so, we'll prompt for confirmation referencing the original tiddler\n\t\tconfirmationTitle = originalTitle;\n\t} else {\n\t\t// If not a draft, then prompt for confirmation referencing the specified tiddler\n\t\tconfirmationTitle = title;\n\t}\n\t// Seek confirmation\n\tif((this.wiki.getTiddler(originalTitle) || (tiddler.fields.text || \"\") !== \"\") && !confirm($tw.language.getString(\n\t\t\t\t\"ConfirmDeleteTiddler\",\n\t\t\t\t{variables:\n\t\t\t\t\t{title: confirmationTitle}\n\t\t\t\t}\n\t\t\t))) {\n\t\treturn false;\n\t}\n\t// Delete the original tiddler\n\tif(originalTitle) {\n\t\tif(originalTiddler) {\n\t\t\t$tw.hooks.invokeHook(\"th-deleting-tiddler\",originalTiddler);\n\t\t}\n\t\tthis.wiki.deleteTiddler(originalTitle);\n\t\tthis.removeTitleFromStory(storyList,originalTitle);\n\t}\n\t// Invoke the hook function and delete this tiddler\n\t$tw.hooks.invokeHook(\"th-deleting-tiddler\",tiddler);\n\tthis.wiki.deleteTiddler(title);\n\t// Remove the closed tiddler from the story\n\tthis.removeTitleFromStory(storyList,title);\n\tthis.saveStoryList(storyList);\n\t// Trigger an autosave\n\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n\treturn false;\n};\n\n/*\nCreate/reuse the draft tiddler for a given title\n*/\nNavigatorWidget.prototype.makeDraftTiddler = function(targetTitle) {\n\t// See if there is already a draft tiddler for this tiddler\n\tvar draftTitle = this.wiki.findDraft(targetTitle);\n\tif(draftTitle) {\n\t\treturn this.wiki.getTiddler(draftTitle);\n\t}\n\t// Get the current value of the tiddler we're editing\n\tvar tiddler = this.wiki.getTiddler(targetTitle);\n\t// Save the initial value of the draft tiddler\n\tdraftTitle = this.generateDraftTitle(targetTitle);\n\tvar draftTiddler = new $tw.Tiddler({\n\t\t\t\ttext: \"\",\n\t\t\t},\n\t\t\ttiddler,\n\t\t\t{\n\t\t\t\ttitle: draftTitle,\n\t\t\t\t\"draft.title\": targetTitle,\n\t\t\t\t\"draft.of\": targetTitle\n\t\t\t},\n\t\t\tthis.wiki.getModificationFields()\n\t\t);\n\tthis.wiki.addTiddler(draftTiddler);\n\treturn draftTiddler;\n};\n\n/*\nGenerate a title for the draft of a given tiddler\n*/\nNavigatorWidget.prototype.generateDraftTitle = function(title) {\n\treturn this.wiki.generateDraftTitle(title);\n};\n\n// Take a tiddler out of edit mode, saving the changes\nNavigatorWidget.prototype.handleSaveTiddlerEvent = function(event) {\n\tvar title = event.param || event.tiddlerTitle,\n\t\ttiddler = this.wiki.getTiddler(title),\n\t\tstoryList = this.getStoryList();\n\t// Replace the original tiddler with the draft\n\tif(tiddler) {\n\t\tvar draftTitle = (tiddler.fields[\"draft.title\"] || \"\").trim(),\n\t\t\tdraftOf = (tiddler.fields[\"draft.of\"] || \"\").trim();\n\t\tif(draftTitle) {\n\t\t\tvar isRename = draftOf !== draftTitle,\n\t\t\t\tisConfirmed = true;\n\t\t\tif(isRename && this.wiki.tiddlerExists(draftTitle)) {\n\t\t\t\tisConfirmed = confirm($tw.language.getString(\n\t\t\t\t\t\"ConfirmOverwriteTiddler\",\n\t\t\t\t\t{variables:\n\t\t\t\t\t\t{title: draftTitle}\n\t\t\t\t\t}\n\t\t\t\t));\n\t\t\t}\n\t\t\tif(isConfirmed) {\n\t\t\t\t// Create the new tiddler and pass it through the th-saving-tiddler hook\n\t\t\t\tvar newTiddler = new $tw.Tiddler(this.wiki.getCreationFields(),tiddler,{\n\t\t\t\t\ttitle: draftTitle,\n\t\t\t\t\t\"draft.title\": undefined,\n\t\t\t\t\t\"draft.of\": undefined\n\t\t\t\t},this.wiki.getModificationFields());\n\t\t\t\tnewTiddler = $tw.hooks.invokeHook(\"th-saving-tiddler\",newTiddler,tiddler);\n\t\t\t\tthis.wiki.addTiddler(newTiddler);\n\t\t\t\t// If enabled, relink references to renamed tiddler\n\t\t\t\tvar shouldRelink = this.getAttribute(\"relinkOnRename\",\"no\").toLowerCase().trim() === \"yes\";\n\t\t\t\tif(isRename && shouldRelink && this.wiki.tiddlerExists(draftOf)) {\n\t\t\t\t\tthis.wiki.relinkTiddler(draftOf,draftTitle);\n\t\t\t\t}\n\t\t\t\t// Remove the draft tiddler\n\t\t\t\tthis.wiki.deleteTiddler(title);\n\t\t\t\t// Remove the original tiddler if we're renaming it\n\t\t\t\tif(isRename) {\n\t\t\t\t\tthis.wiki.deleteTiddler(draftOf);\n\t\t\t\t}\n\t\t\t\t// #2381 always remove new title & old\n\t\t\t\tthis.removeTitleFromStory(storyList,draftTitle);\n\t\t\t\tthis.removeTitleFromStory(storyList,draftOf);\n\t\t\t\tif(!event.paramObject || event.paramObject.suppressNavigation !== \"yes\") {\n\t\t\t\t\t// Replace the draft in the story with the original\n\t\t\t\t\tthis.replaceFirstTitleInStory(storyList,title,draftTitle);\n\t\t\t\t\tthis.addToHistory(draftTitle,event.navigateFromClientRect);\n\t\t\t\t\tif(draftTitle !== this.storyTitle) {\n\t\t\t\t\t\tthis.saveStoryList(storyList);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// Trigger an autosave\n\t\t\t\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\n// Take a tiddler out of edit mode without saving the changes\nNavigatorWidget.prototype.handleCancelTiddlerEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-cancelling-tiddler\", event);\n\t// Flip the specified tiddler from draft back to the original\n\tvar draftTitle = event.param || event.tiddlerTitle,\n\t\tdraftTiddler = this.wiki.getTiddler(draftTitle),\n\t\toriginalTitle = draftTiddler && draftTiddler.fields[\"draft.of\"];\n\tif(draftTiddler && originalTitle) {\n\t\t// Ask for confirmation if the tiddler text has changed\n\t\tvar isConfirmed = true,\n\t\t\toriginalTiddler = this.wiki.getTiddler(originalTitle),\n\t\t\tstoryList = this.getStoryList();\n\t\tif(this.wiki.isDraftModified(draftTitle)) {\n\t\t\tisConfirmed = confirm($tw.language.getString(\n\t\t\t\t\"ConfirmCancelTiddler\",\n\t\t\t\t{variables:\n\t\t\t\t\t{title: draftTitle}\n\t\t\t\t}\n\t\t\t));\n\t\t}\n\t\t// Remove the draft tiddler\n\t\tif(isConfirmed) {\n\t\t\tthis.wiki.deleteTiddler(draftTitle);\n\t\t\tif(!event.paramObject || event.paramObject.suppressNavigation !== \"yes\") {\n\t\t\t\tif(originalTiddler) {\n\t\t\t\t\tthis.replaceFirstTitleInStory(storyList,draftTitle,originalTitle);\n\t\t\t\t\tthis.addToHistory(originalTitle,event.navigateFromClientRect);\n\t\t\t\t} else {\n\t\t\t\t\tthis.removeTitleFromStory(storyList,draftTitle);\n\t\t\t\t}\n\t\t\t\tthis.saveStoryList(storyList);\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\n// Create a new draft tiddler\n// event.param can either be the title of a template tiddler, or a hashmap of fields.\n//\n// The title of the newly created tiddler follows these rules:\n// * If a hashmap was used and a title field was specified, use that title\n// * If a hashmap was used without a title field, use a default title, if necessary making it unique with a numeric suffix\n// * If a template tiddler was used, use the title of the template, if necessary making it unique with a numeric suffix\n//\n// If a draft of the target tiddler already exists then it is reused\nNavigatorWidget.prototype.handleNewTiddlerEvent = function(event) {\n\tevent = $tw.hooks.invokeHook(\"th-new-tiddler\", event);\n\t// Get the story details\n\tvar storyList = this.getStoryList(),\n\t\ttemplateTiddler, additionalFields, title, draftTitle, existingTiddler;\n\t// Get the template tiddler (if any)\n\tif(typeof event.param === \"string\") {\n\t\t// Get the template tiddler\n\t\ttemplateTiddler = this.wiki.getTiddler(event.param);\n\t\t// Generate a new title\n\t\ttitle = this.wiki.generateNewTitle(event.param || $tw.language.getString(\"DefaultNewTiddlerTitle\"));\n\t}\n\t// Get the specified additional fields\n\tif(typeof event.paramObject === \"object\") {\n\t\tadditionalFields = event.paramObject;\n\t}\n\tif(typeof event.param === \"object\") { // Backwards compatibility with 5.1.3\n\t\tadditionalFields = event.param;\n\t}\n\tif(additionalFields && additionalFields.title) {\n\t\ttitle = additionalFields.title;\n\t}\n\t// Make a copy of the additional fields excluding any blank ones\n\tvar filteredAdditionalFields = $tw.utils.extend({},additionalFields);\n\tObject.keys(filteredAdditionalFields).forEach(function(fieldName) {\n\t\tif(filteredAdditionalFields[fieldName] === \"\") {\n\t\t\tdelete filteredAdditionalFields[fieldName];\n\t\t}\n\t});\n\t// Generate a title if we don't have one\n\ttitle = title || this.wiki.generateNewTitle($tw.language.getString(\"DefaultNewTiddlerTitle\"));\n\t// Find any existing draft for this tiddler\n\tdraftTitle = this.wiki.findDraft(title);\n\t// Pull in any existing tiddler\n\tif(draftTitle) {\n\t\texistingTiddler = this.wiki.getTiddler(draftTitle);\n\t} else {\n\t\tdraftTitle = this.generateDraftTitle(title);\n\t\texistingTiddler = this.wiki.getTiddler(title);\n\t}\n\t// Merge the tags\n\tvar mergedTags = [];\n\tif(existingTiddler && existingTiddler.fields.tags) {\n\t\t$tw.utils.pushTop(mergedTags,existingTiddler.fields.tags);\n\t}\n\tif(additionalFields && additionalFields.tags) {\n\t\t// Merge tags\n\t\tmergedTags = $tw.utils.pushTop(mergedTags,$tw.utils.parseStringArray(additionalFields.tags));\n\t}\n\tif(templateTiddler && templateTiddler.fields.tags) {\n\t\t// Merge tags\n\t\tmergedTags = $tw.utils.pushTop(mergedTags,templateTiddler.fields.tags);\n\t}\n\t// Save the draft tiddler\n\tvar draftTiddler = new $tw.Tiddler({\n\t\t\ttext: \"\",\n\t\t\t\"draft.title\": title\n\t\t},\n\t\ttemplateTiddler,\n\t\tadditionalFields,\n\t\tthis.wiki.getCreationFields(),\n\t\texistingTiddler,\n\t\tfilteredAdditionalFields,\n\t\t{\n\t\t\ttitle: draftTitle,\n\t\t\t\"draft.of\": title,\n\t\t\ttags: mergedTags\n\t\t},this.wiki.getModificationFields());\n\tthis.wiki.addTiddler(draftTiddler);\n\t// Update the story to insert the new draft at the top and remove any existing tiddler\n\tif(storyList && storyList.indexOf(draftTitle) === -1) {\n\t\tvar slot = storyList.indexOf(event.navigateFromTitle);\n\t\tif(slot === -1) {\n\t\t\tslot = this.getAttribute(\"openLinkFromOutsideRiver\",\"top\") === \"bottom\" ? storyList.length - 1 : slot;\n\t\t}\n\t\tstoryList.splice(slot + 1,0,draftTitle);\n\t}\n\tif(storyList && storyList.indexOf(title) !== -1) {\n\t\tstoryList.splice(storyList.indexOf(title),1);\n\t}\n\tthis.saveStoryList(storyList);\n\t// Add a new record to the top of the history stack\n\tthis.addToHistory(draftTitle);\n\treturn false;\n};\n\n// Import JSON tiddlers into a pending import tiddler\nNavigatorWidget.prototype.handleImportTiddlersEvent = function(event) {\n\t// Get the tiddlers\n\tvar tiddlers = [];\n\ttry {\n\t\ttiddlers = JSON.parse(event.param);\n\t} catch(e) {\n\t}\n\t// Get the current $:/Import tiddler\n\tvar importTitle = event.importTitle ? event.importTitle : IMPORT_TITLE,\n\t\timportTiddler = this.wiki.getTiddler(importTitle),\n\t\timportData = this.wiki.getTiddlerData(importTitle,{}),\n\t\tnewFields = new Object({\n\t\t\ttitle: importTitle,\n\t\t\ttype: \"application/json\",\n\t\t\t\"plugin-type\": \"import\",\n\t\t\t\"status\": \"pending\"\n\t\t}),\n\t\tincomingTiddlers = [];\n\t// Process each tiddler\n\timportData.tiddlers = importData.tiddlers || {};\n\t$tw.utils.each(tiddlers,function(tiddlerFields) {\n\t\ttiddlerFields.title = $tw.utils.trim(tiddlerFields.title);\n\t\tvar title = tiddlerFields.title;\n\t\tif(title) {\n\t\t\tincomingTiddlers.push(title);\n\t\t\timportData.tiddlers[title] = tiddlerFields;\n\t\t}\n\t});\n\t// Give the active upgrader modules a chance to process the incoming tiddlers\n\tvar messages = this.wiki.invokeUpgraders(incomingTiddlers,importData.tiddlers);\n\t$tw.utils.each(messages,function(message,title) {\n\t\tnewFields[\"message-\" + title] = message;\n\t});\n\t// Deselect any suppressed tiddlers\n\t$tw.utils.each(importData.tiddlers,function(tiddler,title) {\n\t\tif($tw.utils.count(tiddler) === 0) {\n\t\t\tnewFields[\"selection-\" + title] = \"unchecked\";\n\t\t\tnewFields[\"suppressed-\" + title] = \"yes\";\n\t\t}\n\t});\n\t// Save the $:/Import tiddler\n\tnewFields.text = JSON.stringify(importData,null,$tw.config.preferences.jsonSpaces);\n\tthis.wiki.addTiddler(new $tw.Tiddler(importTiddler,newFields));\n\t// Update the story and history details\n\tvar autoOpenOnImport = event.autoOpenOnImport ? event.autoOpenOnImport : this.getVariable(\"tv-auto-open-on-import\"); \n\tif(autoOpenOnImport !== \"no\") {\n\t\tvar storyList = this.getStoryList(),\n\t\t\thistory = [];\n\t\t// Add it to the story\n\t\tif(storyList && storyList.indexOf(importTitle) === -1) {\n\t\t\tstoryList.unshift(importTitle);\n\t\t}\n\t\t// And to history\n\t\thistory.push(importTitle);\n\t\t// Save the updated story and history\n\t\tthis.saveStoryList(storyList);\n\t\tthis.addToHistory(history);\n\t}\n\treturn false;\n};\n\n//\nNavigatorWidget.prototype.handlePerformImportEvent = function(event) {\n\tvar self = this,\n\t\timportTiddler = this.wiki.getTiddler(event.param),\n\t\timportData = this.wiki.getTiddlerDataCached(event.param,{tiddlers: {}}),\n\t\timportReport = [];\n\t// Add the tiddlers to the store\n\timportReport.push($tw.language.getString(\"Import/Imported/Hint\") + \"\\n\");\n\t$tw.utils.each(importData.tiddlers,function(tiddlerFields) {\n\t\tvar title = tiddlerFields.title;\n\t\tif(title && importTiddler && importTiddler.fields[\"selection-\" + title] !== \"unchecked\") {\n\t\t\tif($tw.utils.hop(importTiddler.fields,[\"rename-\" + title])) {\n\t\t\t\tvar tiddler = new $tw.Tiddler(tiddlerFields,{title : importTiddler.fields[\"rename-\" + title]});\n\t\t\t} else {\n\t\t\t\tvar tiddler = new $tw.Tiddler(tiddlerFields);\n\t\t\t}\n\t\t\ttiddler = $tw.hooks.invokeHook(\"th-importing-tiddler\",tiddler);\n\t\t\tself.wiki.addTiddler(tiddler);\n\t\t\timportReport.push(\"# [[\" + tiddler.fields.title + \"]]\");\n\t\t}\n\t});\n\t// Replace the $:/Import tiddler with an import report\n\tthis.wiki.addTiddler(new $tw.Tiddler({\n\t\ttitle: event.param,\n\t\ttext: importReport.join(\"\\n\"),\n\t\t\"status\": \"complete\"\n\t}));\n\t// Navigate to the $:/Import tiddler\n\tthis.addToHistory([event.param]);\n\t// Trigger an autosave\n\t$tw.rootWidget.dispatchEvent({type: \"tm-auto-save-wiki\"});\n};\n\nNavigatorWidget.prototype.handleFoldTiddlerEvent = function(event) {\n\tvar paramObject = event.paramObject || {};\n\tif(paramObject.foldedState) {\n\t\tvar foldedState = this.wiki.getTiddlerText(paramObject.foldedState,\"show\") === \"show\" ? \"hide\" : \"show\";\n\t\tthis.wiki.setText(paramObject.foldedState,\"text\",null,foldedState);\n\t}\n};\n\nNavigatorWidget.prototype.handleFoldOtherTiddlersEvent = function(event) {\n\tvar self = this,\n\t\tparamObject = event.paramObject || {},\n\t\tprefix = paramObject.foldedStatePrefix;\n\t$tw.utils.each(this.getStoryList(),function(title) {\n\t\tself.wiki.setText(prefix + title,\"text\",null,event.param === title ? \"show\" : \"hide\");\n\t});\n};\n\nNavigatorWidget.prototype.handleFoldAllTiddlersEvent = function(event) {\n\tvar self = this,\n\t\tparamObject = event.paramObject || {},\n\t\tprefix = paramObject.foldedStatePrefix || \"$:/state/folded/\";\n\t$tw.utils.each(this.getStoryList(),function(title) {\n\t\tself.wiki.setText(prefix + title,\"text\",null,\"hide\");\n\t});\n};\n\nNavigatorWidget.prototype.handleUnfoldAllTiddlersEvent = function(event) {\n\tvar self = this,\n\t\tparamObject = event.paramObject || {},\n\t\tprefix = paramObject.foldedStatePrefix;\n\t$tw.utils.each(this.getStoryList(),function(title) {\n\t\tself.wiki.setText(prefix + title,\"text\",null,\"show\");\n\t});\n};\n\nNavigatorWidget.prototype.handleRenameTiddlerEvent = function(event) {\n\tvar options = {},\n\t\tparamObject = event.paramObject || {},\n\t\tfrom = paramObject.from || event.tiddlerTitle,\n\t\tto = paramObject.to;\n\toptions.dontRenameInTags = (paramObject.renameInTags === \"false\" || paramObject.renameInTags === \"no\") ? true : false;\n\toptions.dontRenameInLists = (paramObject.renameInLists === \"false\" || paramObject.renameInLists === \"no\") ? true : false;\n\tthis.wiki.renameTiddler(from,to,options);\n};\n\nexports.navigator = NavigatorWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/password.js": {
"title": "$:/core/modules/widgets/password.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/password.js\ntype: application/javascript\nmodule-type: widget\n\nPassword widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar PasswordWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nPasswordWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nPasswordWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Get the current password\n\tvar password = $tw.browser ? $tw.utils.getPassword(this.passwordName) || \"\" : \"\";\n\t// Create our element\n\tvar domNode = this.document.createElement(\"input\");\n\tdomNode.setAttribute(\"type\",\"password\");\n\tdomNode.setAttribute(\"value\",password);\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(domNode,[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tthis.domNodes.push(domNode);\n};\n\nPasswordWidget.prototype.handleChangeEvent = function(event) {\n\tvar password = this.domNodes[0].value;\n\treturn $tw.utils.savePassword(this.passwordName,password);\n};\n\n/*\nCompute the internal state of the widget\n*/\nPasswordWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.passwordName = this.getAttribute(\"name\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nPasswordWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.name) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.password = PasswordWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/qualify.js": {
"title": "$:/core/modules/widgets/qualify.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/qualify.js\ntype: application/javascript\nmodule-type: widget\n\nQualify text to a variable \n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar QualifyWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nQualifyWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nQualifyWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nQualifyWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.qualifyName = this.getAttribute(\"name\");\n\tthis.qualifyTitle = this.getAttribute(\"title\");\n\t// Set context variable\n\tif(this.qualifyName) {\n\t\tthis.setVariable(this.qualifyName,this.qualifyTitle + \"-\" + this.getStateQualifier());\n\t}\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nQualifyWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.name || changedAttributes.title) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.qualify = QualifyWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/radio.js": {
"title": "$:/core/modules/widgets/radio.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/radio.js\ntype: application/javascript\nmodule-type: widget\n\nSet a field or index at a given tiddler via radio buttons\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nvar RadioWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRadioWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRadioWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\tvar isChecked = this.getValue() === this.radioValue;\n\t// Create our elements\n\tthis.labelDomNode = this.document.createElement(\"label\");\n\tthis.labelDomNode.setAttribute(\"class\",\n\t\t\"tc-radio \" + this.radioClass + (isChecked ? \" tc-radio-selected\" : \"\")\n\t);\n\tthis.inputDomNode = this.document.createElement(\"input\");\n\tthis.inputDomNode.setAttribute(\"type\",\"radio\");\n\tif(isChecked) {\n\t\tthis.inputDomNode.checked = true;\n\t}\n\tif(this.isDisabled === \"yes\") {\n\t\tthis.inputDomNode.setAttribute(\"disabled\",true);\n\t}\n\tthis.labelDomNode.appendChild(this.inputDomNode);\n\tthis.spanDomNode = this.document.createElement(\"span\");\n\tthis.labelDomNode.appendChild(this.spanDomNode);\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(this.inputDomNode,[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(this.labelDomNode,nextSibling);\n\tthis.renderChildren(this.spanDomNode,null);\n\tthis.domNodes.push(this.labelDomNode);\n};\n\nRadioWidget.prototype.getValue = function() {\n\tvar value,\n\t\ttiddler = this.wiki.getTiddler(this.radioTitle);\n\tif(tiddler) {\n\t\tif(this.radioIndex) {\n\t\t\tvalue = this.wiki.extractTiddlerDataItem(this.radioTitle,this.radioIndex);\n\t\t} else {\n\t\t\tvalue = tiddler.getFieldString(this.radioField);\n\t\t}\n\t} else {\n\t\tvalue = this.radioDefault;\n\t}\n\treturn value;\n};\n\nRadioWidget.prototype.setValue = function() {\n\tif(this.radioIndex) {\n\t\tthis.wiki.setText(this.radioTitle,\"\",this.radioIndex,this.radioValue);\n\t} else {\n\t\tvar tiddler = this.wiki.getTiddler(this.radioTitle),\n\t\t\taddition = {};\n\t\taddition[this.radioField] = this.radioValue;\n\t\tthis.wiki.addTiddler(new $tw.Tiddler(this.wiki.getCreationFields(),{title: this.radioTitle},tiddler,addition,this.wiki.getModificationFields()));\n\t}\n};\n\nRadioWidget.prototype.handleChangeEvent = function(event) {\n\tif(this.inputDomNode.checked) {\n\t\tthis.setValue();\n\t}\n\t// Trigger actions\n\tif(this.radioActions) {\n\t\tthis.invokeActionString(this.radioActions,this,event,{\"actionValue\": this.radioValue});\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nRadioWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n\tthis.radioTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.radioField = this.getAttribute(\"field\",\"text\");\n\tthis.radioIndex = this.getAttribute(\"index\");\n\tthis.radioValue = this.getAttribute(\"value\");\n\tthis.radioClass = this.getAttribute(\"class\",\"\");\n\tthis.radioDefault = this.getAttribute(\"default\");\n\tthis.isDisabled = this.getAttribute(\"disabled\",\"no\");\n\tthis.radioActions = this.getAttribute(\"actions\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRadioWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(($tw.utils.count(changedAttributes) > 0)) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else if(changedTiddlers[this.radioTitle]) {\n\t\tthis.inputDomNode.checked = this.getValue() === this.radioValue;\n\t\treturn this.refreshChildren(changedTiddlers);\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.radio = RadioWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/range.js": {
"title": "$:/core/modules/widgets/range.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/range.js\ntype: application/javascript\nmodule-type: widget\n\nRange widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RangeWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRangeWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRangeWidget.prototype.render = function(parent,nextSibling) {\n\t// Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n\t// Create our elements\n\tthis.inputDomNode = this.document.createElement(\"input\");\n\tthis.inputDomNode.setAttribute(\"type\",\"range\");\n\tthis.inputDomNode.setAttribute(\"class\",this.elementClass);\n\tif(this.minValue){\n\t\tthis.inputDomNode.setAttribute(\"min\", this.minValue);\n\t}\n\tif(this.maxValue){\n\t\tthis.inputDomNode.setAttribute(\"max\", this.maxValue);\n\t}\n\tif(this.increment){\n\t\tthis.inputDomNode.setAttribute(\"step\", this.increment);\n\t}\n\tif(this.isDisabled === \"yes\") {\n\t\tthis.inputDomNode.setAttribute(\"disabled\",true);\n\t}\n\tthis.inputDomNode.value = this.getValue();\n\t// Add a click event handler\n\t$tw.utils.addEventListeners(this.inputDomNode,[\n\t\t{name:\"mousedown\", handlerObject:this, handlerMethod:\"handleMouseDownEvent\"},\n\t\t{name:\"mouseup\", handlerObject:this, handlerMethod:\"handleMouseUpEvent\"},\n\t\t{name:\"change\", handlerObject:this, handlerMethod:\"handleChangeEvent\"},\n\t\t{name:\"input\", handlerObject:this, handlerMethod:\"handleInputEvent\"},\n\t]);\n\t// Insert the label into the DOM and render any children\n\tparent.insertBefore(this.inputDomNode,nextSibling);\n\tthis.domNodes.push(this.inputDomNode);\n};\n\nRangeWidget.prototype.getValue = function() {\n\tvar tiddler = this.wiki.getTiddler(this.tiddlerTitle),\n\t\tfieldName = this.tiddlerField,\n\t\tvalue = this.defaultValue;\n\tif(tiddler) {\n\t\tif(this.tiddlerIndex) {\n\t\t\tvalue = this.wiki.extractTiddlerDataItem(tiddler,this.tiddlerIndex,this.defaultValue);\n\t\t} else {\n\t\t\tif($tw.utils.hop(tiddler.fields,fieldName)) {\n\t\t\t\tvalue = tiddler.fields[fieldName] || \"\";\n\t\t\t} else {\n\t\t\t\tvalue = this.defaultValue;\n\t\t\t}\n\t\t}\n\t}\n\treturn value;\n};\n\nRangeWidget.prototype.getActionVariables = function(options) {\n\toptions = options || {};\n\tvar hasChanged = (this.startValue !== this.inputDomNode.value) ? \"yes\" : \"no\";\n\t// Trigger actions. Use variables = {key:value, key:value ...}\n\t// the \"value\" is needed.\n\treturn $tw.utils.extend({\"actionValue\": this.inputDomNode.value, \"actionValueHasChanged\": hasChanged}, options);\n}\n\n// actionsStart\nRangeWidget.prototype.handleMouseDownEvent = function(event) {\n\tthis.mouseDown = true; // TODO remove once IE is gone.\n\tthis.startValue = this.inputDomNode.value; // TODO remove this line once IE is gone!\n\tthis.handleEvent(event);\n\t// Trigger actions\n\tif(this.actionsMouseDown) {\n\t\tvar variables = this.getActionVariables() // TODO this line will go into the function call below.\n\t\tthis.invokeActionString(this.actionsMouseDown,this,event,variables);\n\t}\n}\n\n// actionsStop\nRangeWidget.prototype.handleMouseUpEvent = function(event) {\n\tthis.mouseDown = false; // TODO remove once IE is gone.\n\tthis.handleEvent(event);\n\t// Trigger actions\n\tif(this.actionsMouseUp) {\n\t\tvar variables = this.getActionVariables()\n\t\tthis.invokeActionString(this.actionsMouseUp,this,event,variables);\n\t}\n\t// TODO remove the following if() once IE is gone!\n\tif ($tw.browser.isIE) {\n\t\tif (this.startValue !== this.inputDomNode.value) {\n\t\t\tthis.handleChangeEvent(event);\n\t\t\tthis.startValue = this.inputDomNode.value;\n\t\t}\n\t}\n}\n\nRangeWidget.prototype.handleChangeEvent = function(event) {\n\tif (this.mouseDown) { // TODO refactor this function once IE is gone.\n\t\tthis.handleInputEvent(event);\n\t}\n};\n\nRangeWidget.prototype.handleInputEvent = function(event) {\n\tthis.handleEvent(event);\n\t// Trigger actions\n\tif(this.actionsInput) {\n\t\t// \"tiddler\" parameter may be missing. See .execute() below\n\t\tvar variables = this.getActionVariables({\"actionValueHasChanged\": \"yes\"}) // TODO this line will go into the function call below.\n\t\tthis.invokeActionString(this.actionsInput,this,event,variables);\n\t}\n};\n\nRangeWidget.prototype.handleEvent = function(event) {\n\tif(this.getValue() !== this.inputDomNode.value) {\n\t\tif(this.tiddlerIndex) {\n\t\t\tthis.wiki.setText(this.tiddlerTitle,\"\",this.tiddlerIndex,this.inputDomNode.value);\n\t\t} else {\n\t\t\tthis.wiki.setText(this.tiddlerTitle,this.tiddlerField,null,this.inputDomNode.value);\n\t\t}\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nRangeWidget.prototype.execute = function() {\n\t// TODO remove the next 1 lines once IE is gone!\n\tthis.mouseUp = true; // Needed for IE10\n\t// Get the parameters from the attributes\n\tthis.tiddlerTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.tiddlerField = this.getAttribute(\"field\",\"text\");\n\tthis.tiddlerIndex = this.getAttribute(\"index\");\n\tthis.minValue = this.getAttribute(\"min\");\n\tthis.maxValue = this.getAttribute(\"max\");\n\tthis.increment = this.getAttribute(\"increment\");\n\tthis.defaultValue = this.getAttribute(\"default\",\"\");\n\tthis.elementClass = this.getAttribute(\"class\",\"\");\n\tthis.isDisabled = this.getAttribute(\"disabled\",\"no\");\n\t// Actions since 5.1.23\n\t// Next 2 only fire once!\n\tthis.actionsMouseDown = this.getAttribute(\"actionsStart\",\"\");\n\tthis.actionsMouseUp = this.getAttribute(\"actionsStop\",\"\");\n\t// Input fires very often!\n\tthis.actionsInput = this.getAttribute(\"actions\",\"\");\n\t// Make the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRangeWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif($tw.utils.count(changedAttributes) > 0) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar refreshed = false;\n\t\tif(changedTiddlers[this.tiddlerTitle]) {\n\t\t\tvar value = this.getValue();\n\t\t\tif(this.inputDomNode.value !== value) {\n\t\t\t\tthis.inputDomNode.value = value;\n\t\t\t}\n\t\t\trefreshed = true;\n\t\t}\n\t\treturn this.refreshChildren(changedTiddlers) || refreshed;\n\t}\n};\n\nexports.range = RangeWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/raw.js": {
"title": "$:/core/modules/widgets/raw.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/raw.js\ntype: application/javascript\nmodule-type: widget\n\nRaw widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RawWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRawWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRawWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.execute();\n\tvar div = this.document.createElement(\"div\");\n\tdiv.innerHTML=this.parseTreeNode.html;\n\tparent.insertBefore(div,nextSibling);\n\tthis.domNodes.push(div);\t\n};\n\n/*\nCompute the internal state of the widget\n*/\nRawWidget.prototype.execute = function() {\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRawWidget.prototype.refresh = function(changedTiddlers) {\n\treturn false;\n};\n\nexports.raw = RawWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/reveal.js": {
"title": "$:/core/modules/widgets/reveal.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/reveal.js\ntype: application/javascript\nmodule-type: widget\n\nReveal widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar RevealWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nRevealWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nRevealWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar tag = this.parseTreeNode.isBlock ? \"div\" : \"span\";\n\tif(this.revealTag && $tw.config.htmlUnsafeElements.indexOf(this.revealTag) === -1) {\n\t\ttag = this.revealTag;\n\t}\n\tvar domNode = this.document.createElement(tag);\n\tthis.domNode = domNode;\n\tthis.assignDomNodeClasses();\n\tif(this.style) {\n\t\tdomNode.setAttribute(\"style\",this.style);\n\t}\n\tparent.insertBefore(domNode,nextSibling);\n\tthis.renderChildren(domNode,null);\n\tif(!domNode.isTiddlyWikiFakeDom && this.type === \"popup\" && this.isOpen) {\n\t\tthis.positionPopup(domNode);\n\t\t$tw.utils.addClass(domNode,\"tc-popup\"); // Make sure that clicks don't dismiss popups within the revealed content\n\t}\n\tif(!this.isOpen) {\n\t\tdomNode.setAttribute(\"hidden\",\"true\");\n\t}\n\tthis.domNodes.push(domNode);\n};\n\nRevealWidget.prototype.positionPopup = function(domNode) {\n\tdomNode.style.position = \"absolute\";\n\tdomNode.style.zIndex = \"1000\";\n\tvar left,top;\n\tswitch(this.position) {\n\t\tcase \"left\":\n\t\t\tleft = this.popup.left - domNode.offsetWidth;\n\t\t\ttop = this.popup.top;\n\t\t\tbreak;\n\t\tcase \"above\":\n\t\t\tleft = this.popup.left;\n\t\t\ttop = this.popup.top - domNode.offsetHeight;\n\t\t\tbreak;\n\t\tcase \"aboveright\":\n\t\t\tleft = this.popup.left + this.popup.width;\n\t\t\ttop = this.popup.top + this.popup.height - domNode.offsetHeight;\n\t\t\tbreak;\n\t\tcase \"belowright\":\n\t\t\tleft = this.popup.left + this.popup.width;\n\t\t\ttop = this.popup.top + this.popup.height;\n\t\t\tbreak;\t\t\t\n\t\tcase \"right\":\n\t\t\tleft = this.popup.left + this.popup.width;\n\t\t\ttop = this.popup.top;\n\t\t\tbreak;\n\t\tcase \"belowleft\":\n\t\t\tleft = this.popup.left + this.popup.width - domNode.offsetWidth;\n\t\t\ttop = this.popup.top + this.popup.height;\n\t\t\tbreak;\n\t\tcase \"aboveleft\":\n\t\t\tleft = this.popup.left - domNode.offsetWidth;\n\t\t\ttop = this.popup.top - domNode.offsetHeight;\n\t\t\tbreak;\t\t\t\n\t\tdefault: // Below\n\t\t\tleft = this.popup.left;\n\t\t\ttop = this.popup.top + this.popup.height;\n\t\t\tbreak;\n\t}\n\tif(!this.positionAllowNegative) {\n\t\tleft = Math.max(0,left);\n\t\ttop = Math.max(0,top);\n\t}\n\tdomNode.style.left = left + \"px\";\n\tdomNode.style.top = top + \"px\";\n};\n\n/*\nCompute the internal state of the widget\n*/\nRevealWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.state = this.getAttribute(\"state\");\n\tthis.revealTag = this.getAttribute(\"tag\");\n\tthis.type = this.getAttribute(\"type\");\n\tthis.text = this.getAttribute(\"text\");\n\tthis.position = this.getAttribute(\"position\");\n\tthis.positionAllowNegative = this.getAttribute(\"positionAllowNegative\") === \"yes\";\n\t// class attribute handled in assignDomNodeClasses()\n\tthis.style = this.getAttribute(\"style\",\"\");\n\tthis[\"default\"] = this.getAttribute(\"default\",\"\");\n\tthis.animate = this.getAttribute(\"animate\",\"no\");\n\tthis.retain = this.getAttribute(\"retain\",\"no\");\n\tthis.openAnimation = this.animate === \"no\" ? undefined : \"open\";\n\tthis.closeAnimation = this.animate === \"no\" ? undefined : \"close\";\n\tthis.updatePopupPosition = this.getAttribute(\"updatePopupPosition\",\"no\") === \"yes\";\n\t// Compute the title of the state tiddler and read it\n\tthis.stateTiddlerTitle = this.state;\n\tthis.stateTitle = this.getAttribute(\"stateTitle\");\n\tthis.stateField = this.getAttribute(\"stateField\");\n\tthis.stateIndex = this.getAttribute(\"stateIndex\");\n\tthis.readState();\n\t// Construct the child widgets\n\tvar childNodes = this.isOpen ? this.parseTreeNode.children : [];\n\tthis.hasChildNodes = this.isOpen;\n\tthis.makeChildWidgets(childNodes);\n};\n\n/*\nRead the state tiddler\n*/\nRevealWidget.prototype.readState = function() {\n\t// Read the information from the state tiddler\n\tvar state,\n\t defaultState = this[\"default\"];\n\tif(this.stateTitle) {\n\t\tvar stateTitleTiddler = this.wiki.getTiddler(this.stateTitle);\n\t\tif(this.stateField) {\n\t\t\tstate = stateTitleTiddler ? stateTitleTiddler.getFieldString(this.stateField) || defaultState : defaultState;\n\t\t} else if(this.stateIndex) {\n\t\t\tstate = stateTitleTiddler ? this.wiki.extractTiddlerDataItem(this.stateTitle,this.stateIndex) || defaultState : defaultState;\n\t\t} else if(stateTitleTiddler) {\n\t\t\tstate = this.wiki.getTiddlerText(this.stateTitle) || defaultState;\n\t\t} else {\n\t\t\tstate = defaultState;\n\t\t}\n\t} else {\n\t\tstate = this.stateTiddlerTitle ? this.wiki.getTextReference(this.state,this[\"default\"],this.getVariable(\"currentTiddler\")) : this[\"default\"];\n\t}\n\tif(state === null) {\n\t\tstate = this[\"default\"];\n\t}\n\tswitch(this.type) {\n\t\tcase \"popup\":\n\t\t\tthis.readPopupState(state);\n\t\t\tbreak;\n\t\tcase \"match\":\n\t\t\tthis.isOpen = this.text === state;\n\t\t\tbreak;\n\t\tcase \"nomatch\":\n\t\t\tthis.isOpen = this.text !== state;\n\t\t\tbreak;\n\t\tcase \"lt\":\n\t\t\tthis.isOpen = !!(this.compareStateText(state) < 0);\n\t\t\tbreak;\n\t\tcase \"gt\":\n\t\t\tthis.isOpen = !!(this.compareStateText(state) > 0);\n\t\t\tbreak;\n\t\tcase \"lteq\":\n\t\t\tthis.isOpen = !(this.compareStateText(state) > 0);\n\t\t\tbreak;\n\t\tcase \"gteq\":\n\t\t\tthis.isOpen = !(this.compareStateText(state) < 0);\n\t\t\tbreak;\n\t}\n};\n\nRevealWidget.prototype.compareStateText = function(state) {\n\treturn state.localeCompare(this.text,undefined,{numeric: true,sensitivity: \"case\"});\n};\n\nRevealWidget.prototype.readPopupState = function(state) {\n\tvar popupLocationRegExp = /^\\((-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+),(-?[0-9\\.E]+)\\)$/,\n\t\tmatch = popupLocationRegExp.exec(state);\n\t// Check if the state matches the location regexp\n\tif(match) {\n\t\t// If so, we're open\n\t\tthis.isOpen = true;\n\t\t// Get the location\n\t\tthis.popup = {\n\t\t\tleft: parseFloat(match[1]),\n\t\t\ttop: parseFloat(match[2]),\n\t\t\twidth: parseFloat(match[3]),\n\t\t\theight: parseFloat(match[4])\n\t\t};\n\t} else {\n\t\t// If not, we're closed\n\t\tthis.isOpen = false;\n\t}\n};\n\nRevealWidget.prototype.assignDomNodeClasses = function() {\n\tvar classes = this.getAttribute(\"class\",\"\").split(\" \");\n\tclasses.push(\"tc-reveal\");\n\tthis.domNode.className = classes.join(\" \");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nRevealWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.state || changedAttributes.type || changedAttributes.text || changedAttributes.position || changedAttributes.positionAllowNegative || changedAttributes[\"default\"] || changedAttributes.animate || changedAttributes.stateTitle || changedAttributes.stateField || changedAttributes.stateIndex) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\tvar currentlyOpen = this.isOpen;\n\t\tthis.readState();\n\t\tif(this.isOpen !== currentlyOpen) {\n\t\t\tif(this.retain === \"yes\") {\n\t\t\t\tthis.updateState();\n\t\t\t} else {\n\t\t\t\tthis.refreshSelf();\n\t\t\t\treturn true;\n\t\t\t}\n\t\t} else if(this.type === \"popup\" && this.updatePopupPosition && (changedTiddlers[this.state] || changedTiddlers[this.stateTitle])) {\n\t\t\tthis.positionPopup(this.domNode);\n\t\t}\n\t\tif(changedAttributes.style) {\n\t\t\tthis.domNode.style = this.getAttribute(\"style\",\"\");\n\t\t}\n\t\tif(changedAttributes[\"class\"]) {\n\t\t\tthis.assignDomNodeClasses();\n\t\t}\t\t\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\n/*\nCalled by refresh() to dynamically show or hide the content\n*/\nRevealWidget.prototype.updateState = function() {\n\tvar self = this;\n\t// Read the current state\n\tthis.readState();\n\t// Construct the child nodes if needed\n\tvar domNode = this.domNodes[0];\n\tif(this.isOpen && !this.hasChildNodes) {\n\t\tthis.hasChildNodes = true;\n\t\tthis.makeChildWidgets(this.parseTreeNode.children);\n\t\tthis.renderChildren(domNode,null);\n\t}\n\t// Animate our DOM node\n\tif(!domNode.isTiddlyWikiFakeDom && this.type === \"popup\" && this.isOpen) {\n\t\tthis.positionPopup(domNode);\n\t\t$tw.utils.addClass(domNode,\"tc-popup\"); // Make sure that clicks don't dismiss popups within the revealed content\n\n\t}\n\tif(this.isOpen) {\n\t\tdomNode.removeAttribute(\"hidden\");\n $tw.anim.perform(this.openAnimation,domNode);\n\t} else {\n\t\t$tw.anim.perform(this.closeAnimation,domNode,{callback: function() {\n\t\t\t//make sure that the state hasn't changed during the close animation\n\t\t\tself.readState()\n\t\t\tif(!self.isOpen) {\n\t\t\t\tdomNode.setAttribute(\"hidden\",\"true\");\n\t\t\t}\n\t\t}});\n\t}\n};\n\nexports.reveal = RevealWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/scrollable.js": {
"title": "$:/core/modules/widgets/scrollable.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/scrollable.js\ntype: application/javascript\nmodule-type: widget\n\nScrollable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ScrollableWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nScrollableWidget.prototype = new Widget();\n\nScrollableWidget.prototype.cancelScroll = function() {\n\tif(this.idRequestFrame) {\n\t\tthis.cancelAnimationFrame.call(window,this.idRequestFrame);\n\t\tthis.idRequestFrame = null;\n\t}\n};\n\n/*\nHandle a scroll event\n*/\nScrollableWidget.prototype.handleScrollEvent = function(event) {\n\t// Pass the scroll event through if our offsetsize is larger than our scrollsize\n\tif(this.outerDomNode.scrollWidth <= this.outerDomNode.offsetWidth && this.outerDomNode.scrollHeight <= this.outerDomNode.offsetHeight && this.fallthrough === \"yes\") {\n\t\treturn true;\n\t}\n\tif(event.paramObject && event.paramObject.selector) {\n\t\tthis.scrollSelectorIntoView(null,event.paramObject.selector);\n\t} else {\n\t\tthis.scrollIntoView(event.target);\t\t\t\n\t}\n\treturn false; // Handled event\n};\n\n/*\nScroll an element into view\n*/\nScrollableWidget.prototype.scrollIntoView = function(element) {\n\tvar duration = $tw.utils.getAnimationDuration(),\n\tsrcWindow = element ? element.ownerDocument.defaultView : window;\n\tthis.cancelScroll();\n\tthis.startTime = Date.now();\n\tvar scrollPosition = {\n\t\tx: this.outerDomNode.scrollLeft,\n\t\ty: this.outerDomNode.scrollTop\n\t};\n\t// Get the client bounds of the element and adjust by the scroll position\n\tvar scrollableBounds = this.outerDomNode.getBoundingClientRect(),\n\t\tclientTargetBounds = element.getBoundingClientRect(),\n\t\tbounds = {\n\t\t\tleft: clientTargetBounds.left + scrollPosition.x - scrollableBounds.left,\n\t\t\ttop: clientTargetBounds.top + scrollPosition.y - scrollableBounds.top,\n\t\t\twidth: clientTargetBounds.width,\n\t\t\theight: clientTargetBounds.height\n\t\t};\n\t// We'll consider the horizontal and vertical scroll directions separately via this function\n\tvar getEndPos = function(targetPos,targetSize,currentPos,currentSize) {\n\t\t\t// If the target is already visible then stay where we are\n\t\t\tif(targetPos >= currentPos && (targetPos + targetSize) <= (currentPos + currentSize)) {\n\t\t\t\treturn currentPos;\n\t\t\t// If the target is above/left of the current view, then scroll to its top/left\n\t\t\t} else if(targetPos <= currentPos) {\n\t\t\t\treturn targetPos;\n\t\t\t// If the target is smaller than the window and the scroll position is too far up, then scroll till the target is at the bottom of the window\n\t\t\t} else if(targetSize < currentSize && currentPos < (targetPos + targetSize - currentSize)) {\n\t\t\t\treturn targetPos + targetSize - currentSize;\n\t\t\t// If the target is big, then just scroll to the top\n\t\t\t} else if(currentPos < targetPos) {\n\t\t\t\treturn targetPos;\n\t\t\t// Otherwise, stay where we are\n\t\t\t} else {\n\t\t\t\treturn currentPos;\n\t\t\t}\n\t\t},\n\t\tendX = getEndPos(bounds.left,bounds.width,scrollPosition.x,this.outerDomNode.offsetWidth),\n\t\tendY = getEndPos(bounds.top,bounds.height,scrollPosition.y,this.outerDomNode.offsetHeight);\n\t// Only scroll if necessary\n\tif(endX !== scrollPosition.x || endY !== scrollPosition.y) {\n\t\tvar self = this,\n\t\t\tdrawFrame;\n\t\tdrawFrame = function () {\n\t\t\tvar t;\n\t\t\tif(duration <= 0) {\n\t\t\t\tt = 1;\n\t\t\t} else {\n\t\t\t\tt = ((Date.now()) - self.startTime) / duration;\t\n\t\t\t}\n\t\t\tif(t >= 1) {\n\t\t\t\tself.cancelScroll();\n\t\t\t\tt = 1;\n\t\t\t}\n\t\t\tt = $tw.utils.slowInSlowOut(t);\n\t\t\tself.outerDomNode.scrollLeft = scrollPosition.x + (endX - scrollPosition.x) * t;\n\t\t\tself.outerDomNode.scrollTop = scrollPosition.y + (endY - scrollPosition.y) * t;\n\t\t\tif(t < 1) {\n\t\t\t\tself.idRequestFrame = self.requestAnimationFrame.call(srcWindow,drawFrame);\n\t\t\t}\n\t\t};\n\t\tdrawFrame();\n\t}\n};\n\nScrollableWidget.prototype.scrollSelectorIntoView = function(baseElement,selector,callback) {\n\tbaseElement = baseElement || document.body;\n\tvar element = baseElement.querySelector(selector);\n\tif(element) {\n\t\tthis.scrollIntoView(element,callback);\t\t\n\t}\n};\n\n/*\nRender this widget into the DOM\n*/\nScrollableWidget.prototype.render = function(parent,nextSibling) {\n\tvar self = this;\n\tthis.scaleFactor = 1;\n\tthis.addEventListeners([\n\t\t{type: \"tm-scroll\", handler: \"handleScrollEvent\"}\n\t]);\n\tif($tw.browser) {\n\t\tthis.requestAnimationFrame = window.requestAnimationFrame ||\n\t\t\twindow.webkitRequestAnimationFrame ||\n\t\t\twindow.mozRequestAnimationFrame ||\n\t\t\tfunction(callback) {\n\t\t\t\treturn window.setTimeout(callback, 1000/60);\n\t\t\t};\n\t\tthis.cancelAnimationFrame = window.cancelAnimationFrame ||\n\t\t\twindow.webkitCancelAnimationFrame ||\n\t\t\twindow.webkitCancelRequestAnimationFrame ||\n\t\t\twindow.mozCancelAnimationFrame ||\n\t\t\twindow.mozCancelRequestAnimationFrame ||\n\t\t\tfunction(id) {\n\t\t\t\twindow.clearTimeout(id);\n\t\t\t};\n\t}\n\t// Remember parent\n\tthis.parentDomNode = parent;\n\t// Compute attributes and execute state\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Create elements\n\tthis.outerDomNode = this.document.createElement(\"div\");\n\t$tw.utils.setStyle(this.outerDomNode,[\n\t\t{overflowY: \"auto\"},\n\t\t{overflowX: \"auto\"},\n\t\t{webkitOverflowScrolling: \"touch\"}\n\t]);\n\tthis.innerDomNode = this.document.createElement(\"div\");\n\tthis.outerDomNode.appendChild(this.innerDomNode);\n\t// Assign classes\n\tthis.outerDomNode.className = this[\"class\"] || \"\";\n\t// Insert element\n\tparent.insertBefore(this.outerDomNode,nextSibling);\n\tthis.renderChildren(this.innerDomNode,null);\n\tthis.domNodes.push(this.outerDomNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nScrollableWidget.prototype.execute = function() {\n\t// Get attributes\n\tthis.fallthrough = this.getAttribute(\"fallthrough\",\"yes\");\n\tthis[\"class\"] = this.getAttribute(\"class\");\n\t// Make child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nScrollableWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes[\"class\"]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports.scrollable = ScrollableWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/select.js": {
"title": "$:/core/modules/widgets/select.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/select.js\ntype: application/javascript\nmodule-type: widget\n\nSelect widget:\n\n```\n<$select tiddler=\"MyTiddler\" field=\"text\">\n<$list filter=\"[tag[chapter]]\">\n<option value=<<currentTiddler>>>\n<$view field=\"description\"/>\n</option>\n</$list>\n</$select>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SelectWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSelectWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSelectWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n\tthis.setSelectValue();\n\t$tw.utils.addEventListeners(this.getSelectDomNode(),[\n\t\t{name: \"change\", handlerObject: this, handlerMethod: \"handleChangeEvent\"}\n\t]);\n};\n\n/*\nHandle a change event\n*/\nSelectWidget.prototype.handleChangeEvent = function(event) {\n\t// Get the new value and assign it to the tiddler\n\tif(this.selectMultiple == false) {\n\t\tvar value = this.getSelectDomNode().value;\n\t} else {\n\t\tvar value = this.getSelectValues()\n\t\t\t\tvalue = $tw.utils.stringifyList(value);\n\t}\n\tthis.wiki.setText(this.selectTitle,this.selectField,this.selectIndex,value);\n\t// Trigger actions\n\tif(this.selectActions) {\n\t\tthis.invokeActionString(this.selectActions,this,event);\n\t}\n};\n\n/*\nIf necessary, set the value of the select element to the current value\n*/\nSelectWidget.prototype.setSelectValue = function() {\n\tvar value = this.selectDefault;\n\t// Get the value\n\tif(this.selectIndex) {\n\t\tvalue = this.wiki.extractTiddlerDataItem(this.selectTitle,this.selectIndex,value);\n\t} else {\n\t\tvar tiddler = this.wiki.getTiddler(this.selectTitle);\n\t\tif(tiddler) {\n\t\t\tif(this.selectField === \"text\") {\n\t\t\t\t// Calling getTiddlerText() triggers lazy loading of skinny tiddlers\n\t\t\t\tvalue = this.wiki.getTiddlerText(this.selectTitle);\n\t\t\t} else {\n\t\t\t\tif($tw.utils.hop(tiddler.fields,this.selectField)) {\n\t\t\t\t\tvalue = tiddler.getFieldString(this.selectField);\n\t\t\t\t}\n\t\t\t}\n\t\t} else {\n\t\t\tif(this.selectField === \"title\") {\n\t\t\t\tvalue = this.selectTitle;\n\t\t\t}\n\t\t}\n\t}\n\t// Assign it to the select element if it's different than the current value\n\tif (this.selectMultiple) {\n\t\tvalue = value === undefined ? \"\" : value;\n\t\tvar select = this.getSelectDomNode();\n\t\tvar values = Array.isArray(value) ? value : $tw.utils.parseStringArray(value);\n\t\tfor(var i=0; i < select.children.length; i++){\n\t\t\tselect.children[i].selected = values.indexOf(select.children[i].value) !== -1\n\t\t}\n\t} else {\n\t\tvar domNode = this.getSelectDomNode();\n\t\tif(domNode.value !== value) {\n\t\t\tdomNode.value = value;\n\t\t}\n\t}\n};\n\n/*\nGet the DOM node of the select element\n*/\nSelectWidget.prototype.getSelectDomNode = function() {\n\treturn this.children[0].domNodes[0];\n};\n\n// Return an array of the selected opion values\n// select is an HTML select element\nSelectWidget.prototype.getSelectValues = function() {\n\tvar select, result, options, opt;\n\tselect = this.getSelectDomNode();\n\tresult = [];\n\toptions = select && select.options;\n\tfor (var i=0; i<options.length; i++) {\n\t\topt = options[i];\n\t\tif (opt.selected) {\n\t\t\tresult.push(opt.value || opt.text);\n\t\t}\n\t}\n\treturn result;\n}\n\n/*\nCompute the internal state of the widget\n*/\nSelectWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.selectActions = this.getAttribute(\"actions\");\n\tthis.selectTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.selectField = this.getAttribute(\"field\",\"text\");\n\tthis.selectIndex = this.getAttribute(\"index\");\n\tthis.selectClass = this.getAttribute(\"class\");\n\tthis.selectDefault = this.getAttribute(\"default\");\n\tthis.selectMultiple = this.getAttribute(\"multiple\", false);\n\tthis.selectSize = this.getAttribute(\"size\");\n\tthis.selectTooltip = this.getAttribute(\"tooltip\");\n\t// Make the child widgets\n\tvar selectNode = {\n\t\ttype: \"element\",\n\t\ttag: \"select\",\n\t\tchildren: this.parseTreeNode.children\n\t};\n\tif(this.selectClass) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"class\",this.selectClass);\n\t}\n\tif(this.selectMultiple) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"multiple\",\"multiple\");\n\t}\n\tif(this.selectSize) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"size\",this.selectSize);\n\t}\n\tif(this.selectTooltip) {\n\t\t$tw.utils.addAttributeToParseTreeNode(selectNode,\"title\",this.selectTooltip);\n\t}\n\tthis.makeChildWidgets([selectNode]);\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nSelectWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\t// If we're using a different tiddler/field/index then completely refresh ourselves\n\tif(changedAttributes.selectTitle || changedAttributes.selectField || changedAttributes.selectIndex || changedAttributes.selectTooltip) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t// If the target tiddler value has changed, just update setting and refresh the children\n\t} else {\n\t\tvar childrenRefreshed = this.refreshChildren(changedTiddlers);\n\t\tif(changedTiddlers[this.selectTitle] || childrenRefreshed) {\n\t\t\tthis.setSelectValue();\n\t\t} \n\t\treturn childrenRefreshed;\n\t}\n};\n\nexports.select = SelectWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/set.js": {
"title": "$:/core/modules/widgets/set.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/set.js\ntype: application/javascript\nmodule-type: widget\n\nSet variable widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar SetWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nSetWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nSetWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nSetWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.setName = this.getAttribute(\"name\",\"currentTiddler\");\n\tthis.setFilter = this.getAttribute(\"filter\");\n\tthis.setSelect = this.getAttribute(\"select\");\n\tthis.setTiddler = this.getAttribute(\"tiddler\");\n\tthis.setSubTiddler = this.getAttribute(\"subtiddler\");\n\tthis.setField = this.getAttribute(\"field\");\n\tthis.setIndex = this.getAttribute(\"index\");\n\tthis.setValue = this.getAttribute(\"value\");\n\tthis.setEmptyValue = this.getAttribute(\"emptyValue\");\n\t// Set context variable\n\tthis.setVariable(this.setName,this.getValue(),this.parseTreeNode.params,!!this.parseTreeNode.isMacroDefinition);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nGet the value to be assigned\n*/\nSetWidget.prototype.getValue = function() {\n\tvar value = this.setValue;\n\tif(this.setTiddler) {\n\t\tvar tiddler;\n\t\tif(this.setSubTiddler) {\n\t\t\ttiddler = this.wiki.getSubTiddler(this.setTiddler,this.setSubTiddler);\n\t\t} else {\n\t\t\ttiddler = this.wiki.getTiddler(this.setTiddler);\t\t\t\n\t\t}\n\t\tif(!tiddler) {\n\t\t\tvalue = this.setEmptyValue;\n\t\t} else if(this.setField) {\n\t\t\tvalue = tiddler.getFieldString(this.setField) || this.setEmptyValue;\n\t\t} else if(this.setIndex) {\n\t\t\tvalue = this.wiki.extractTiddlerDataItem(this.setTiddler,this.setIndex,this.setEmptyValue);\n\t\t} else {\n\t\t\tvalue = tiddler.fields.text || this.setEmptyValue ;\n\t\t}\n\t} else if(this.setFilter) {\n\t\tvar results = this.wiki.filterTiddlers(this.setFilter,this);\n\t\tif(this.setValue == null) {\n\t\t\tvar select;\n\t\t\tif(this.setSelect) {\n\t\t\t\tselect = parseInt(this.setSelect,10);\n\t\t\t}\n\t\t\tif(select !== undefined) {\n\t\t\t\tvalue = results[select] || \"\";\n\t\t\t} else {\n\t\t\t\tvalue = $tw.utils.stringifyList(results);\t\t\t\n\t\t\t}\n\t\t}\n\t\tif(results.length === 0 && this.setEmptyValue !== undefined) {\n\t\t\tvalue = this.setEmptyValue;\n\t\t}\n\t} else if(!value && this.setEmptyValue) {\n\t\tvalue = this.setEmptyValue;\n\t}\n\treturn value || \"\";\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nSetWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.name || changedAttributes.filter || changedAttributes.select || changedAttributes.tiddler || (this.setTiddler && changedTiddlers[this.setTiddler]) || changedAttributes.field || changedAttributes.index || changedAttributes.value || changedAttributes.emptyValue ||\n\t (this.setFilter && this.getValue() != this.variables[this.setName].value)) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.setvariable = SetWidget;\nexports.set = SetWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/text.js": {
"title": "$:/core/modules/widgets/text.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/text.js\ntype: application/javascript\nmodule-type: widget\n\nText node widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar TextNodeWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nTextNodeWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nTextNodeWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tvar text = this.getAttribute(\"text\",this.parseTreeNode.text || \"\");\n\ttext = text.replace(/\\r/mg,\"\");\n\tvar textNode = this.document.createTextNode(text);\n\tparent.insertBefore(textNode,nextSibling);\n\tthis.domNodes.push(textNode);\n};\n\n/*\nCompute the internal state of the widget\n*/\nTextNodeWidget.prototype.execute = function() {\n\t// Nothing to do for a text node\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nTextNodeWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.text) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.text = TextNodeWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/tiddler.js": {
"title": "$:/core/modules/widgets/tiddler.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/tiddler.js\ntype: application/javascript\nmodule-type: widget\n\nTiddler widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar TiddlerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nTiddlerWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nTiddlerWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nTiddlerWidget.prototype.execute = function() {\n\tthis.tiddlerState = this.computeTiddlerState();\n\tthis.setVariable(\"currentTiddler\",this.tiddlerState.currentTiddler);\n\tthis.setVariable(\"missingTiddlerClass\",this.tiddlerState.missingTiddlerClass);\n\tthis.setVariable(\"shadowTiddlerClass\",this.tiddlerState.shadowTiddlerClass);\n\tthis.setVariable(\"systemTiddlerClass\",this.tiddlerState.systemTiddlerClass);\n\tthis.setVariable(\"tiddlerTagClasses\",this.tiddlerState.tiddlerTagClasses);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nCompute the tiddler state flags\n*/\nTiddlerWidget.prototype.computeTiddlerState = function() {\n\t// Get our parameters\n\tthis.tiddlerTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\t// Compute the state\n\tvar state = {\n\t\tcurrentTiddler: this.tiddlerTitle || \"\",\n\t\tmissingTiddlerClass: (this.wiki.tiddlerExists(this.tiddlerTitle) || this.wiki.isShadowTiddler(this.tiddlerTitle)) ? \"tc-tiddler-exists\" : \"tc-tiddler-missing\",\n\t\tshadowTiddlerClass: this.wiki.isShadowTiddler(this.tiddlerTitle) ? \"tc-tiddler-shadow\" : \"\",\n\t\tsystemTiddlerClass: this.wiki.isSystemTiddler(this.tiddlerTitle) ? \"tc-tiddler-system\" : \"\",\n\t\ttiddlerTagClasses: this.getTagClasses()\n\t};\n\t// Compute a simple hash to make it easier to detect changes\n\tstate.hash = state.currentTiddler + state.missingTiddlerClass + state.shadowTiddlerClass + state.systemTiddlerClass + state.tiddlerTagClasses;\n\treturn state;\n};\n\n/*\nCreate a string of CSS classes derived from the tags of the current tiddler\n*/\nTiddlerWidget.prototype.getTagClasses = function() {\n\tvar tiddler = this.wiki.getTiddler(this.tiddlerTitle);\n\tif(tiddler) {\n\t\tvar tags = [];\n\t\t$tw.utils.each(tiddler.fields.tags,function(tag) {\n\t\t\ttags.push(\"tc-tagged-\" + encodeURIComponent(tag));\n\t\t});\n\t\treturn tags.join(\" \");\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nTiddlerWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes(),\n\t\tnewTiddlerState = this.computeTiddlerState();\n\tif(changedAttributes.tiddler || newTiddlerState.hash !== this.tiddlerState.hash) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.tiddler = TiddlerWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/transclude.js": {
"title": "$:/core/modules/widgets/transclude.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/transclude.js\ntype: application/javascript\nmodule-type: widget\n\nTransclude widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar TranscludeWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nTranscludeWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nTranscludeWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nTranscludeWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.transcludeTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.transcludeSubTiddler = this.getAttribute(\"subtiddler\");\n\tthis.transcludeField = this.getAttribute(\"field\");\n\tthis.transcludeIndex = this.getAttribute(\"index\");\n\tthis.transcludeMode = this.getAttribute(\"mode\");\n\tthis.recursionMarker = this.getAttribute(\"recursionMarker\",\"yes\");\n\t// Parse the text reference\n\tvar parseAsInline = !this.parseTreeNode.isBlock;\n\tif(this.transcludeMode === \"inline\") {\n\t\tparseAsInline = true;\n\t} else if(this.transcludeMode === \"block\") {\n\t\tparseAsInline = false;\n\t}\n\tvar parser = this.wiki.parseTextReference(\n\t\t\t\t\t\tthis.transcludeTitle,\n\t\t\t\t\t\tthis.transcludeField,\n\t\t\t\t\t\tthis.transcludeIndex,\n\t\t\t\t\t\t{\n\t\t\t\t\t\t\tparseAsInline: parseAsInline,\n\t\t\t\t\t\t\tsubTiddler: this.transcludeSubTiddler\n\t\t\t\t\t\t}),\n\t\tparseTreeNodes = parser ? parser.tree : this.parseTreeNode.children;\n\t// Set context variables for recursion detection\n\tvar recursionMarker = this.makeRecursionMarker();\n\tif(this.recursionMarker === \"yes\") {\n\t\tthis.setVariable(\"transclusion\",recursionMarker);\n\t}\n\t// Check for recursion\n\tif(parser) {\n\t\tif(this.parentWidget && this.parentWidget.hasVariable(\"transclusion\",recursionMarker)) {\n\t\t\tparseTreeNodes = [{type: \"element\", tag: \"span\", attributes: {\n\t\t\t\t\"class\": {type: \"string\", value: \"tc-error\"}\n\t\t\t}, children: [\n\t\t\t\t{type: \"text\", text: $tw.language.getString(\"Error/RecursiveTransclusion\")}\n\t\t\t]}];\n\t\t}\n\t}\n\t// Assign any variables set via attributes starting with $\n\tvar variables = Object.create(null);\n\t$tw.utils.each(this.attributes,function(attribute,name) {\n\t\tif(name.charAt(0) === \"$\") {\n\t\t\tvariables[name.substr(1)] = attribute;\n\t\t}\n\t});\n\t// Construct the child widgets\n\tthis.makeChildWidgets(parseTreeNodes,{\n\t\tvariables: variables\n\t});\n};\n\n/*\nCompose a string comprising the title, field and/or index to identify this transclusion for recursion detection\n*/\nTranscludeWidget.prototype.makeRecursionMarker = function() {\n\tvar output = [];\n\toutput.push(\"{\");\n\toutput.push(this.getVariable(\"currentTiddler\",{defaultValue: \"\"}));\n\toutput.push(\"|\");\n\toutput.push(this.transcludeTitle || \"\");\n\toutput.push(\"|\");\n\toutput.push(this.transcludeField || \"\");\n\toutput.push(\"|\");\n\toutput.push(this.transcludeIndex || \"\");\n\toutput.push(\"|\");\n\toutput.push(this.transcludeSubTiddler || \"\");\n\toutput.push(\"}\");\n\treturn output.join(\"\");\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nTranscludeWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif($tw.utils.count(changedAttributes) || changedTiddlers[this.transcludeTitle]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn this.refreshChildren(changedTiddlers);\t\t\n\t}\n};\n\nexports.transclude = TranscludeWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/vars.js": {
"title": "$:/core/modules/widgets/vars.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/vars.js\ntype: application/javascript\nmodule-type: widget\n\nThis widget allows multiple variables to be set in one go:\n\n```\n\\define helloworld() Hello world!\n<$vars greeting=\"Hi\" me={{!!title}} sentence=<<helloworld>>>\n <<greeting>>! I am <<me>> and I say: <<sentence>>\n</$vars>\n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar VarsWidget = function(parseTreeNode,options) {\n\t// Initialise\t\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nVarsWidget.prototype = Object.create(Widget.prototype);\n\n/*\nRender this widget into the DOM\n*/\nVarsWidget.prototype.render = function(parent,nextSibling) {\n\t// Call the constructor\n\tWidget.call(this);\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nVarsWidget.prototype.execute = function() {\n\t// Parse variables\n\tvar self = this;\n\t$tw.utils.each(this.attributes,function(val,key) {\n\t\tif(key.charAt(0) !== \"$\") {\n\t\t\tself.setVariable(key,val);\n\t\t}\n\t});\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nRefresh the widget by ensuring our attributes are up to date\n*/\nVarsWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(Object.keys(changedAttributes).length) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n\treturn this.refreshChildren(changedTiddlers);\n};\n\nexports[\"vars\"] = VarsWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/view.js": {
"title": "$:/core/modules/widgets/view.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/view.js\ntype: application/javascript\nmodule-type: widget\n\nView widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar ViewWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nViewWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nViewWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tif(this.text) {\n\t\tvar textNode = this.document.createTextNode(this.text);\n\t\tparent.insertBefore(textNode,nextSibling);\n\t\tthis.domNodes.push(textNode);\n\t} else {\n\t\tthis.makeChildWidgets();\n\t\tthis.renderChildren(parent,nextSibling);\n\t}\n};\n\n/*\nCompute the internal state of the widget\n*/\nViewWidget.prototype.execute = function() {\n\t// Get parameters from our attributes\n\tthis.viewTitle = this.getAttribute(\"tiddler\",this.getVariable(\"currentTiddler\"));\n\tthis.viewSubtiddler = this.getAttribute(\"subtiddler\");\n\tthis.viewField = this.getAttribute(\"field\",\"text\");\n\tthis.viewIndex = this.getAttribute(\"index\");\n\tthis.viewFormat = this.getAttribute(\"format\",\"text\");\n\tthis.viewTemplate = this.getAttribute(\"template\",\"\");\n\tthis.viewMode = this.getAttribute(\"mode\",\"block\");\n\tswitch(this.viewFormat) {\n\t\tcase \"htmlwikified\":\n\t\t\tthis.text = this.getValueAsHtmlWikified(this.viewMode);\n\t\t\tbreak;\n\t\tcase \"plainwikified\":\n\t\t\tthis.text = this.getValueAsPlainWikified(this.viewMode);\n\t\t\tbreak;\n\t\tcase \"htmlencodedplainwikified\":\n\t\t\tthis.text = this.getValueAsHtmlEncodedPlainWikified(this.viewMode);\n\t\t\tbreak;\n\t\tcase \"htmlencoded\":\n\t\t\tthis.text = this.getValueAsHtmlEncoded();\n\t\t\tbreak;\n\t\tcase \"urlencoded\":\n\t\t\tthis.text = this.getValueAsUrlEncoded();\n\t\t\tbreak;\n\t\tcase \"doubleurlencoded\":\n\t\t\tthis.text = this.getValueAsDoubleUrlEncoded();\n\t\t\tbreak;\n\t\tcase \"date\":\n\t\t\tthis.text = this.getValueAsDate(this.viewTemplate);\n\t\t\tbreak;\n\t\tcase \"relativedate\":\n\t\t\tthis.text = this.getValueAsRelativeDate();\n\t\t\tbreak;\n\t\tcase \"stripcomments\":\n\t\t\tthis.text = this.getValueAsStrippedComments();\n\t\t\tbreak;\n\t\tcase \"jsencoded\":\n\t\t\tthis.text = this.getValueAsJsEncoded();\n\t\t\tbreak;\n\t\tdefault: // \"text\"\n\t\t\tthis.text = this.getValueAsText();\n\t\t\tbreak;\n\t}\n};\n\n/*\nThe various formatter functions are baked into this widget for the moment. Eventually they will be replaced by macro functions\n*/\n\n/*\nRetrieve the value of the widget. Options are:\nasString: Optionally return the value as a string\n*/\nViewWidget.prototype.getValue = function(options) {\n\toptions = options || {};\n\tvar value = options.asString ? \"\" : undefined;\n\tif(this.viewIndex) {\n\t\tvalue = this.wiki.extractTiddlerDataItem(this.viewTitle,this.viewIndex);\n\t} else {\n\t\tvar tiddler;\n\t\tif(this.viewSubtiddler) {\n\t\t\ttiddler = this.wiki.getSubTiddler(this.viewTitle,this.viewSubtiddler);\t\n\t\t} else {\n\t\t\ttiddler = this.wiki.getTiddler(this.viewTitle);\n\t\t}\n\t\tif(tiddler) {\n\t\t\tif(this.viewField === \"text\" && !this.viewSubtiddler) {\n\t\t\t\t// Calling getTiddlerText() triggers lazy loading of skinny tiddlers\n\t\t\t\tvalue = this.wiki.getTiddlerText(this.viewTitle);\n\t\t\t} else {\n\t\t\t\tif($tw.utils.hop(tiddler.fields,this.viewField)) {\n\t\t\t\t\tif(options.asString) {\n\t\t\t\t\t\tvalue = tiddler.getFieldString(this.viewField);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tvalue = tiddler.fields[this.viewField];\t\t\t\t\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t} else {\n\t\t\tif(this.viewField === \"title\") {\n\t\t\t\tvalue = this.viewTitle;\n\t\t\t}\n\t\t}\n\t}\n\treturn value;\n};\n\nViewWidget.prototype.getValueAsText = function() {\n\treturn this.getValue({asString: true});\n};\n\nViewWidget.prototype.getValueAsHtmlWikified = function(mode) {\n\treturn this.wiki.renderText(\"text/html\",\"text/vnd.tiddlywiki\",this.getValueAsText(),{\n\t\tparseAsInline: mode !== \"block\",\n\t\tparentWidget: this\n\t});\n};\n\nViewWidget.prototype.getValueAsPlainWikified = function(mode) {\n\treturn this.wiki.renderText(\"text/plain\",\"text/vnd.tiddlywiki\",this.getValueAsText(),{\n\t\tparseAsInline: mode !== \"block\",\n\t\tparentWidget: this\n\t});\n};\n\nViewWidget.prototype.getValueAsHtmlEncodedPlainWikified = function(mode) {\n\treturn $tw.utils.htmlEncode(this.wiki.renderText(\"text/plain\",\"text/vnd.tiddlywiki\",this.getValueAsText(),{\n\t\tparseAsInline: mode !== \"block\",\n\t\tparentWidget: this\n\t}));\n};\n\nViewWidget.prototype.getValueAsHtmlEncoded = function() {\n\treturn $tw.utils.htmlEncode(this.getValueAsText());\n};\n\nViewWidget.prototype.getValueAsUrlEncoded = function() {\n\treturn encodeURIComponent(this.getValueAsText());\n};\n\nViewWidget.prototype.getValueAsDoubleUrlEncoded = function() {\n\treturn encodeURIComponent(encodeURIComponent(this.getValueAsText()));\n};\n\nViewWidget.prototype.getValueAsDate = function(format) {\n\tformat = format || \"YYYY MM DD 0hh:0mm\";\n\tvar value = $tw.utils.parseDate(this.getValue());\n\tif(value && $tw.utils.isDate(value) && value.toString() !== \"Invalid Date\") {\n\t\treturn $tw.utils.formatDateString(value,format);\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\nViewWidget.prototype.getValueAsRelativeDate = function(format) {\n\tvar value = $tw.utils.parseDate(this.getValue());\n\tif(value && $tw.utils.isDate(value) && value.toString() !== \"Invalid Date\") {\n\t\treturn $tw.utils.getRelativeDate((new Date()) - (new Date(value))).description;\n\t} else {\n\t\treturn \"\";\n\t}\n};\n\nViewWidget.prototype.getValueAsStrippedComments = function() {\n\tvar lines = this.getValueAsText().split(\"\\n\"),\n\t\tout = [];\n\tfor(var line=0; line<lines.length; line++) {\n\t\tvar text = lines[line];\n\t\tif(!/^\\s*\\/\\/#/.test(text)) {\n\t\t\tout.push(text);\n\t\t}\n\t}\n\treturn out.join(\"\\n\");\n};\n\nViewWidget.prototype.getValueAsJsEncoded = function() {\n\treturn $tw.utils.stringify(this.getValueAsText());\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nViewWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.field || changedAttributes.index || changedAttributes.template || changedAttributes.format || changedTiddlers[this.viewTitle]) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.view = ViewWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/widget.js": {
"title": "$:/core/modules/widgets/widget.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/widget.js\ntype: application/javascript\nmodule-type: widget\n\nWidget base class\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nCreate a widget object for a parse tree node\n\tparseTreeNode: reference to the parse tree node to be rendered\n\toptions: see below\nOptions include:\n\twiki: mandatory reference to wiki associated with this render tree\n\tparentWidget: optional reference to a parent renderer node for the context chain\n\tdocument: optional document object to use instead of global document\n*/\nvar Widget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInitialise widget properties. These steps are pulled out of the constructor so that we can reuse them in subclasses\n*/\nWidget.prototype.initialise = function(parseTreeNode,options) {\n\t// Bail if parseTreeNode is undefined, meaning that the widget constructor was called without any arguments so that it can be subclassed\n\tif(parseTreeNode === undefined) {\n\t\treturn;\n\t}\n\toptions = options || {};\n\t// Save widget info\n\tthis.parseTreeNode = parseTreeNode;\n\tthis.wiki = options.wiki;\n\tthis.parentWidget = options.parentWidget;\n\tthis.variablesConstructor = function() {};\n\tthis.variablesConstructor.prototype = this.parentWidget ? this.parentWidget.variables : {};\n\tthis.variables = new this.variablesConstructor();\n\tthis.document = options.document;\n\tthis.attributes = {};\n\tthis.children = [];\n\tthis.domNodes = [];\n\tthis.eventListeners = {};\n\t// Hashmap of the widget classes\n\tif(!this.widgetClasses) {\n\t\t// Get widget classes\n\t\tWidget.prototype.widgetClasses = $tw.modules.applyMethods(\"widget\");\n\t\t// Process any subclasses\n\t\t$tw.modules.forEachModuleOfType(\"widget-subclass\",function(title,module) {\n\t\t\tif(module.baseClass) {\n\t\t\t\tvar baseClass = Widget.prototype.widgetClasses[module.baseClass];\n\t\t\t\tif(!baseClass) {\n\t\t\t\t\tthrow \"Module '\" + title + \"' is attemping to extend a non-existent base class '\" + module.baseClass + \"'\";\n\t\t\t\t}\n\t\t\t\tvar subClass = module.constructor;\n\t\t\t\tsubClass.prototype = new baseClass();\n\t\t\t\t$tw.utils.extend(subClass.prototype,module.prototype);\n\t\t\t\tWidget.prototype.widgetClasses[module.name || module.baseClass] = subClass;\n\t\t\t}\n\t\t});\n\t}\n};\n\n/*\nRender this widget into the DOM\n*/\nWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nWidget.prototype.execute = function() {\n\tthis.makeChildWidgets();\n};\n\n/*\nSet the value of a context variable\nname: name of the variable\nvalue: value of the variable\nparams: array of {name:, default:} for each parameter\nisMacroDefinition: true if the variable is set via a \\define macro pragma (and hence should have variable substitution performed)\n*/\nWidget.prototype.setVariable = function(name,value,params,isMacroDefinition) {\n\tthis.variables[name] = {value: value, params: params, isMacroDefinition: !!isMacroDefinition};\n};\n\n/*\nGet the prevailing value of a context variable\nname: name of variable\noptions: see below\nOptions include\nparams: array of {name:, value:} for each parameter\ndefaultValue: default value if the variable is not defined\n\nReturns an object with the following fields:\n\nparams: array of {name:,value:} of parameters passed to wikitext variables\ntext: text of variable, with parameters properly substituted\n*/\nWidget.prototype.getVariableInfo = function(name,options) {\n\toptions = options || {};\n\tvar actualParams = options.params || [],\n\t\tparentWidget = this.parentWidget;\n\t// Check for the variable defined in the parent widget (or an ancestor in the prototype chain)\n\tif(parentWidget && name in parentWidget.variables) {\n\t\tvar variable = parentWidget.variables[name],\n\t\t\toriginalValue = variable.value,\n\t\t\tvalue = originalValue,\n\t\t\tparams = this.resolveVariableParameters(variable.params,actualParams);\n\t\t// Substitute any parameters specified in the definition\n\t\t$tw.utils.each(params,function(param) {\n\t\t\tvalue = $tw.utils.replaceString(value,new RegExp(\"\\\\$\" + $tw.utils.escapeRegExp(param.name) + \"\\\\$\",\"mg\"),param.value);\n\t\t});\n\t\t// Only substitute variable references if this variable was defined with the \\define pragma\n\t\tif(variable.isMacroDefinition) {\n\t\t\tvalue = this.substituteVariableReferences(value);\t\t\t\n\t\t}\n\t\treturn {\n\t\t\ttext: value,\n\t\t\tparams: params,\n\t\t\tsrcVariable: variable,\n\t\t\tisCacheable: originalValue === value\n\t\t};\n\t}\n\t// If the variable doesn't exist in the parent widget then look for a macro module\n\treturn {\n\t\ttext: this.evaluateMacroModule(name,actualParams,options.defaultValue)\n\t};\n};\n\n/*\nSimplified version of getVariableInfo() that just returns the text\n*/\nWidget.prototype.getVariable = function(name,options) {\n\treturn this.getVariableInfo(name,options).text;\n};\n\nWidget.prototype.resolveVariableParameters = function(formalParams,actualParams) {\n\tformalParams = formalParams || [];\n\tactualParams = actualParams || [];\n\tvar nextAnonParameter = 0, // Next candidate anonymous parameter in macro call\n\t\tparamInfo, paramValue,\n\t\tresults = [];\n\t// Step through each of the parameters in the macro definition\n\tfor(var p=0; p<formalParams.length; p++) {\n\t\t// Check if we've got a macro call parameter with the same name\n\t\tparamInfo = formalParams[p];\n\t\tparamValue = undefined;\n\t\tfor(var m=0; m<actualParams.length; m++) {\n\t\t\tif(actualParams[m].name === paramInfo.name) {\n\t\t\t\tparamValue = actualParams[m].value;\n\t\t\t}\n\t\t}\n\t\t// If not, use the next available anonymous macro call parameter\n\t\twhile(nextAnonParameter < actualParams.length && actualParams[nextAnonParameter].name) {\n\t\t\tnextAnonParameter++;\n\t\t}\n\t\tif(paramValue === undefined && nextAnonParameter < actualParams.length) {\n\t\t\tparamValue = actualParams[nextAnonParameter++].value;\n\t\t}\n\t\t// If we've still not got a value, use the default, if any\n\t\tparamValue = paramValue || paramInfo[\"default\"] || \"\";\n\t\t// Store the parameter name and value\n\t\tresults.push({name: paramInfo.name, value: paramValue});\n\t}\n\treturn results;\n};\n\nWidget.prototype.substituteVariableReferences = function(text) {\n\tvar self = this;\n\treturn (text || \"\").replace(/\\$\\(([^\\)\\$]+)\\)\\$/g,function(match,p1,offset,string) {\n\t\treturn self.getVariable(p1,{defaultValue: \"\"});\n\t});\n};\n\nWidget.prototype.evaluateMacroModule = function(name,actualParams,defaultValue) {\n\tif($tw.utils.hop($tw.macros,name)) {\n\t\tvar macro = $tw.macros[name],\n\t\t\targs = [];\n\t\tif(macro.params.length > 0) {\n\t\t\tvar nextAnonParameter = 0, // Next candidate anonymous parameter in macro call\n\t\t\t\tparamInfo, paramValue;\n\t\t\t// Step through each of the parameters in the macro definition\n\t\t\tfor(var p=0; p<macro.params.length; p++) {\n\t\t\t\t// Check if we've got a macro call parameter with the same name\n\t\t\t\tparamInfo = macro.params[p];\n\t\t\t\tparamValue = undefined;\n\t\t\t\tfor(var m=0; m<actualParams.length; m++) {\n\t\t\t\t\tif(actualParams[m].name === paramInfo.name) {\n\t\t\t\t\t\tparamValue = actualParams[m].value;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// If not, use the next available anonymous macro call parameter\n\t\t\t\twhile(nextAnonParameter < actualParams.length && actualParams[nextAnonParameter].name) {\n\t\t\t\t\tnextAnonParameter++;\n\t\t\t\t}\n\t\t\t\tif(paramValue === undefined && nextAnonParameter < actualParams.length) {\n\t\t\t\t\tparamValue = actualParams[nextAnonParameter++].value;\n\t\t\t\t}\n\t\t\t\t// If we've still not got a value, use the default, if any\n\t\t\t\tparamValue = paramValue || paramInfo[\"default\"] || \"\";\n\t\t\t\t// Save the parameter\n\t\t\t\targs.push(paramValue);\n\t\t\t}\n\t\t}\n\t\telse for(var i=0; i<actualParams.length; ++i) {\n\t\t\targs.push(actualParams[i].value);\n\t\t}\n\t\treturn (macro.run.apply(this,args) || \"\").toString();\n\t} else {\n\t\treturn defaultValue;\n\t}\n};\n\n/*\nCheck whether a given context variable value exists in the parent chain\n*/\nWidget.prototype.hasVariable = function(name,value) {\n\tvar node = this;\n\twhile(node) {\n\t\tif($tw.utils.hop(node.variables,name) && node.variables[name].value === value) {\n\t\t\treturn true;\n\t\t}\n\t\tnode = node.parentWidget;\n\t}\n\treturn false;\n};\n\n/*\nConstruct a qualifying string based on a hash of concatenating the values of a given variable in the parent chain\n*/\nWidget.prototype.getStateQualifier = function(name) {\n\tthis.qualifiers = this.qualifiers || Object.create(null);\n\tname = name || \"transclusion\";\n\tif(this.qualifiers[name]) {\n\t\treturn this.qualifiers[name];\n\t} else {\n\t\tvar output = [],\n\t\t\tnode = this;\n\t\twhile(node && node.parentWidget) {\n\t\t\tif($tw.utils.hop(node.parentWidget.variables,name)) {\n\t\t\t\toutput.push(node.getVariable(name));\n\t\t\t}\n\t\t\tnode = node.parentWidget;\n\t\t}\n\t\tvar value = $tw.utils.hashString(output.join(\"\"));\n\t\tthis.qualifiers[name] = value;\n\t\treturn value;\n\t}\n};\n\n/*\nCompute the current values of the attributes of the widget. Returns a hashmap of the names of the attributes that have changed\n*/\nWidget.prototype.computeAttributes = function() {\n\tvar changedAttributes = {},\n\t\tself = this,\n\t\tvalue;\n\t$tw.utils.each(this.parseTreeNode.attributes,function(attribute,name) {\n\t\tif(attribute.type === \"filtered\") {\n\t\t\tvalue = self.wiki.filterTiddlers(attribute.filter,self)[0] || \"\";\n\t\t} else if(attribute.type === \"indirect\") {\n\t\t\tvalue = self.wiki.getTextReference(attribute.textReference,\"\",self.getVariable(\"currentTiddler\"));\n\t\t} else if(attribute.type === \"macro\") {\n\t\t\tvalue = self.getVariable(attribute.value.name,{params: attribute.value.params});\n\t\t} else { // String attribute\n\t\t\tvalue = attribute.value;\n\t\t}\n\t\t// Check whether the attribute has changed\n\t\tif(self.attributes[name] !== value) {\n\t\t\tself.attributes[name] = value;\n\t\t\tchangedAttributes[name] = true;\n\t\t}\n\t});\n\treturn changedAttributes;\n};\n\n/*\nCheck for the presence of an attribute\n*/\nWidget.prototype.hasAttribute = function(name) {\n\treturn $tw.utils.hop(this.attributes,name);\n};\n\n/*\nGet the value of an attribute\n*/\nWidget.prototype.getAttribute = function(name,defaultText) {\n\tif($tw.utils.hop(this.attributes,name)) {\n\t\treturn this.attributes[name];\n\t} else {\n\t\treturn defaultText;\n\t}\n};\n\n/*\nAssign the computed attributes of the widget to a domNode\noptions include:\nexcludeEventAttributes: ignores attributes whose name begins with \"on\"\n*/\nWidget.prototype.assignAttributes = function(domNode,options) {\n\toptions = options || {};\n\tvar self = this;\n\t$tw.utils.each(this.attributes,function(v,a) {\n\t\t// Check exclusions\n\t\tif(options.excludeEventAttributes && a.substr(0,2) === \"on\") {\n\t\t\tv = undefined;\n\t\t}\n\t\tif(v !== undefined) {\n\t\t\tvar b = a.split(\":\");\n\t\t\t// Setting certain attributes can cause a DOM error (eg xmlns on the svg element)\n\t\t\ttry {\n\t\t\t\tif (b.length == 2 && b[0] == \"xlink\"){\n\t\t\t\t\tdomNode.setAttributeNS(\"http://www.w3.org/1999/xlink\",b[1],v);\n\t\t\t\t} else {\n\t\t\t\t\tdomNode.setAttributeNS(null,a,v);\n\t\t\t\t}\n\t\t\t} catch(e) {\n\t\t\t}\n\t\t}\n\t});\n};\n\n/*\nMake child widgets correspondng to specified parseTreeNodes\n*/\nWidget.prototype.makeChildWidgets = function(parseTreeNodes,options) {\n\toptions = options || {};\n\tthis.children = [];\n\tvar self = this;\n\t// Create set variable widgets for each variable\n\t$tw.utils.each(options.variables,function(value,name) {\n\t\tvar setVariableWidget = {\n\t\t\ttype: \"set\",\n\t\t\tattributes: {\n\t\t\t\tname: {type: \"string\", value: name},\n\t\t\t\tvalue: {type: \"string\", value: value}\n\t\t\t},\n\t\t\tchildren: parseTreeNodes\n\t\t};\n\t\tparseTreeNodes = [setVariableWidget];\n\t});\n\t$tw.utils.each(parseTreeNodes || (this.parseTreeNode && this.parseTreeNode.children),function(childNode) {\n\t\tself.children.push(self.makeChildWidget(childNode));\n\t});\n};\n\n/*\nConstruct the widget object for a parse tree node\noptions include:\n\tvariables: optional hashmap of variables to wrap around the widget\n*/\nWidget.prototype.makeChildWidget = function(parseTreeNode,options) {\n\toptions = options || {};\n\tvar WidgetClass = this.widgetClasses[parseTreeNode.type];\n\tif(!WidgetClass) {\n\t\tWidgetClass = this.widgetClasses.text;\n\t\tparseTreeNode = {type: \"text\", text: \"Undefined widget '\" + parseTreeNode.type + \"'\"};\n\t}\n\t// Create set variable widgets for each variable\n\t$tw.utils.each(options.variables,function(value,name) {\n\t\tvar setVariableWidget = {\n\t\t\ttype: \"set\",\n\t\t\tattributes: {\n\t\t\t\tname: {type: \"string\", value: name},\n\t\t\t\tvalue: {type: \"string\", value: value}\n\t\t\t},\n\t\t\tchildren: [\n\t\t\t\tparseTreeNode\n\t\t\t]\n\t\t};\n\t\tparseTreeNode = setVariableWidget;\n\t});\n\treturn new WidgetClass(parseTreeNode,{\n\t\twiki: this.wiki,\n\t\tparentWidget: this,\n\t\tdocument: this.document\n\t});\n};\n\n/*\nGet the next sibling of this widget\n*/\nWidget.prototype.nextSibling = function() {\n\tif(this.parentWidget) {\n\t\tvar index = this.parentWidget.children.indexOf(this);\n\t\tif(index !== -1 && index < this.parentWidget.children.length-1) {\n\t\t\treturn this.parentWidget.children[index+1];\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nGet the previous sibling of this widget\n*/\nWidget.prototype.previousSibling = function() {\n\tif(this.parentWidget) {\n\t\tvar index = this.parentWidget.children.indexOf(this);\n\t\tif(index !== -1 && index > 0) {\n\t\t\treturn this.parentWidget.children[index-1];\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nRender the children of this widget into the DOM\n*/\nWidget.prototype.renderChildren = function(parent,nextSibling) {\n\tvar children = this.children;\n\tfor(var i = 0; i < children.length; i++) {\n\t\tchildren[i].render(parent,nextSibling);\n\t};\n};\n\n/*\nAdd a list of event listeners from an array [{type:,handler:},...]\n*/\nWidget.prototype.addEventListeners = function(listeners) {\n\tvar self = this;\n\t$tw.utils.each(listeners,function(listenerInfo) {\n\t\tself.addEventListener(listenerInfo.type,listenerInfo.handler);\n\t});\n};\n\n/*\nAdd an event listener\n*/\nWidget.prototype.addEventListener = function(type,handler) {\n\tvar self = this;\n\tif(typeof handler === \"string\") { // The handler is a method name on this widget\n\t\tthis.eventListeners[type] = function(event) {\n\t\t\treturn self[handler].call(self,event);\n\t\t};\n\t} else { // The handler is a function\n\t\tthis.eventListeners[type] = function(event) {\n\t\t\treturn handler.call(self,event);\n\t\t};\n\t}\n};\n\n/*\nDispatch an event to a widget. If the widget doesn't handle the event then it is also dispatched to the parent widget\n*/\nWidget.prototype.dispatchEvent = function(event) {\n\tevent.widget = event.widget || this;\n\t// Dispatch the event if this widget handles it\n\tvar listener = this.eventListeners[event.type];\n\tif(listener) {\n\t\t// Don't propagate the event if the listener returned false\n\t\tif(!listener(event)) {\n\t\t\treturn false;\n\t\t}\n\t}\n\t// Dispatch the event to the parent widget\n\tif(this.parentWidget) {\n\t\treturn this.parentWidget.dispatchEvent(event);\n\t}\n\treturn true;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nWidget.prototype.refresh = function(changedTiddlers) {\n\treturn this.refreshChildren(changedTiddlers);\n};\n\n/*\nRebuild a previously rendered widget\n*/\nWidget.prototype.refreshSelf = function() {\n\tvar nextSibling = this.findNextSiblingDomNode();\n\tthis.removeChildDomNodes();\n\tthis.render(this.parentDomNode,nextSibling);\n};\n\n/*\nRefresh all the children of a widget\n*/\nWidget.prototype.refreshChildren = function(changedTiddlers) {\n\tvar children = this.children,\n\t\trefreshed = false;\n\tfor (var i = 0; i < children.length; i++) {\n\t\trefreshed = children[i].refresh(changedTiddlers) || refreshed;\n\t}\n\treturn refreshed;\n};\n\n/*\nFind the next sibling in the DOM to this widget. This is done by scanning the widget tree through all next siblings and their descendents that share the same parent DOM node\n*/\nWidget.prototype.findNextSiblingDomNode = function(startIndex) {\n\t// Refer to this widget by its index within its parents children\n\tvar parent = this.parentWidget,\n\t\tindex = startIndex !== undefined ? startIndex : parent.children.indexOf(this);\nif(index === -1) {\n\tthrow \"node not found in parents children\";\n}\n\t// Look for a DOM node in the later siblings\n\twhile(++index < parent.children.length) {\n\t\tvar domNode = parent.children[index].findFirstDomNode();\n\t\tif(domNode) {\n\t\t\treturn domNode;\n\t\t}\n\t}\n\t// Go back and look for later siblings of our parent if it has the same parent dom node\n\tvar grandParent = parent.parentWidget;\n\tif(grandParent && parent.parentDomNode === this.parentDomNode) {\n\t\tindex = grandParent.children.indexOf(parent);\n\t\tif(index !== -1) {\n\t\t\treturn parent.findNextSiblingDomNode(index);\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nFind the first DOM node generated by a widget or its children\n*/\nWidget.prototype.findFirstDomNode = function() {\n\t// Return the first dom node of this widget, if we've got one\n\tif(this.domNodes.length > 0) {\n\t\treturn this.domNodes[0];\n\t}\n\t// Otherwise, recursively call our children\n\tfor(var t=0; t<this.children.length; t++) {\n\t\tvar domNode = this.children[t].findFirstDomNode();\n\t\tif(domNode) {\n\t\t\treturn domNode;\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nRemove any DOM nodes created by this widget or its children\n*/\nWidget.prototype.removeChildDomNodes = function() {\n\t// If this widget has directly created DOM nodes, delete them and exit. This assumes that any child widgets are contained within the created DOM nodes, which would normally be the case\n\tif(this.domNodes.length > 0) {\n\t\t$tw.utils.each(this.domNodes,function(domNode) {\n\t\t\tdomNode.parentNode.removeChild(domNode);\n\t\t});\n\t\tthis.domNodes = [];\n\t} else {\n\t\t// Otherwise, ask the child widgets to delete their DOM nodes\n\t\t$tw.utils.each(this.children,function(childWidget) {\n\t\t\tchildWidget.removeChildDomNodes();\n\t\t});\n\t}\n};\n\n/*\nInvoke the action widgets that are descendents of the current widget.\n*/\nWidget.prototype.invokeActions = function(triggeringWidget,event) {\n\tvar handled = false;\n\t// For each child widget\n\tfor(var t=0; t<this.children.length; t++) {\n\t\tvar child = this.children[t];\n\t\t// Invoke the child if it is an action widget\n\t\tif(child.invokeAction) {\n\t\t\tchild.refreshSelf();\n\t\t\tif(child.invokeAction(triggeringWidget,event)) {\n\t\t\t\thandled = true;\n\t\t\t}\n\t\t}\n\t\t// Propagate through through the child if it permits it\n\t\tif(child.allowActionPropagation() && child.invokeActions(triggeringWidget,event)) {\n\t\t\thandled = true;\n\t\t}\n\t}\n\treturn handled;\n};\n\n/*\nInvoke the action widgets defined in a string\n*/\nWidget.prototype.invokeActionString = function(actions,triggeringWidget,event,variables) {\n\tactions = actions || \"\";\n\tvar parser = this.wiki.parseText(\"text/vnd.tiddlywiki\",actions,{\n\t\t\tparentWidget: this,\n\t\t\tdocument: this.document\n\t\t}),\n\t\twidgetNode = this.wiki.makeWidget(parser,{\n\t\t\tparentWidget: this,\n\t\t\tdocument: this.document,\n\t\t\tvariables: variables\n\t\t});\n\tvar container = this.document.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn widgetNode.invokeActions(this,event);\n};\n\n/*\nExecute action tiddlers by tag\n*/\nWidget.prototype.invokeActionsByTag = function(tag,event,variables) {\n\tvar self = this;\n\t$tw.utils.each(self.wiki.filterTiddlers(\"[all[shadows+tiddlers]tag[\" + tag + \"]!has[draft.of]]\"),function(title) {\n\t\tself.invokeActionString(self.wiki.getTiddlerText(title),self,event,variables);\n\t});\n};\n\nWidget.prototype.allowActionPropagation = function() {\n\treturn true;\n};\n\nexports.widget = Widget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/widgets/wikify.js": {
"title": "$:/core/modules/widgets/wikify.js",
"text": "/*\\\ntitle: $:/core/modules/widgets/wikify.js\ntype: application/javascript\nmodule-type: widget\n\nWidget to wikify text into a variable\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar WikifyWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nWikifyWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nWikifyWidget.prototype.render = function(parent,nextSibling) {\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\tthis.renderChildren(parent,nextSibling);\n};\n\n/*\nCompute the internal state of the widget\n*/\nWikifyWidget.prototype.execute = function() {\n\t// Get our parameters\n\tthis.wikifyName = this.getAttribute(\"name\");\n\tthis.wikifyText = this.getAttribute(\"text\");\n\tthis.wikifyType = this.getAttribute(\"type\");\n\tthis.wikifyMode = this.getAttribute(\"mode\",\"block\");\n\tthis.wikifyOutput = this.getAttribute(\"output\",\"text\");\n\t// Create the parse tree\n\tthis.wikifyParser = this.wiki.parseText(this.wikifyType,this.wikifyText,{\n\t\t\tparseAsInline: this.wikifyMode === \"inline\"\n\t\t});\n\t// Create the widget tree \n\tthis.wikifyWidgetNode = this.wiki.makeWidget(this.wikifyParser,{\n\t\t\tdocument: $tw.fakeDocument,\n\t\t\tparentWidget: this\n\t\t});\n\t// Render the widget tree to the container\n\tthis.wikifyContainer = $tw.fakeDocument.createElement(\"div\");\n\tthis.wikifyWidgetNode.render(this.wikifyContainer,null);\n\tthis.wikifyResult = this.getResult();\n\t// Set context variable\n\tthis.setVariable(this.wikifyName,this.wikifyResult);\n\t// Construct the child widgets\n\tthis.makeChildWidgets();\n};\n\n/*\nReturn the result string\n*/\nWikifyWidget.prototype.getResult = function() {\n\tvar result;\n\tswitch(this.wikifyOutput) {\n\t\tcase \"text\":\n\t\t\tresult = this.wikifyContainer.textContent;\n\t\t\tbreak;\n\t\tcase \"formattedtext\":\n\t\t\tresult = this.wikifyContainer.formattedTextContent;\n\t\t\tbreak;\n\t\tcase \"html\":\n\t\t\tresult = this.wikifyContainer.innerHTML;\n\t\t\tbreak;\n\t\tcase \"parsetree\":\n\t\t\tresult = JSON.stringify(this.wikifyParser.tree,0,$tw.config.preferences.jsonSpaces);\n\t\t\tbreak;\n\t\tcase \"widgettree\":\n\t\t\tresult = JSON.stringify(this.getWidgetTree(),0,$tw.config.preferences.jsonSpaces);\n\t\t\tbreak;\n\t}\n\treturn result;\n};\n\n/*\nReturn a string of the widget tree\n*/\nWikifyWidget.prototype.getWidgetTree = function() {\n\tvar copyNode = function(widgetNode,resultNode) {\n\t\t\tvar type = widgetNode.parseTreeNode.type;\n\t\t\tresultNode.type = type;\n\t\t\tswitch(type) {\n\t\t\t\tcase \"element\":\n\t\t\t\t\tresultNode.tag = widgetNode.parseTreeNode.tag;\n\t\t\t\t\tbreak;\n\t\t\t\tcase \"text\":\n\t\t\t\t\tresultNode.text = widgetNode.parseTreeNode.text;\n\t\t\t\t\tbreak;\t\n\t\t\t}\n\t\t\tif(Object.keys(widgetNode.attributes || {}).length > 0) {\n\t\t\t\tresultNode.attributes = {};\n\t\t\t\t$tw.utils.each(widgetNode.attributes,function(attr,attrName) {\n\t\t\t\t\tresultNode.attributes[attrName] = widgetNode.getAttribute(attrName);\n\t\t\t\t});\n\t\t\t}\n\t\t\tif(Object.keys(widgetNode.children || {}).length > 0) {\n\t\t\t\tresultNode.children = [];\n\t\t\t\t$tw.utils.each(widgetNode.children,function(widgetChildNode) {\n\t\t\t\t\tvar node = {};\n\t\t\t\t\tresultNode.children.push(node);\n\t\t\t\t\tcopyNode(widgetChildNode,node);\n\t\t\t\t});\n\t\t\t}\n\t\t},\n\t\tresults = {};\n\tcopyNode(this.wikifyWidgetNode,results);\n\treturn results;\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nWikifyWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\t// Refresh ourselves entirely if any of our attributes have changed\n\tif(changedAttributes.name || changedAttributes.text || changedAttributes.type || changedAttributes.mode || changedAttributes.output) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\t// Refresh the widget tree\n\t\tif(this.wikifyWidgetNode.refresh(changedTiddlers)) {\n\t\t\t// Check if there was any change\n\t\t\tvar result = this.getResult();\n\t\t\tif(result !== this.wikifyResult) {\n\t\t\t\t// If so, save the change\n\t\t\t\tthis.wikifyResult = result;\n\t\t\t\tthis.setVariable(this.wikifyName,this.wikifyResult);\n\t\t\t\t// Refresh each of our child widgets\n\t\t\t\t$tw.utils.each(this.children,function(childWidget) {\n\t\t\t\t\tchildWidget.refreshSelf();\n\t\t\t\t});\n\t\t\t\treturn true;\n\t\t\t}\n\t\t}\n\t\t// Just refresh the children\n\t\treturn this.refreshChildren(changedTiddlers);\n\t}\n};\n\nexports.wikify = WikifyWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/core/modules/wiki-bulkops.js": {
"title": "$:/core/modules/wiki-bulkops.js",
"text": "/*\\\ntitle: $:/core/modules/wiki-bulkops.js\ntype: application/javascript\nmodule-type: wikimethod\n\nBulk tiddler operations such as rename.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nRename a tiddler, and relink any tags or lists that reference it.\n*/\nfunction renameTiddler(fromTitle,toTitle,options) {\n\tfromTitle = (fromTitle || \"\").trim();\n\ttoTitle = (toTitle || \"\").trim();\n\toptions = options || {};\n\tif(fromTitle && toTitle && fromTitle !== toTitle) {\n\t\t// Rename the tiddler itself\n\t\tvar oldTiddler = this.getTiddler(fromTitle),\n\t\t\tnewTiddler = new $tw.Tiddler(oldTiddler,{title: toTitle},this.getModificationFields());\n\t\tnewTiddler = $tw.hooks.invokeHook(\"th-renaming-tiddler\",newTiddler,oldTiddler);\n\t\tthis.addTiddler(newTiddler);\n\t\tthis.deleteTiddler(fromTitle);\n\t\t// Rename any tags or lists that reference it\n\t\tthis.relinkTiddler(fromTitle,toTitle,options)\n\t}\n}\n\n/*\nRelink any tags or lists that reference a given tiddler\n*/\nfunction relinkTiddler(fromTitle,toTitle,options) {\n\tvar self = this;\n\tfromTitle = (fromTitle || \"\").trim();\n\ttoTitle = (toTitle || \"\").trim();\n\toptions = options || {};\n\tif(fromTitle && toTitle && fromTitle !== toTitle) {\n\t\tthis.each(function(tiddler,title) {\n\t\t\tvar type = tiddler.fields.type || \"\";\n\t\t\t// Don't touch plugins or JavaScript modules\n\t\t\tif(!tiddler.fields[\"plugin-type\"] && type !== \"application/javascript\") {\n\t\t\t\tvar tags = tiddler.fields.tags ? tiddler.fields.tags.slice(0) : undefined,\n\t\t\t\t\tlist = tiddler.fields.list ? tiddler.fields.list.slice(0) : undefined,\n\t\t\t\t\tisModified = false;\n\t\t\t\tif(!options.dontRenameInTags) {\n\t\t\t\t\t// Rename tags\n\t\t\t\t\t$tw.utils.each(tags,function (title,index) {\n\t\t\t\t\t\tif(title === fromTitle) {\nconsole.log(\"Renaming tag '\" + tags[index] + \"' to '\" + toTitle + \"' of tiddler '\" + tiddler.fields.title + \"'\");\n\t\t\t\t\t\t\ttags[index] = toTitle;\n\t\t\t\t\t\t\tisModified = true;\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t\tif(!options.dontRenameInLists) {\n\t\t\t\t\t// Rename lists\n\t\t\t\t\t$tw.utils.each(list,function (title,index) {\n\t\t\t\t\t\tif(title === fromTitle) {\nconsole.log(\"Renaming list item '\" + list[index] + \"' to '\" + toTitle + \"' of tiddler '\" + tiddler.fields.title + \"'\");\n\t\t\t\t\t\t\tlist[index] = toTitle;\n\t\t\t\t\t\t\tisModified = true;\n\t\t\t\t\t\t}\n\t\t\t\t\t});\n\t\t\t\t}\n\t\t\t\tif(isModified) {\n\t\t\t\t\tvar newTiddler = new $tw.Tiddler(tiddler,{tags: tags, list: list},self.getModificationFields())\n\t\t\t\t\tnewTiddler = $tw.hooks.invokeHook(\"th-relinking-tiddler\",newTiddler,tiddler);\n\t\t\t\t\tself.addTiddler(newTiddler);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n};\n\nexports.renameTiddler = renameTiddler;\nexports.relinkTiddler = relinkTiddler;\n\n})();\n",
"type": "application/javascript",
"module-type": "wikimethod"
},
"$:/core/modules/wiki.js": {
"title": "$:/core/modules/wiki.js",
"text": "/*\\\ntitle: $:/core/modules/wiki.js\ntype: application/javascript\nmodule-type: wikimethod\n\nExtension methods for the $tw.Wiki object\n\nAdds the following properties to the wiki object:\n\n* `eventListeners` is a hashmap by type of arrays of listener functions\n* `changedTiddlers` is a hashmap describing changes to named tiddlers since wiki change events were last dispatched. Each entry is a hashmap containing two fields:\n\tmodified: true/false\n\tdeleted: true/false\n* `changeCount` is a hashmap by tiddler title containing a numerical index that starts at zero and is incremented each time a tiddler is created changed or deleted\n* `caches` is a hashmap by tiddler title containing a further hashmap of named cache objects. Caches are automatically cleared when a tiddler is modified or deleted\n* `globalCache` is a hashmap by cache name of cache objects that are cleared whenever any tiddler change occurs\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar widget = require(\"$:/core/modules/widgets/widget.js\");\n\nvar USER_NAME_TITLE = \"$:/status/UserName\",\n\tTIMESTAMP_DISABLE_TITLE = \"$:/config/TimestampDisable\";\n\n/*\nAdd available indexers to this wiki\n*/\nexports.addIndexersToWiki = function() {\n\tvar self = this;\n\t$tw.utils.each($tw.modules.applyMethods(\"indexer\"),function(Indexer,name) {\n\t\tself.addIndexer(new Indexer(self),name);\n\t});\n};\n\n/*\nGet the value of a text reference. Text references can have any of these forms:\n\t<tiddlertitle>\n\t<tiddlertitle>!!<fieldname>\n\t!!<fieldname> - specifies a field of the current tiddlers\n\t<tiddlertitle>##<index>\n*/\nexports.getTextReference = function(textRef,defaultText,currTiddlerTitle) {\n\tvar tr = $tw.utils.parseTextReference(textRef),\n\t\ttitle = tr.title || currTiddlerTitle;\n\tif(tr.field) {\n\t\tvar tiddler = this.getTiddler(title);\n\t\tif(tr.field === \"title\") { // Special case so we can return the title of a non-existent tiddler\n\t\t\treturn title;\n\t\t} else if(tiddler && $tw.utils.hop(tiddler.fields,tr.field)) {\n\t\t\treturn tiddler.getFieldString(tr.field);\n\t\t} else {\n\t\t\treturn defaultText;\n\t\t}\n\t} else if(tr.index) {\n\t\treturn this.extractTiddlerDataItem(title,tr.index,defaultText);\n\t} else {\n\t\treturn this.getTiddlerText(title,defaultText);\n\t}\n};\n\nexports.setTextReference = function(textRef,value,currTiddlerTitle) {\n\tvar tr = $tw.utils.parseTextReference(textRef),\n\t\ttitle = tr.title || currTiddlerTitle;\n\tthis.setText(title,tr.field,tr.index,value);\n};\n\nexports.setText = function(title,field,index,value,options) {\n\toptions = options || {};\n\tvar creationFields = options.suppressTimestamp ? {} : this.getCreationFields(),\n\t\tmodificationFields = options.suppressTimestamp ? {} : this.getModificationFields();\n\t// Check if it is a reference to a tiddler field\n\tif(index) {\n\t\tvar data = this.getTiddlerData(title,Object.create(null));\n\t\tif(value !== undefined) {\n\t\t\tdata[index] = value;\n\t\t} else {\n\t\t\tdelete data[index];\n\t\t}\n\t\tthis.setTiddlerData(title,data,modificationFields);\n\t} else {\n\t\tvar tiddler = this.getTiddler(title),\n\t\t\tfields = {title: title};\n\t\tfields[field || \"text\"] = value;\n\t\tthis.addTiddler(new $tw.Tiddler(creationFields,tiddler,fields,modificationFields));\n\t}\n};\n\nexports.deleteTextReference = function(textRef,currTiddlerTitle) {\n\tvar tr = $tw.utils.parseTextReference(textRef),\n\t\ttitle,tiddler,fields;\n\t// Check if it is a reference to a tiddler\n\tif(tr.title && !tr.field) {\n\t\tthis.deleteTiddler(tr.title);\n\t// Else check for a field reference\n\t} else if(tr.field) {\n\t\ttitle = tr.title || currTiddlerTitle;\n\t\ttiddler = this.getTiddler(title);\n\t\tif(tiddler && $tw.utils.hop(tiddler.fields,tr.field)) {\n\t\t\tfields = Object.create(null);\n\t\t\tfields[tr.field] = undefined;\n\t\t\tthis.addTiddler(new $tw.Tiddler(tiddler,fields,this.getModificationFields()));\n\t\t}\n\t}\n};\n\nexports.addEventListener = function(type,listener) {\n\tthis.eventListeners = this.eventListeners || {};\n\tthis.eventListeners[type] = this.eventListeners[type] || [];\n\tthis.eventListeners[type].push(listener);\t\n};\n\nexports.removeEventListener = function(type,listener) {\n\tvar listeners = this.eventListeners[type];\n\tif(listeners) {\n\t\tvar p = listeners.indexOf(listener);\n\t\tif(p !== -1) {\n\t\t\tlisteners.splice(p,1);\n\t\t}\n\t}\n};\n\nexports.dispatchEvent = function(type /*, args */) {\n\tvar args = Array.prototype.slice.call(arguments,1),\n\t\tlisteners = this.eventListeners[type];\n\tif(listeners) {\n\t\tfor(var p=0; p<listeners.length; p++) {\n\t\t\tvar listener = listeners[p];\n\t\t\tlistener.apply(listener,args);\n\t\t}\n\t}\n};\n\n/*\nCauses a tiddler to be marked as changed, incrementing the change count, and triggers event handlers.\nThis method should be called after the changes it describes have been made to the wiki.tiddlers[] array.\n\ttitle: Title of tiddler\n\tisDeleted: defaults to false (meaning the tiddler has been created or modified),\n\t\ttrue if the tiddler has been deleted\n*/\nexports.enqueueTiddlerEvent = function(title,isDeleted) {\n\t// Record the touch in the list of changed tiddlers\n\tthis.changedTiddlers = this.changedTiddlers || Object.create(null);\n\tthis.changedTiddlers[title] = this.changedTiddlers[title] || Object.create(null);\n\tthis.changedTiddlers[title][isDeleted ? \"deleted\" : \"modified\"] = true;\n\t// Increment the change count\n\tthis.changeCount = this.changeCount || Object.create(null);\n\tif($tw.utils.hop(this.changeCount,title)) {\n\t\tthis.changeCount[title]++;\n\t} else {\n\t\tthis.changeCount[title] = 1;\n\t}\n\t// Trigger events\n\tthis.eventListeners = this.eventListeners || {};\n\tif(!this.eventsTriggered) {\n\t\tvar self = this;\n\t\t$tw.utils.nextTick(function() {\n\t\t\tvar changes = self.changedTiddlers;\n\t\t\tself.changedTiddlers = Object.create(null);\n\t\t\tself.eventsTriggered = false;\n\t\t\tif($tw.utils.count(changes) > 0) {\n\t\t\t\tself.dispatchEvent(\"change\",changes);\n\t\t\t}\n\t\t});\n\t\tthis.eventsTriggered = true;\n\t}\n};\n\nexports.getSizeOfTiddlerEventQueue = function() {\n\treturn $tw.utils.count(this.changedTiddlers);\n};\n\nexports.clearTiddlerEventQueue = function() {\n\tthis.changedTiddlers = Object.create(null);\n\tthis.changeCount = Object.create(null);\n};\n\nexports.getChangeCount = function(title) {\n\tthis.changeCount = this.changeCount || Object.create(null);\n\tif($tw.utils.hop(this.changeCount,title)) {\n\t\treturn this.changeCount[title];\n\t} else {\n\t\treturn 0;\n\t}\n};\n\n/*\nGenerate an unused title from the specified base\n*/\nexports.generateNewTitle = function(baseTitle,options) {\n\toptions = options || {};\n\tvar c = 0,\n\t\ttitle = baseTitle;\n\twhile(this.tiddlerExists(title) || this.isShadowTiddler(title) || this.findDraft(title)) {\n\t\ttitle = baseTitle + \n\t\t\t(options.prefix || \" \") + \n\t\t\t(++c);\n\t}\n\treturn title;\n};\n\nexports.isSystemTiddler = function(title) {\n\treturn title && title.indexOf(\"$:/\") === 0;\n};\n\nexports.isTemporaryTiddler = function(title) {\n\treturn title && title.indexOf(\"$:/temp/\") === 0;\n};\n\nexports.isImageTiddler = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\t\t\n\t\tvar contentTypeInfo = $tw.config.contentTypeInfo[tiddler.fields.type || \"text/vnd.tiddlywiki\"];\n\t\treturn !!contentTypeInfo && contentTypeInfo.flags.indexOf(\"image\") !== -1;\n\t} else {\n\t\treturn null;\n\t}\n};\n\nexports.isBinaryTiddler = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\t\t\n\t\tvar contentTypeInfo = $tw.config.contentTypeInfo[tiddler.fields.type || \"text/vnd.tiddlywiki\"];\n\t\treturn !!contentTypeInfo && contentTypeInfo.encoding === \"base64\";\n\t} else {\n\t\treturn null;\n\t}\n};\n\n/*\nLike addTiddler() except it will silently reject any plugin tiddlers that are older than the currently loaded version. Returns true if the tiddler was imported\n*/\nexports.importTiddler = function(tiddler) {\n\tvar existingTiddler = this.getTiddler(tiddler.fields.title);\n\t// Check if we're dealing with a plugin\n\tif(tiddler && tiddler.hasField(\"plugin-type\") && tiddler.hasField(\"version\") && existingTiddler && existingTiddler.hasField(\"plugin-type\") && existingTiddler.hasField(\"version\")) {\n\t\t// Reject the incoming plugin if it is older\n\t\tif(!$tw.utils.checkVersions(tiddler.fields.version,existingTiddler.fields.version)) {\n\t\t\treturn false;\n\t\t}\n\t}\n\t// Fall through to adding the tiddler\n\tthis.addTiddler(tiddler);\n\treturn true;\n};\n\n/*\nReturn a hashmap of the fields that should be set when a tiddler is created\n*/\nexports.getCreationFields = function() {\n\tif(this.getTiddlerText(TIMESTAMP_DISABLE_TITLE,\"\").toLowerCase() !== \"yes\") {\n\t\tvar fields = {\n\t\t\t\tcreated: new Date()\n\t\t\t},\n\t\t\tcreator = this.getTiddlerText(USER_NAME_TITLE);\n\t\tif(creator) {\n\t\t\tfields.creator = creator;\n\t\t}\n\t\treturn fields;\n\t} else {\n\t\treturn {};\n\t}\n};\n\n/*\nReturn a hashmap of the fields that should be set when a tiddler is modified\n*/\nexports.getModificationFields = function() {\n\tif(this.getTiddlerText(TIMESTAMP_DISABLE_TITLE,\"\").toLowerCase() !== \"yes\") {\n\t\tvar fields = Object.create(null),\n\t\t\tmodifier = this.getTiddlerText(USER_NAME_TITLE);\n\t\tfields.modified = new Date();\n\t\tif(modifier) {\n\t\t\tfields.modifier = modifier;\n\t\t}\n\t\treturn fields;\n\t} else {\n\t\treturn {};\n\t}\n};\n\n/*\nReturn a sorted array of tiddler titles. Options include:\nsortField: field to sort by\nexcludeTag: tag to exclude\nincludeSystem: whether to include system tiddlers (defaults to false)\n*/\nexports.getTiddlers = function(options) {\n\toptions = options || Object.create(null);\n\tvar self = this,\n\t\tsortField = options.sortField || \"title\",\n\t\ttiddlers = [], t, titles = [];\n\tthis.each(function(tiddler,title) {\n\t\tif(options.includeSystem || !self.isSystemTiddler(title)) {\n\t\t\tif(!options.excludeTag || !tiddler.hasTag(options.excludeTag)) {\n\t\t\t\ttiddlers.push(tiddler);\n\t\t\t}\n\t\t}\n\t});\n\ttiddlers.sort(function(a,b) {\n\t\tvar aa = a.fields[sortField].toLowerCase() || \"\",\n\t\t\tbb = b.fields[sortField].toLowerCase() || \"\";\n\t\tif(aa < bb) {\n\t\t\treturn -1;\n\t\t} else {\n\t\t\tif(aa > bb) {\n\t\t\t\treturn 1;\n\t\t\t} else {\n\t\t\t\treturn 0;\n\t\t\t}\n\t\t}\n\t});\n\tfor(t=0; t<tiddlers.length; t++) {\n\t\ttitles.push(tiddlers[t].fields.title);\n\t}\n\treturn titles;\n};\n\nexports.countTiddlers = function(excludeTag) {\n\tvar tiddlers = this.getTiddlers({excludeTag: excludeTag});\n\treturn $tw.utils.count(tiddlers);\n};\n\n/*\nReturns a function iterator(callback) that iterates through the specified titles, and invokes the callback with callback(tiddler,title)\n*/\nexports.makeTiddlerIterator = function(titles) {\n\tvar self = this;\n\tif(!$tw.utils.isArray(titles)) {\n\t\ttitles = Object.keys(titles);\n\t} else {\n\t\ttitles = titles.slice(0);\n\t}\n\treturn function(callback) {\n\t\ttitles.forEach(function(title) {\n\t\t\tcallback(self.getTiddler(title),title);\n\t\t});\n\t};\n};\n\n/*\nSort an array of tiddler titles by a specified field\n\ttitles: array of titles (sorted in place)\n\tsortField: name of field to sort by\n\tisDescending: true if the sort should be descending\n\tisCaseSensitive: true if the sort should consider upper and lower case letters to be different\n*/\nexports.sortTiddlers = function(titles,sortField,isDescending,isCaseSensitive,isNumeric,isAlphaNumeric) {\n\tvar self = this;\n\ttitles.sort(function(a,b) {\n\t\tvar x,y,\n\t\t\tcompareNumbers = function(x,y) {\n\t\t\t\tvar result = \n\t\t\t\t\tisNaN(x) && !isNaN(y) ? (isDescending ? -1 : 1) :\n\t\t\t\t\t!isNaN(x) && isNaN(y) ? (isDescending ? 1 : -1) :\n\t\t\t\t\t\t\t\t\t\t\t(isDescending ? y - x : x - y);\n\t\t\t\treturn result;\n\t\t\t};\n\t\tif(sortField !== \"title\") {\n\t\t\tvar tiddlerA = self.getTiddler(a),\n\t\t\t\ttiddlerB = self.getTiddler(b);\n\t\t\tif(tiddlerA) {\n\t\t\t\ta = tiddlerA.fields[sortField] || \"\";\n\t\t\t} else {\n\t\t\t\ta = \"\";\n\t\t\t}\n\t\t\tif(tiddlerB) {\n\t\t\t\tb = tiddlerB.fields[sortField] || \"\";\n\t\t\t} else {\n\t\t\t\tb = \"\";\n\t\t\t}\n\t\t}\n\t\tx = Number(a);\n\t\ty = Number(b);\n\t\tif(isNumeric && (!isNaN(x) || !isNaN(y))) {\n\t\t\treturn compareNumbers(x,y);\n\t\t} else if($tw.utils.isDate(a) && $tw.utils.isDate(b)) {\n\t\t\treturn isDescending ? b - a : a - b;\n\t\t} else if(isAlphaNumeric) {\n\t\t\treturn isDescending ? b.localeCompare(a,undefined,{numeric: true,sensitivity: \"base\"}) : a.localeCompare(b,undefined,{numeric: true,sensitivity: \"base\"});\n\t\t} else {\n\t\t\ta = String(a);\n\t\t\tb = String(b);\n\t\t\tif(!isCaseSensitive) {\n\t\t\t\ta = a.toLowerCase();\n\t\t\t\tb = b.toLowerCase();\n\t\t\t}\n\t\t\treturn isDescending ? b.localeCompare(a) : a.localeCompare(b);\n\t\t}\n\t});\n};\n\n/*\nFor every tiddler invoke a callback(title,tiddler) with `this` set to the wiki object. Options include:\nsortField: field to sort by\nexcludeTag: tag to exclude\nincludeSystem: whether to include system tiddlers (defaults to false)\n*/\nexports.forEachTiddler = function(/* [options,]callback */) {\n\tvar arg = 0,\n\t\toptions = arguments.length >= 2 ? arguments[arg++] : {},\n\t\tcallback = arguments[arg++],\n\t\ttitles = this.getTiddlers(options),\n\t\tt, tiddler;\n\tfor(t=0; t<titles.length; t++) {\n\t\ttiddler = this.getTiddler(titles[t]);\n\t\tif(tiddler) {\n\t\t\tcallback.call(this,tiddler.fields.title,tiddler);\n\t\t}\n\t}\n};\n\n/*\nReturn an array of tiddler titles that are directly linked within the given parse tree\n */\nexports.extractLinks = function(parseTreeRoot) {\n\t// Count up the links\n\tvar links = [],\n\t\tcheckParseTree = function(parseTree) {\n\t\t\tfor(var t=0; t<parseTree.length; t++) {\n\t\t\t\tvar parseTreeNode = parseTree[t];\n\t\t\t\tif(parseTreeNode.type === \"link\" && parseTreeNode.attributes.to && parseTreeNode.attributes.to.type === \"string\") {\n\t\t\t\t\tvar value = parseTreeNode.attributes.to.value;\n\t\t\t\t\tif(links.indexOf(value) === -1) {\n\t\t\t\t\t\tlinks.push(value);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tif(parseTreeNode.children) {\n\t\t\t\t\tcheckParseTree(parseTreeNode.children);\n\t\t\t\t}\n\t\t\t}\n\t\t};\n\tcheckParseTree(parseTreeRoot);\n\treturn links;\n};\n\n/*\nReturn an array of tiddler titles that are directly linked from the specified tiddler\n*/\nexports.getTiddlerLinks = function(title) {\n\tvar self = this;\n\t// We'll cache the links so they only get computed if the tiddler changes\n\treturn this.getCacheForTiddler(title,\"links\",function() {\n\t\t// Parse the tiddler\n\t\tvar parser = self.parseTiddler(title);\n\t\tif(parser) {\n\t\t\treturn self.extractLinks(parser.tree);\n\t\t}\n\t\treturn [];\n\t});\n};\n\n/*\nReturn an array of tiddler titles that link to the specified tiddler\n*/\nexports.getTiddlerBacklinks = function(targetTitle) {\n\tvar self = this,\n\t\tbacklinksIndexer = this.getIndexer(\"BacklinksIndexer\"),\n\t\tbacklinks = backlinksIndexer && backlinksIndexer.lookup(targetTitle);\n\n\tif(!backlinks) {\n\t\tbacklinks = [];\n\t\tthis.forEachTiddler(function(title,tiddler) {\n\t\t\tvar links = self.getTiddlerLinks(title);\n\t\t\tif(links.indexOf(targetTitle) !== -1) {\n\t\t\t\tbacklinks.push(title);\n\t\t\t}\n\t\t});\n\t}\n\treturn backlinks;\n};\n\n/*\nReturn a hashmap of tiddler titles that are referenced but not defined. Each value is the number of times the missing tiddler is referenced\n*/\nexports.getMissingTitles = function() {\n\tvar self = this,\n\t\tmissing = [];\n// We should cache the missing tiddler list, even if we recreate it every time any tiddler is modified\n\tthis.forEachTiddler(function(title,tiddler) {\n\t\tvar links = self.getTiddlerLinks(title);\n\t\t$tw.utils.each(links,function(link) {\n\t\t\tif((!self.tiddlerExists(link) && !self.isShadowTiddler(link)) && missing.indexOf(link) === -1) {\n\t\t\t\tmissing.push(link);\n\t\t\t}\n\t\t});\n\t});\n\treturn missing;\n};\n\nexports.getOrphanTitles = function() {\n\tvar self = this,\n\t\torphans = this.getTiddlers();\n\tthis.forEachTiddler(function(title,tiddler) {\n\t\tvar links = self.getTiddlerLinks(title);\n\t\t$tw.utils.each(links,function(link) {\n\t\t\tvar p = orphans.indexOf(link);\n\t\t\tif(p !== -1) {\n\t\t\t\torphans.splice(p,1);\n\t\t\t}\n\t\t});\n\t});\n\treturn orphans; // Todo\n};\n\n/*\nRetrieves a list of the tiddler titles that are tagged with a given tag\n*/\nexports.getTiddlersWithTag = function(tag) {\n\t// Try to use the indexer\n\tvar self = this,\n\t\ttagIndexer = this.getIndexer(\"TagIndexer\"),\n\t\tresults = tagIndexer && tagIndexer.subIndexers[3].lookup(tag);\n\tif(!results) {\n\t\t// If not available, perform a manual scan\n\t\tresults = this.getGlobalCache(\"taglist-\" + tag,function() {\n\t\t\tvar tagmap = self.getTagMap();\n\t\t\treturn self.sortByList(tagmap[tag],tag);\n\t\t});\n\t}\n\treturn results;\n};\n\n/*\nGet a hashmap by tag of arrays of tiddler titles\n*/\nexports.getTagMap = function() {\n\tvar self = this;\n\treturn this.getGlobalCache(\"tagmap\",function() {\n\t\tvar tags = Object.create(null),\n\t\t\tstoreTags = function(tagArray,title) {\n\t\t\t\tif(tagArray) {\n\t\t\t\t\tfor(var index=0; index<tagArray.length; index++) {\n\t\t\t\t\t\tvar tag = tagArray[index];\n\t\t\t\t\t\tif($tw.utils.hop(tags,tag)) {\n\t\t\t\t\t\t\ttags[tag].push(title);\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\ttags[tag] = [title];\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t},\n\t\t\ttitle, tiddler;\n\t\t// Collect up all the tags\n\t\tself.eachShadow(function(tiddler,title) {\n\t\t\tif(!self.tiddlerExists(title)) {\n\t\t\t\ttiddler = self.getTiddler(title);\n\t\t\t\tstoreTags(tiddler.fields.tags,title);\n\t\t\t}\n\t\t});\n\t\tself.each(function(tiddler,title) {\n\t\t\tstoreTags(tiddler.fields.tags,title);\n\t\t});\n\t\treturn tags;\n\t});\n};\n\n/*\nLookup a given tiddler and return a list of all the tiddlers that include it in the specified list field\n*/\nexports.findListingsOfTiddler = function(targetTitle,fieldName) {\n\tfieldName = fieldName || \"list\";\n\tvar titles = [];\n\tthis.each(function(tiddler,title) {\n\t\tvar list = $tw.utils.parseStringArray(tiddler.fields[fieldName]);\n\t\tif(list && list.indexOf(targetTitle) !== -1) {\n\t\t\ttitles.push(title);\n\t\t}\n\t});\n\treturn titles;\n};\n\n/*\nSorts an array of tiddler titles according to an ordered list\n*/\nexports.sortByList = function(array,listTitle) {\n\tvar self = this,\n\t\treplacedTitles = Object.create(null);\n\t// Given a title, this function will place it in the correct location\n\t// within titles.\n\tfunction moveItemInList(title) {\n\t\tif(!$tw.utils.hop(replacedTitles, title)) {\n\t\t\treplacedTitles[title] = true;\n\t\t\tvar newPos = -1,\n\t\t\t\ttiddler = self.getTiddler(title);\n\t\t\tif(tiddler) {\n\t\t\t\tvar beforeTitle = tiddler.fields[\"list-before\"],\n\t\t\t\t\tafterTitle = tiddler.fields[\"list-after\"];\n\t\t\t\tif(beforeTitle === \"\") {\n\t\t\t\t\tnewPos = 0;\n\t\t\t\t} else if(afterTitle === \"\") {\n\t\t\t\t\tnewPos = titles.length;\n\t\t\t\t} else if(beforeTitle) {\n\t\t\t\t\t// if this title is placed relative\n\t\t\t\t\t// to another title, make sure that\n\t\t\t\t\t// title is placed before we place\n\t\t\t\t\t// this one.\n\t\t\t\t\tmoveItemInList(beforeTitle);\n\t\t\t\t\tnewPos = titles.indexOf(beforeTitle);\n\t\t\t\t} else if(afterTitle) {\n\t\t\t\t\t// Same deal\n\t\t\t\t\tmoveItemInList(afterTitle);\n\t\t\t\t\tnewPos = titles.indexOf(afterTitle);\n\t\t\t\t\tif(newPos >= 0) {\n\t\t\t\t\t\t++newPos;\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\t// If a new position is specified, let's move it\n\t\t\t\tif (newPos !== -1) {\n\t\t\t\t\t// get its current Pos, and make sure\n\t\t\t\t\t// sure that it's _actually_ in the list\n\t\t\t\t\t// and that it would _actually_ move\n\t\t\t\t\t// (#4275) We don't bother calling\n\t\t\t\t\t// indexOf unless we have a new\n\t\t\t\t\t// position to work with\n\t\t\t\t\tvar currPos = titles.indexOf(title);\n\t\t\t\t\tif(currPos >= 0 && newPos !== currPos) {\n\t\t\t\t\t\t// move it!\n\t\t\t\t\t\ttitles.splice(currPos,1);\n\t\t\t\t\t\tif(newPos >= currPos) {\n\t\t\t\t\t\t\tnewPos--;\n\t\t\t\t\t\t}\n\t\t\t\t\t\ttitles.splice(newPos,0,title);\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\tvar list = this.getTiddlerList(listTitle);\n\tif(!array || array.length === 0) {\n\t\treturn [];\n\t} else {\n\t\tvar titles = [], t, title;\n\t\t// First place any entries that are present in the list\n\t\tfor(t=0; t<list.length; t++) {\n\t\t\ttitle = list[t];\n\t\t\tif(array.indexOf(title) !== -1) {\n\t\t\t\ttitles.push(title);\n\t\t\t}\n\t\t}\n\t\t// Then place any remaining entries\n\t\tfor(t=0; t<array.length; t++) {\n\t\t\ttitle = array[t];\n\t\t\tif(list.indexOf(title) === -1) {\n\t\t\t\ttitles.push(title);\n\t\t\t}\n\t\t}\n\t\t// Finally obey the list-before and list-after fields of each tiddler in turn\n\t\tvar sortedTitles = titles.slice(0);\n\t\tfor(t=0; t<sortedTitles.length; t++) {\n\t\t\ttitle = sortedTitles[t];\n\t\t\tmoveItemInList(title);\n\t\t}\n\t\treturn titles;\n\t}\n};\n\nexports.getSubTiddler = function(title,subTiddlerTitle) {\n\tvar bundleInfo = this.getPluginInfo(title) || this.getTiddlerDataCached(title);\n\tif(bundleInfo && bundleInfo.tiddlers) {\n\t\tvar subTiddler = bundleInfo.tiddlers[subTiddlerTitle];\n\t\tif(subTiddler) {\n\t\t\treturn new $tw.Tiddler(subTiddler);\n\t\t}\n\t}\n\treturn null;\n};\n\n/*\nRetrieve a tiddler as a JSON string of the fields\n*/\nexports.getTiddlerAsJson = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\n\t\tvar fields = Object.create(null);\n\t\t$tw.utils.each(tiddler.fields,function(value,name) {\n\t\t\tfields[name] = tiddler.getFieldString(name);\n\t\t});\n\t\treturn JSON.stringify(fields);\n\t} else {\n\t\treturn JSON.stringify({title: title});\n\t}\n};\n\nexports.getTiddlersAsJson = function(filter,spaces) {\n\tvar tiddlers = this.filterTiddlers(filter),\n\t\tspaces = (spaces === undefined) ? $tw.config.preferences.jsonSpaces : spaces,\n\t\tdata = [];\n\tfor(var t=0;t<tiddlers.length; t++) {\n\t\tvar tiddler = this.getTiddler(tiddlers[t]);\n\t\tif(tiddler) {\n\t\t\tvar fields = new Object();\n\t\t\tfor(var field in tiddler.fields) {\n\t\t\t\tfields[field] = tiddler.getFieldString(field);\n\t\t\t}\n\t\t\tdata.push(fields);\n\t\t}\n\t}\n\treturn JSON.stringify(data,null,spaces);\n};\n\n/*\nGet the content of a tiddler as a JavaScript object. How this is done depends on the type of the tiddler:\n\napplication/json: the tiddler JSON is parsed into an object\napplication/x-tiddler-dictionary: the tiddler is parsed as sequence of name:value pairs\n\nOther types currently just return null.\n\ntitleOrTiddler: string tiddler title or a tiddler object\ndefaultData: default data to be returned if the tiddler is missing or doesn't contain data\n\nNote that the same value is returned for repeated calls for the same tiddler data. The value is frozen to prevent modification; otherwise modifications would be visible to all callers\n*/\nexports.getTiddlerDataCached = function(titleOrTiddler,defaultData) {\n\tvar self = this,\n\t\ttiddler = titleOrTiddler;\n\tif(!(tiddler instanceof $tw.Tiddler)) {\n\t\ttiddler = this.getTiddler(tiddler);\t\n\t}\n\tif(tiddler) {\n\t\treturn this.getCacheForTiddler(tiddler.fields.title,\"data\",function() {\n\t\t\t// Return the frozen value\n\t\t\tvar value = self.getTiddlerData(tiddler.fields.title,undefined);\n\t\t\t$tw.utils.deepFreeze(value);\n\t\t\treturn value;\n\t\t}) || defaultData;\n\t} else {\n\t\treturn defaultData;\n\t}\n};\n\n/*\nAlternative, uncached version of getTiddlerDataCached(). The return value can be mutated freely and reused\n*/\nexports.getTiddlerData = function(titleOrTiddler,defaultData) {\n\tvar tiddler = titleOrTiddler,\n\t\tdata;\n\tif(!(tiddler instanceof $tw.Tiddler)) {\n\t\ttiddler = this.getTiddler(tiddler);\t\n\t}\n\tif(tiddler && tiddler.fields.text) {\n\t\tswitch(tiddler.fields.type) {\n\t\t\tcase \"application/json\":\n\t\t\t\t// JSON tiddler\n\t\t\t\ttry {\n\t\t\t\t\tdata = JSON.parse(tiddler.fields.text);\n\t\t\t\t} catch(ex) {\n\t\t\t\t\treturn defaultData;\n\t\t\t\t}\n\t\t\t\treturn data;\n\t\t\tcase \"application/x-tiddler-dictionary\":\n\t\t\t\treturn $tw.utils.parseFields(tiddler.fields.text);\n\t\t}\n\t}\n\treturn defaultData;\n};\n\n/*\nExtract an indexed field from within a data tiddler\n*/\nexports.extractTiddlerDataItem = function(titleOrTiddler,index,defaultText) {\n\tvar data = this.getTiddlerDataCached(titleOrTiddler,Object.create(null)),\n\t\ttext;\n\tif(data && $tw.utils.hop(data,index)) {\n\t\ttext = data[index];\n\t}\n\tif(typeof text === \"string\" || typeof text === \"number\") {\n\t\treturn text.toString();\n\t} else {\n\t\treturn defaultText;\n\t}\n};\n\n/*\nSet a tiddlers content to a JavaScript object. Currently this is done by setting the tiddler's type to \"application/json\" and setting the text to the JSON text of the data.\ntitle: title of tiddler\ndata: object that can be serialised to JSON\nfields: optional hashmap of additional tiddler fields to be set\n*/\nexports.setTiddlerData = function(title,data,fields) {\n\tvar existingTiddler = this.getTiddler(title),\n\t\tnewFields = {\n\t\t\ttitle: title\n\t};\n\tif(existingTiddler && existingTiddler.fields.type === \"application/x-tiddler-dictionary\") {\n\t\tnewFields.text = $tw.utils.makeTiddlerDictionary(data);\n\t} else {\n\t\tnewFields.type = \"application/json\";\n\t\tnewFields.text = JSON.stringify(data,null,$tw.config.preferences.jsonSpaces);\n\t}\n\tthis.addTiddler(new $tw.Tiddler(this.getCreationFields(),existingTiddler,fields,newFields,this.getModificationFields()));\n};\n\n/*\nReturn the content of a tiddler as an array containing each line\n*/\nexports.getTiddlerList = function(title,field,index) {\n\tif(index) {\n\t\treturn $tw.utils.parseStringArray(this.extractTiddlerDataItem(title,index,\"\"));\n\t}\n\tfield = field || \"list\";\n\tvar tiddler = this.getTiddler(title);\n\tif(tiddler) {\n\t\treturn ($tw.utils.parseStringArray(tiddler.fields[field]) || []).slice(0);\n\t}\n\treturn [];\n};\n\n// Return a named global cache object. Global cache objects are cleared whenever a tiddler change occurs\nexports.getGlobalCache = function(cacheName,initializer) {\n\tthis.globalCache = this.globalCache || Object.create(null);\n\tif($tw.utils.hop(this.globalCache,cacheName)) {\n\t\treturn this.globalCache[cacheName];\n\t} else {\n\t\tthis.globalCache[cacheName] = initializer();\n\t\treturn this.globalCache[cacheName];\n\t}\n};\n\nexports.clearGlobalCache = function() {\n\tthis.globalCache = Object.create(null);\n};\n\n// Return the named cache object for a tiddler. If the cache doesn't exist then the initializer function is invoked to create it\nexports.getCacheForTiddler = function(title,cacheName,initializer) {\n\tthis.caches = this.caches || Object.create(null);\n\tvar caches = this.caches[title];\n\tif(caches && caches[cacheName]) {\n\t\treturn caches[cacheName];\n\t} else {\n\t\tif(!caches) {\n\t\t\tcaches = Object.create(null);\n\t\t\tthis.caches[title] = caches;\n\t\t}\n\t\tcaches[cacheName] = initializer();\n\t\treturn caches[cacheName];\n\t}\n};\n\n// Clear all caches associated with a particular tiddler, or, if the title is null, clear all the caches for all the tiddlers\nexports.clearCache = function(title) {\n\tif(title) {\n\t\tthis.caches = this.caches || Object.create(null);\n\t\tif($tw.utils.hop(this.caches,title)) {\n\t\t\tdelete this.caches[title];\n\t\t}\n\t} else {\n\t\tthis.caches = Object.create(null);\n\t}\n};\n\nexports.initParsers = function(moduleType) {\n\t// Install the parser modules\n\t$tw.Wiki.parsers = {};\n\tvar self = this;\n\t$tw.modules.forEachModuleOfType(\"parser\",function(title,module) {\n\t\tfor(var f in module) {\n\t\t\tif($tw.utils.hop(module,f)) {\n\t\t\t\t$tw.Wiki.parsers[f] = module[f]; // Store the parser class\n\t\t\t}\n\t\t}\n\t});\n\t// Use the generic binary parser for any binary types not registered so far\n\tif($tw.Wiki.parsers[\"application/octet-stream\"]) {\n\t\tObject.keys($tw.config.contentTypeInfo).forEach(function(type) {\n\t\t\tif(!$tw.utils.hop($tw.Wiki.parsers,type) && $tw.config.contentTypeInfo[type].encoding === \"base64\") {\n\t\t\t\t$tw.Wiki.parsers[type] = $tw.Wiki.parsers[\"application/octet-stream\"];\n\t\t\t}\n\t\t});\t\t\n\t}\n};\n\n/*\nParse a block of text of a specified MIME type\n\ttype: content type of text to be parsed\n\ttext: text\n\toptions: see below\nOptions include:\n\tparseAsInline: if true, the text of the tiddler will be parsed as an inline run\n\t_canonical_uri: optional string of the canonical URI of this content\n*/\nexports.parseText = function(type,text,options) {\n\ttext = text || \"\";\n\toptions = options || {};\n\t// Select a parser\n\tvar Parser = $tw.Wiki.parsers[type];\n\tif(!Parser && $tw.utils.getFileExtensionInfo(type)) {\n\t\tParser = $tw.Wiki.parsers[$tw.utils.getFileExtensionInfo(type).type];\n\t}\n\tif(!Parser) {\n\t\tParser = $tw.Wiki.parsers[options.defaultType || \"text/vnd.tiddlywiki\"];\n\t}\n\tif(!Parser) {\n\t\treturn null;\n\t}\n\t// Return the parser instance\n\treturn new Parser(type,text,{\n\t\tparseAsInline: options.parseAsInline,\n\t\twiki: this,\n\t\t_canonical_uri: options._canonical_uri\n\t});\n};\n\n/*\nParse a tiddler according to its MIME type\n*/\nexports.parseTiddler = function(title,options) {\n\toptions = $tw.utils.extend({},options);\n\tvar cacheType = options.parseAsInline ? \"inlineParseTree\" : \"blockParseTree\",\n\t\ttiddler = this.getTiddler(title),\n\t\tself = this;\n\treturn tiddler ? this.getCacheForTiddler(title,cacheType,function() {\n\t\t\tif(tiddler.hasField(\"_canonical_uri\")) {\n\t\t\t\toptions._canonical_uri = tiddler.fields._canonical_uri;\n\t\t\t}\n\t\t\treturn self.parseText(tiddler.fields.type,tiddler.fields.text,options);\n\t\t}) : null;\n};\n\nexports.parseTextReference = function(title,field,index,options) {\n\tvar tiddler,text;\n\tif(options.subTiddler) {\n\t\ttiddler = this.getSubTiddler(title,options.subTiddler);\n\t} else {\n\t\ttiddler = this.getTiddler(title);\n\t\tif(field === \"text\" || (!field && !index)) {\n\t\t\tthis.getTiddlerText(title); // Force the tiddler to be lazily loaded\n\t\t\treturn this.parseTiddler(title,options);\n\t\t}\n\t}\n\tif(field === \"text\" || (!field && !index)) {\n\t\tif(tiddler && tiddler.fields) {\n\t\t\treturn this.parseText(tiddler.fields.type,tiddler.fields.text,options);\t\t\t\n\t\t} else {\n\t\t\treturn null;\n\t\t}\n\t} else if(field) {\n\t\tif(field === \"title\") {\n\t\t\ttext = title;\n\t\t} else {\n\t\t\tif(!tiddler || !tiddler.hasField(field)) {\n\t\t\t\treturn null;\n\t\t\t}\n\t\t\ttext = tiddler.fields[field];\n\t\t}\n\t\treturn this.parseText(\"text/vnd.tiddlywiki\",text.toString(),options);\n\t} else if(index) {\n\t\tthis.getTiddlerText(title); // Force the tiddler to be lazily loaded\n\t\ttext = this.extractTiddlerDataItem(tiddler,index,undefined);\n\t\tif(text === undefined) {\n\t\t\treturn null;\n\t\t}\n\t\treturn this.parseText(\"text/vnd.tiddlywiki\",text,options);\n\t}\n};\n\n/*\nMake a widget tree for a parse tree\nparser: parser object\noptions: see below\nOptions include:\ndocument: optional document to use\nvariables: hashmap of variables to set\nparentWidget: optional parent widget for the root node\n*/\nexports.makeWidget = function(parser,options) {\n\toptions = options || {};\n\tvar widgetNode = {\n\t\t\ttype: \"widget\",\n\t\t\tchildren: []\n\t\t},\n\t\tcurrWidgetNode = widgetNode;\n\t// Create set variable widgets for each variable\n\t$tw.utils.each(options.variables,function(value,name) {\n\t\tvar setVariableWidget = {\n\t\t\ttype: \"set\",\n\t\t\tattributes: {\n\t\t\t\tname: {type: \"string\", value: name},\n\t\t\t\tvalue: {type: \"string\", value: value}\n\t\t\t},\n\t\t\tchildren: []\n\t\t};\n\t\tcurrWidgetNode.children = [setVariableWidget];\n\t\tcurrWidgetNode = setVariableWidget;\n\t});\n\t// Add in the supplied parse tree nodes\n\tcurrWidgetNode.children = parser ? parser.tree : [];\n\t// Create the widget\n\treturn new widget.widget(widgetNode,{\n\t\twiki: this,\n\t\tdocument: options.document || $tw.fakeDocument,\n\t\tparentWidget: options.parentWidget\n\t});\n};\n\n/*\nMake a widget tree for transclusion\ntitle: target tiddler title\noptions: as for wiki.makeWidget() plus:\noptions.field: optional field to transclude (defaults to \"text\")\noptions.mode: transclusion mode \"inline\" or \"block\"\noptions.recursionMarker : optional flag to set a recursion marker, defaults to \"yes\"\noptions.children: optional array of children for the transclude widget\noptions.importVariables: optional importvariables filter string for macros to be included\noptions.importPageMacros: optional boolean; if true, equivalent to passing \"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\" to options.importVariables\n*/\nexports.makeTranscludeWidget = function(title,options) {\n\toptions = options || {};\n\tvar parseTreeDiv = {tree: [{\n\t\t\ttype: \"element\",\n\t\t\ttag: \"div\",\n\t\t\tchildren: []}]},\n\t\tparseTreeImportVariables = {\n\t\t\ttype: \"importvariables\",\n\t\t\tattributes: {\n\t\t\t\tfilter: {\n\t\t\t\t\tname: \"filter\",\n\t\t\t\t\ttype: \"string\"\n\t\t\t\t}\n\t\t\t},\n\t\t\tisBlock: false,\n\t\t\tchildren: []},\n\t\tparseTreeTransclude = {\n\t\t\ttype: \"transclude\",\n\t\t\tattributes: {\n\t\t\t\trecursionMarker: {\n\t\t\t\t\tname: \"recursionMarker\",\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: options.recursionMarker || \"yes\"\n\t\t\t\t\t},\n\t\t\t\ttiddler: {\n\t\t\t\t\tname: \"tiddler\",\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: title\n\t\t\t\t}\n\t\t\t},\n\t\t\tisBlock: !options.parseAsInline};\n\tif(options.importVariables || options.importPageMacros) {\n\t\tif(options.importVariables) {\n\t\t\tparseTreeImportVariables.attributes.filter.value = options.importVariables;\n\t\t} else if(options.importPageMacros) {\n\t\t\tparseTreeImportVariables.attributes.filter.value = \"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\";\n\t\t}\n\t\tparseTreeDiv.tree[0].children.push(parseTreeImportVariables);\n\t\tparseTreeImportVariables.children.push(parseTreeTransclude);\n\t} else {\n\t\tparseTreeDiv.tree[0].children.push(parseTreeTransclude);\n\t}\n\tif(options.field) {\n\t\tparseTreeTransclude.attributes.field = {type: \"string\", value: options.field};\n\t}\n\tif(options.mode) {\n\t\tparseTreeTransclude.attributes.mode = {type: \"string\", value: options.mode};\n\t}\n\tif(options.children) {\n\t\tparseTreeTransclude.children = options.children;\n\t}\n\treturn this.makeWidget(parseTreeDiv,options);\n};\n\n/*\nParse text in a specified format and render it into another format\n\toutputType: content type for the output\n\ttextType: content type of the input text\n\ttext: input text\n\toptions: see below\nOptions include:\nvariables: hashmap of variables to set\nparentWidget: optional parent widget for the root node\n*/\nexports.renderText = function(outputType,textType,text,options) {\n\toptions = options || {};\n\tvar parser = this.parseText(textType,text,options),\n\t\twidgetNode = this.makeWidget(parser,options);\n\tvar container = $tw.fakeDocument.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn outputType === \"text/html\" ? container.innerHTML : container.textContent;\n};\n\n/*\nParse text from a tiddler and render it into another format\n\toutputType: content type for the output\n\ttitle: title of the tiddler to be rendered\n\toptions: see below\nOptions include:\nvariables: hashmap of variables to set\nparentWidget: optional parent widget for the root node\n*/\nexports.renderTiddler = function(outputType,title,options) {\n\toptions = options || {};\n\tvar parser = this.parseTiddler(title,options),\n\t\twidgetNode = this.makeWidget(parser,options);\n\tvar container = $tw.fakeDocument.createElement(\"div\");\n\twidgetNode.render(container,null);\n\treturn outputType === \"text/html\" ? container.innerHTML : (outputType === \"text/plain-formatted\" ? container.formattedTextContent : container.textContent);\n};\n\n/*\nReturn an array of tiddler titles that match a search string\n\ttext: The text string to search for\n\toptions: see below\nOptions available:\n\tsource: an iterator function for the source tiddlers, called source(iterator), where iterator is called as iterator(tiddler,title)\n\texclude: An array of tiddler titles to exclude from the search\n\tinvert: If true returns tiddlers that do not contain the specified string\n\tcaseSensitive: If true forces a case sensitive search\n\tfield: If specified, restricts the search to the specified field, or an array of field names\n\tanchored: If true, forces all but regexp searches to be anchored to the start of text\n\texcludeField: If true, the field options are inverted to specify the fields that are not to be searched\n\tThe search mode is determined by the first of these boolean flags to be true\n\t\tliteral: searches for literal string\n\t\twhitespace: same as literal except runs of whitespace are treated as a single space\n\t\tregexp: treats the search term as a regular expression\n\t\twords: (default) treats search string as a list of tokens, and matches if all tokens are found, regardless of adjacency or ordering\n*/\nexports.search = function(text,options) {\n\toptions = options || {};\n\tvar self = this,\n\t\tt,\n\t\tinvert = !!options.invert;\n\t// Convert the search string into a regexp for each term\n\tvar terms, searchTermsRegExps,\n\t\tflags = options.caseSensitive ? \"\" : \"i\",\n\t\tanchor = options.anchored ? \"^\" : \"\";\n\tif(options.literal) {\n\t\tif(text.length === 0) {\n\t\t\tsearchTermsRegExps = null;\n\t\t} else {\n\t\t\tsearchTermsRegExps = [new RegExp(\"(\" + anchor + $tw.utils.escapeRegExp(text) + \")\",flags)];\n\t\t}\n\t} else if(options.whitespace) {\n\t\tterms = [];\n\t\t$tw.utils.each(text.split(/\\s+/g),function(term) {\n\t\t\tif(term) {\n\t\t\t\tterms.push($tw.utils.escapeRegExp(term));\n\t\t\t}\n\t\t});\n\t\tsearchTermsRegExps = [new RegExp(\"(\" + anchor + terms.join(\"\\\\s+\") + \")\",flags)];\n\t} else if(options.regexp) {\n\t\ttry {\n\t\t\tsearchTermsRegExps = [new RegExp(\"(\" + text + \")\",flags)];\t\t\t\n\t\t} catch(e) {\n\t\t\tsearchTermsRegExps = null;\n\t\t\tconsole.log(\"Regexp error parsing /(\" + text + \")/\" + flags + \": \",e);\n\t\t}\n\t} else {\n\t\tterms = text.split(/ +/);\n\t\tif(terms.length === 1 && terms[0] === \"\") {\n\t\t\tsearchTermsRegExps = null;\n\t\t} else {\n\t\t\tsearchTermsRegExps = [];\n\t\t\tfor(t=0; t<terms.length; t++) {\n\t\t\t\tsearchTermsRegExps.push(new RegExp(\"(\" + anchor + $tw.utils.escapeRegExp(terms[t]) + \")\",flags));\n\t\t\t}\n\t\t}\n\t}\n\t// Accumulate the array of fields to be searched or excluded from the search\n\tvar fields = [];\n\tif(options.field) {\n\t\tif($tw.utils.isArray(options.field)) {\n\t\t\t$tw.utils.each(options.field,function(fieldName) {\n\t\t\t\tif(fieldName) {\n\t\t\t\t\tfields.push(fieldName);\t\t\t\t\t\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tfields.push(options.field);\n\t\t}\n\t}\n\t// Use default fields if none specified and we're not excluding fields (excluding fields with an empty field array is the same as searching all fields)\n\tif(fields.length === 0 && !options.excludeField) {\n\t\tfields.push(\"title\");\n\t\tfields.push(\"tags\");\n\t\tfields.push(\"text\");\n\t}\n\t// Function to check a given tiddler for the search term\n\tvar searchTiddler = function(title) {\n\t\tif(!searchTermsRegExps) {\n\t\t\treturn true;\n\t\t}\n\t\tvar notYetFound = searchTermsRegExps.slice();\n\n\t\tvar tiddler = self.getTiddler(title);\n\t\tif(!tiddler) {\n\t\t\ttiddler = new $tw.Tiddler({title: title, text: \"\", type: \"text/vnd.tiddlywiki\"});\n\t\t}\n\t\tvar contentTypeInfo = $tw.config.contentTypeInfo[tiddler.fields.type] || $tw.config.contentTypeInfo[\"text/vnd.tiddlywiki\"],\n\t\t\tsearchFields;\n\t\t// Get the list of fields we're searching\n\t\tif(options.excludeField) {\n\t\t\tsearchFields = Object.keys(tiddler.fields);\n\t\t\t$tw.utils.each(fields,function(fieldName) {\n\t\t\t\tvar p = searchFields.indexOf(fieldName);\n\t\t\t\tif(p !== -1) {\n\t\t\t\t\tsearchFields.splice(p,1);\n\t\t\t\t}\n\t\t\t});\n\t\t} else {\n\t\t\tsearchFields = fields;\n\t\t}\n\t\tfor(var fieldIndex=0; notYetFound.length>0 && fieldIndex<searchFields.length; fieldIndex++) {\n\t\t\t// Don't search the text field if the content type is binary\n\t\t\tvar fieldName = searchFields[fieldIndex];\n\t\t\tif(fieldName === \"text\" && contentTypeInfo.encoding !== \"utf8\") {\n\t\t\t\tbreak;\n\t\t\t}\n\t\t\tvar str = tiddler.fields[fieldName],\n\t\t\t\tt;\n\t\t\tif(str) {\n\t\t\t\tif($tw.utils.isArray(str)) {\n\t\t\t\t\t// If the field value is an array, test each regexp against each field array entry and fail if each regexp doesn't match at least one field array entry\n\t\t\t\t\tfor(var s=0; s<str.length; s++) {\n\t\t\t\t\t\tfor(t=0; t<notYetFound.length;) {\n\t\t\t\t\t\t\tif(notYetFound[t].test(str[s])) {\n\t\t\t\t\t\t\t\tnotYetFound.splice(t, 1);\n\t\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\t\tt++;\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t} else {\n\t\t\t\t\t// If the field isn't an array, force it to a string and test each regexp against it and fail if any do not match\n\t\t\t\t\tstr = tiddler.getFieldString(fieldName);\n\t\t\t\t\tfor(t=0; t<notYetFound.length;) {\n\t\t\t\t\t\tif(notYetFound[t].test(str)) {\n\t\t\t\t\t\t\tnotYetFound.splice(t, 1);\n\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\tt++;\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t};\n\t\treturn notYetFound.length == 0;\n\t};\n\t// Loop through all the tiddlers doing the search\n\tvar results = [],\n\t\tsource = options.source || this.each;\n\tsource(function(tiddler,title) {\n\t\tif(searchTiddler(title) !== invert) {\n\t\t\tresults.push(title);\n\t\t}\n\t});\n\t// Remove any of the results we have to exclude\n\tif(options.exclude) {\n\t\tfor(t=0; t<options.exclude.length; t++) {\n\t\t\tvar p = results.indexOf(options.exclude[t]);\n\t\t\tif(p !== -1) {\n\t\t\t\tresults.splice(p,1);\n\t\t\t}\n\t\t}\n\t}\n\treturn results;\n};\n\n/*\nTrigger a load for a tiddler if it is skinny. Returns the text, or undefined if the tiddler is missing, null if the tiddler is being lazily loaded.\n*/\nexports.getTiddlerText = function(title,defaultText) {\n\tvar tiddler = this.getTiddler(title);\n\t// Return undefined if the tiddler isn't found\n\tif(!tiddler) {\n\t\treturn defaultText;\n\t}\n\tif(!tiddler.hasField(\"_is_skinny\")) {\n\t\t// Just return the text if we've got it\n\t\treturn tiddler.fields.text || \"\";\n\t} else {\n\t\t// Tell any listeners about the need to lazily load this tiddler\n\t\tthis.dispatchEvent(\"lazyLoad\",title);\n\t\t// Indicate that the text is being loaded\n\t\treturn null;\n\t}\n};\n\n/*\nCheck whether the text of a tiddler matches a given value. By default, the comparison is case insensitive, and any spaces at either end of the tiddler text is trimmed\n*/\nexports.checkTiddlerText = function(title,targetText,options) {\n\toptions = options || {};\n\tvar text = this.getTiddlerText(title,\"\");\n\tif(!options.noTrim) {\n\t\ttext = text.trim();\n\t}\n\tif(!options.caseSensitive) {\n\t\ttext = text.toLowerCase();\n\t\ttargetText = targetText.toLowerCase();\n\t}\n\treturn text === targetText;\n}\n\n/*\nRead an array of browser File objects, invoking callback(tiddlerFieldsArray) once they're all read\n*/\nexports.readFiles = function(files,options) {\n\tvar callback;\n\tif(typeof options === \"function\") {\n\t\tcallback = options;\n\t\toptions = {};\n\t} else {\n\t\tcallback = options.callback;\n\t}\n\tvar result = [],\n\t\toutstanding = files.length,\n\t\treadFileCallback = function(tiddlerFieldsArray) {\n\t\t\tresult.push.apply(result,tiddlerFieldsArray);\n\t\t\tif(--outstanding === 0) {\n\t\t\t\tcallback(result);\n\t\t\t}\n\t\t};\n\tfor(var f=0; f<files.length; f++) {\n\t\tthis.readFile(files[f],$tw.utils.extend({},options,{callback: readFileCallback}));\n\t}\n\treturn files.length;\n};\n\n/*\nRead a browser File object, invoking callback(tiddlerFieldsArray) with an array of tiddler fields objects\n*/\nexports.readFile = function(file,options) {\n\tvar callback;\n\tif(typeof options === \"function\") {\n\t\tcallback = options;\n\t\toptions = {};\n\t} else {\n\t\tcallback = options.callback;\n\t}\n\t// Get the type, falling back to the filename extension\n\tvar self = this,\n\t\ttype = file.type;\n\tif(type === \"\" || !type) {\n\t\tvar dotPos = file.name.lastIndexOf(\".\");\n\t\tif(dotPos !== -1) {\n\t\t\tvar fileExtensionInfo = $tw.utils.getFileExtensionInfo(file.name.substr(dotPos));\n\t\t\tif(fileExtensionInfo) {\n\t\t\t\ttype = fileExtensionInfo.type;\n\t\t\t}\n\t\t}\n\t}\n\t// Figure out if we're reading a binary file\n\tvar contentTypeInfo = $tw.config.contentTypeInfo[type],\n\t\tisBinary = contentTypeInfo ? contentTypeInfo.encoding === \"base64\" : false;\n\t// Log some debugging information\n\tif($tw.log.IMPORT) {\n\t\tconsole.log(\"Importing file '\" + file.name + \"', type: '\" + type + \"', isBinary: \" + isBinary);\n\t}\n\t// Give the hook a chance to process the drag\n\tif($tw.hooks.invokeHook(\"th-importing-file\",{\n\t\tfile: file,\n\t\ttype: type,\n\t\tisBinary: isBinary,\n\t\tcallback: callback\n\t}) !== true) {\n\t\tthis.readFileContent(file,type,isBinary,options.deserializer,callback);\n\t}\n};\n\n/*\nLower level utility to read the content of a browser File object, invoking callback(tiddlerFieldsArray) with an array of tiddler fields objects\n*/\nexports.readFileContent = function(file,type,isBinary,deserializer,callback) {\n\tvar self = this;\n\t// Create the FileReader\n\tvar reader = new FileReader();\n\t// Onload\n\treader.onload = function(event) {\n\t\tvar text = event.target.result,\n\t\t\ttiddlerFields = {title: file.name || \"Untitled\"};\n\t\tif(isBinary) {\n\t\t\tvar commaPos = text.indexOf(\",\");\n\t\t\tif(commaPos !== -1) {\n\t\t\t\ttext = text.substr(commaPos + 1);\n\t\t\t}\n\t\t}\n\t\t// Check whether this is an encrypted TiddlyWiki file\n\t\tvar encryptedJson = $tw.utils.extractEncryptedStoreArea(text);\n\t\tif(encryptedJson) {\n\t\t\t// If so, attempt to decrypt it with the current password\n\t\t\t$tw.utils.decryptStoreAreaInteractive(encryptedJson,function(tiddlers) {\n\t\t\t\tcallback(tiddlers);\n\t\t\t});\n\t\t} else {\n\t\t\t// Otherwise, just try to deserialise any tiddlers in the file\n\t\t\tcallback(self.deserializeTiddlers(type,text,tiddlerFields,{deserializer: deserializer}));\n\t\t}\n\t};\n\t// Kick off the read\n\tif(isBinary) {\n\t\treader.readAsDataURL(file);\n\t} else {\n\t\treader.readAsText(file);\n\t}\n};\n\n/*\nFind any existing draft of a specified tiddler\n*/\nexports.findDraft = function(targetTitle) {\n\tvar draftTitle = undefined;\n\tthis.forEachTiddler({includeSystem: true},function(title,tiddler) {\n\t\tif(tiddler.fields[\"draft.title\"] && tiddler.fields[\"draft.of\"] === targetTitle) {\n\t\t\tdraftTitle = title;\n\t\t}\n\t});\n\treturn draftTitle;\n}\n\n/*\nCheck whether the specified draft tiddler has been modified.\nIf the original tiddler doesn't exist, create a vanilla tiddler variable,\nto check if additional fields have been added.\n*/\nexports.isDraftModified = function(title) {\n\tvar tiddler = this.getTiddler(title);\n\tif(!tiddler.isDraft()) {\n\t\treturn false;\n\t}\n\tvar ignoredFields = [\"created\", \"modified\", \"title\", \"draft.title\", \"draft.of\"],\n\t\torigTiddler = this.getTiddler(tiddler.fields[\"draft.of\"]) || new $tw.Tiddler({text:\"\", tags:[]}),\n\t\ttitleModified = tiddler.fields[\"draft.title\"] !== tiddler.fields[\"draft.of\"];\n\treturn titleModified || !tiddler.isEqual(origTiddler,ignoredFields);\n};\n\n/*\nAdd a new record to the top of the history stack\ntitle: a title string or an array of title strings\nfromPageRect: page coordinates of the origin of the navigation\nhistoryTitle: title of history tiddler (defaults to $:/HistoryList)\n*/\nexports.addToHistory = function(title,fromPageRect,historyTitle) {\n\tvar story = new $tw.Story({wiki: this, historyTitle: historyTitle});\n\tstory.addToHistory(title,fromPageRect);\t\n\tconsole.log(\"$tw.wiki.addToHistory() is deprecated since V5.1.23! Use the this.story.addToHistory() from the story-object!\")\n};\n\n/*\nAdd a new tiddler to the story river\ntitle: a title string or an array of title strings\nfromTitle: the title of the tiddler from which the navigation originated\nstoryTitle: title of story tiddler (defaults to $:/StoryList)\noptions: see story.js\n*/\nexports.addToStory = function(title,fromTitle,storyTitle,options) {\n\tvar story = new $tw.Story({wiki: this, storyTitle: storyTitle});\n\tstory.addToStory(title,fromTitle,options);\n\tconsole.log(\"$tw.wiki.addToStory() is deprecated since V5.1.23! Use the this.story.addToStory() from the story-object!\")\n};\n\n/*\nGenerate a title for the draft of a given tiddler\n*/\nexports.generateDraftTitle = function(title) {\n\tvar c = 0,\n\t\tdraftTitle,\n\t\tusername = this.getTiddlerText(\"$:/status/UserName\"),\n\t\tattribution = username ? \" by \" + username : \"\";\n\tdo {\n\t\tdraftTitle = \"Draft \" + (c ? (c + 1) + \" \" : \"\") + \"of '\" + title + \"'\" + attribution;\n\t\tc++;\n\t} while(this.tiddlerExists(draftTitle));\n\treturn draftTitle;\n};\n\n/*\nInvoke the available upgrader modules\ntitles: array of tiddler titles to be processed\ntiddlers: hashmap by title of tiddler fields of pending import tiddlers. These can be modified by the upgraders. An entry with no fields indicates a tiddler that was pending import has been suppressed. When entries are added to the pending import the tiddlers hashmap may have entries that are not present in the titles array\nReturns a hashmap of messages keyed by tiddler title.\n*/\nexports.invokeUpgraders = function(titles,tiddlers) {\n\t// Collect up the available upgrader modules\n\tvar self = this;\n\tif(!this.upgraderModules) {\n\t\tthis.upgraderModules = [];\n\t\t$tw.modules.forEachModuleOfType(\"upgrader\",function(title,module) {\n\t\t\tif(module.upgrade) {\n\t\t\t\tself.upgraderModules.push(module);\n\t\t\t}\n\t\t});\n\t}\n\t// Invoke each upgrader in turn\n\tvar messages = {};\n\tfor(var t=0; t<this.upgraderModules.length; t++) {\n\t\tvar upgrader = this.upgraderModules[t],\n\t\t\tupgraderMessages = upgrader.upgrade(this,titles,tiddlers);\n\t\t$tw.utils.extend(messages,upgraderMessages);\n\t}\n\treturn messages;\n};\n\n// Determine whether a plugin by title is dynamically loadable\nexports.doesPluginRequireReload = function(title) {\n\treturn this.doesPluginInfoRequireReload(this.getPluginInfo(title) || this.getTiddlerDataCached(title));\n};\n\n// Determine whether a plugin info structure is dynamically loadable\nexports.doesPluginInfoRequireReload = function(pluginInfo) {\n\tif(pluginInfo) {\n\t\tvar foundModule = false;\n\t\t$tw.utils.each(pluginInfo.tiddlers,function(tiddler) {\n\t\t\tif(tiddler.type === \"application/javascript\" && $tw.utils.hop(tiddler,\"module-type\")) {\n\t\t\t\tfoundModule = true;\n\t\t\t}\n\t\t});\n\t\treturn foundModule;\n\t} else {\n\t\treturn null;\n\t}\n};\n\nexports.slugify = function(title,options) {\n\tvar tiddler = this.getTiddler(title),\n\t\tslug;\n\tif(tiddler && tiddler.fields.slug) {\n\t\tslug = tiddler.fields.slug;\n\t} else {\n\t\tslug = $tw.utils.transliterate(title.toString().toLowerCase()) // Replace diacritics with basic lowercase ASCII\n\t\t\t.replace(/\\s+/g,\"-\") // Replace spaces with -\n\t\t\t.replace(/[^\\w\\-\\.]+/g,\"\") // Remove all non-word chars except dash and dot\n\t\t\t.replace(/\\-\\-+/g,\"-\") // Replace multiple - with single -\n\t\t\t.replace(/^-+/,\"\") // Trim - from start of text\n\t\t\t.replace(/-+$/,\"\"); // Trim - from end of text\n\t}\n\t// If the resulting slug is blank (eg because the title is just punctuation characters)\n\tif(!slug) {\n\t\t// ...then just use the character codes of the title\n\t\tvar result = [];\n\t\t$tw.utils.each(title.split(\"\"),function(char) {\n\t\t\tresult.push(char.charCodeAt(0).toString());\n\t\t});\n\t\tslug = result.join(\"-\");\n\t}\n\treturn slug;\n};\n\n})();\n\n",
"type": "application/javascript",
"module-type": "wikimethod"
},
"$:/palettes/Blanca": {
"title": "$:/palettes/Blanca",
"name": "Blanca",
"description": "A clean white palette to let you focus",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #66cccc\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #ffffff\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #7897f3\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #ccc\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #ffffff\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #7897f3\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #eeeeee\ntab-border-selected: #cccccc\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ffeedd\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: #eee\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #ff9900\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/Blue": {
"title": "$:/palettes/Blue",
"name": "Blue",
"description": "A blue theme",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #fff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour foreground>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333353\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #ddddff\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #5778d8\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #ffffff\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: <<colour page-background>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #5959c0\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: #ccccdd\ntab-border-selected: #ccccdd\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #eeeeff\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #666666\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #ffffff\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #ffffff\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #5959c0\ntoolbar-new-button: #5eb95e\ntoolbar-options-button: rgb(128, 88, 165)\ntoolbar-save-button: #0e90d2\ntoolbar-info-button: #0e90d2\ntoolbar-edit-button: rgb(243, 123, 29)\ntoolbar-close-button: #dd514c\ntoolbar-delete-button: #dd514c\ntoolbar-cancel-button: rgb(243, 123, 29)\ntoolbar-done-button: #5eb95e\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/Muted": {
"title": "$:/palettes/Muted",
"name": "Muted",
"description": "Bright tiddlers on a muted background",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #bbb\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #6f6f70\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #29a6ee\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #c2c1c2\nsidebar-foreground-shadow: rgba(255,255,255,0)\nsidebar-foreground: #d3d2d4\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #6f6f70\nsidebar-tab-background: #666667\nsidebar-tab-border-selected: #999\nsidebar-tab-border: #515151\nsidebar-tab-divider: #999\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: #999\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #d1d0d2\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #d5ad34\ntag-foreground: #ffffff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #182955\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/ContrastLight": {
"title": "$:/palettes/ContrastLight",
"name": "Contrast (Light)",
"description": "High contrast and unambiguous (light version)",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #f00\nalert-border: <<colour background>>\nalert-highlight: <<colour foreground>>\nalert-muted-foreground: #800\nbackground: #fff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background: <<colour background>>\nbutton-foreground: <<colour foreground>>\nbutton-border: <<colour foreground>>\ncode-background: <<colour background>>\ncode-border: <<colour foreground>>\ncode-foreground: <<colour foreground>>\ndirty-indicator: #f00\ndownload-background: #080\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: <<colour foreground>>\ndropdown-tab-background: <<colour foreground>>\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #00a\nexternal-link-foreground: #00e\nforeground: #000\nmessage-background: <<colour foreground>>\nmessage-border: <<colour background>>\nmessage-foreground: <<colour background>>\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: <<colour foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour foreground>>\nmodal-header-border: <<colour foreground>>\nmuted-foreground: <<colour foreground>>\nnotification-background: <<colour background>>\nnotification-border: <<colour foreground>>\npage-background: <<colour background>>\npre-background: <<colour background>>\npre-border: <<colour foreground>>\nprimary: #00f\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: <<colour background>>\nsidebar-controls-foreground: <<colour foreground>>\nsidebar-foreground-shadow: rgba(0,0,0, 0)\nsidebar-foreground: <<colour foreground>>\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: <<colour foreground>>\nsidebar-tab-background-selected: <<colour background>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour foreground>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour foreground>>\nsidebar-tiddler-link-foreground: <<colour primary>>\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: <<colour foreground>>\ntab-border-selected: <<colour foreground>>\ntab-border: <<colour foreground>>\ntab-divider: <<colour foreground>>\ntab-foreground-selected: <<colour foreground>>\ntab-foreground: <<colour background>>\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #000\ntag-foreground: #fff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour foreground>>\ntiddler-controls-foreground-hover: #ddd\ntiddler-controls-foreground-selected: #fdd\ntiddler-controls-foreground: <<colour foreground>>\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: <<colour foreground>>\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: <<colour background>>\ntiddler-editor-fields-odd: <<colour background>>\ntiddler-info-background: <<colour background>>\ntiddler-info-border: <<colour foreground>>\ntiddler-info-tab-background: <<colour background>>\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour foreground>>\ntiddler-title-foreground: <<colour foreground>>\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour foreground>>\nvery-muted-foreground: #888888\n"
},
"$:/palettes/ContrastDark": {
"title": "$:/palettes/ContrastDark",
"name": "Contrast (Dark)",
"description": "High contrast and unambiguous (dark version)",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #f00\nalert-border: <<colour background>>\nalert-highlight: <<colour foreground>>\nalert-muted-foreground: #800\nbackground: #000\nblockquote-bar: <<colour muted-foreground>>\nbutton-background: <<colour background>>\nbutton-foreground: <<colour foreground>>\nbutton-border: <<colour foreground>>\ncode-background: <<colour background>>\ncode-border: <<colour foreground>>\ncode-foreground: <<colour foreground>>\ndirty-indicator: #f00\ndownload-background: #080\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: <<colour foreground>>\ndropdown-tab-background: <<colour foreground>>\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #00a\nexternal-link-foreground: #00e\nforeground: #fff\nmessage-background: <<colour foreground>>\nmessage-border: <<colour background>>\nmessage-foreground: <<colour background>>\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: <<colour foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour foreground>>\nmodal-header-border: <<colour foreground>>\nmuted-foreground: <<colour foreground>>\nnotification-background: <<colour background>>\nnotification-border: <<colour foreground>>\npage-background: <<colour background>>\npre-background: <<colour background>>\npre-border: <<colour foreground>>\nprimary: #00f\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: <<colour background>>\nsidebar-controls-foreground: <<colour foreground>>\nsidebar-foreground-shadow: rgba(0,0,0, 0)\nsidebar-foreground: <<colour foreground>>\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: <<colour foreground>>\nsidebar-tab-background-selected: <<colour background>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour foreground>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour foreground>>\nsidebar-tiddler-link-foreground: <<colour primary>>\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: <<colour foreground>>\ntab-border-selected: <<colour foreground>>\ntab-border: <<colour foreground>>\ntab-divider: <<colour foreground>>\ntab-foreground-selected: <<colour foreground>>\ntab-foreground: <<colour background>>\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #fff\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: <<colour foreground>>\ntiddler-controls-foreground-hover: #ddd\ntiddler-controls-foreground-selected: #fdd\ntiddler-controls-foreground: <<colour foreground>>\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: <<colour foreground>>\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: <<colour background>>\ntiddler-editor-fields-odd: <<colour background>>\ntiddler-info-background: <<colour background>>\ntiddler-info-border: <<colour foreground>>\ntiddler-info-tab-background: <<colour background>>\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour foreground>>\ntiddler-title-foreground: <<colour foreground>>\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour foreground>>\nvery-muted-foreground: #888888\n"
},
"$:/palettes/CupertinoDark": {
"title": "$:/palettes/CupertinoDark",
"tags": "$:/tags/Palette",
"name": "Cupertino Dark",
"description": "A macOS inspired dark palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #FF453A\nalert-border: #FF453A\nalert-highlight: #FFD60A\nalert-muted-foreground: <<colour muted-foreground>>\nbackground: #282828\nblockquote-bar: <<colour page-background>>\nbutton-foreground: <<colour background>>\ncode-background: <<colour pre-background>>\ncode-border: <<colour pre-border>>\ncode-foreground: rgba(255, 255, 255, 0.54)\ndirty-indicator: #FF453A\ndownload-background: <<colour primary>>\ndownload-foreground: <<colour foreground>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour tiddler-info-background>>\ndropdown-border: <<colour dropdown-background>>\ndropdown-tab-background-selected: #3F638B\ndropdown-tab-background: #323232\ndropzone-background: #30D158\nexternal-link-background-hover: transparent\nexternal-link-background-visited: transparent\nexternal-link-background: transparent\nexternal-link-foreground-hover: \nexternal-link-foreground-visited: #BF5AF2\nexternal-link-foreground: #32D74B\nforeground: #FFFFFF\nmenubar-background: #464646\nmenubar-foreground: #ffffff\nmessage-background: <<colour background>>\nmessage-border: <<colour very-muted-foreground>>\nmessage-foreground: rgba(255, 255, 255, 0.54)\nmodal-backdrop: <<colour page-background>>\nmodal-background: <<colour background>>\nmodal-border: <<colour very-muted-foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour background>>\nmodal-header-border: <<colour very-muted-foreground>>\nmuted-foreground: #98989D\nnotification-background: <<colour dropdown-background>>\nnotification-border: <<colour dropdown-background>>\npage-background: #323232\npre-background: #464646\npre-border: transparent\nprimary: #0A84FF\nselect-tag-background: <<colour background>>\nselect-tag-foreground: <<colour foreground>>\nsidebar-button-foreground: <<colour background>>\nsidebar-controls-foreground-hover: #FF9F0A\nsidebar-controls-foreground: #8E8E93\nsidebar-foreground-shadow: transparent\nsidebar-foreground: rgba(255, 255, 255, 0.54)\nsidebar-muted-foreground-hover: rgba(255, 255, 255, 0.54)\nsidebar-muted-foreground: rgba(255, 255, 255, 0.38)\nsidebar-tab-background-selected: #3F638B\nsidebar-tab-background: <<colour background>>\nsidebar-tab-border-selected: <<colour background>>\nsidebar-tab-border: <<colour background>>\nsidebar-tab-divider: <<colour background>>\nsidebar-tab-foreground-selected: rgba(255, 255, 255, 0.87)\nsidebar-tab-foreground: rgba(255, 255, 255, 0.54)\nsidebar-tiddler-link-foreground-hover: rgba(255, 255, 255, 0.7)\nsidebar-tiddler-link-foreground: rgba(255, 255, 255, 0.54)\nsite-title-foreground: #ffffff\nstatic-alert-foreground: #B4B4B4\ntab-background-selected: #3F638B\ntab-background: <<colour page-background>>\ntab-border-selected: <<colour page-background>>\ntab-border: <<colour page-background>>\ntab-divider: <<colour page-background>>\ntab-foreground-selected: rgba(255, 255, 255, 0.87)\ntab-foreground: rgba(255, 255, 255, 0.54)\ntable-border: #464646\ntable-footer-background: <<colour tiddler-editor-fields-odd>>\ntable-header-background: <<colour tiddler-editor-fields-even>>\ntag-background: #48484A\ntag-foreground: #323232\ntiddler-background: <<colour background>>\ntiddler-border: transparent\ntiddler-controls-foreground-hover: <<colour sidebar-controls-foreground-hover>>\ntiddler-controls-foreground-selected: <<colour sidebar-controls-foreground-hover>>\ntiddler-controls-foreground: #48484A\ntiddler-editor-background: transparent\ntiddler-editor-border-image: \ntiddler-editor-border: rgba(255, 255, 255, 0.08)\ntiddler-editor-fields-even: rgba(255, 255, 255, 0.1)\ntiddler-editor-fields-odd: rgba(255, 255, 255, 0.04)\ntiddler-info-background: #1E1E1E\ntiddler-info-border: #1E1E1E\ntiddler-info-tab-background: #3F638B\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour muted-foreground>>\ntiddler-title-foreground: #FFFFFF\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour very-muted-foreground>>\nvery-muted-foreground: #464646\nselection-background: #3F638B\nselection-foreground: #ffffff\nwikilist-background: <<colour page-background>>\nwikilist-button-background: #3F638B\nwikilist-button-foreground: <<colour foreground>>\nwikilist-button-open: #32D74B\nwikilist-button-open-hover: #32D74B\nwikilist-button-reveal: #0A84FF\nwikilist-button-reveal-hover: #0A84FF\nwikilist-button-remove: #FF453A\nwikilist-button-remove-hover: #FF453A\nwikilist-droplink-dragover: #32D74B\nwikilist-item: <<colour background>>\nwikilist-toolbar-background: <<colour background>>\nwikilist-title: <<colour foreground>>\nwikilist-title-svg: <<colour foreground>>\nwikilist-toolbar-foreground: <<colour foreground>>\nwikilist-url: <<colour muted-foreground>>\n"
},
"$:/palettes/DarkPhotos": {
"title": "$:/palettes/DarkPhotos",
"created": "20150402111612188",
"description": "Good with dark photo backgrounds",
"modified": "20150402112344080",
"name": "DarkPhotos",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background: \nbutton-foreground: \nbutton-border: \ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #ddd\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #336438\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #5778d8\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #ccf\nsidebar-controls-foreground: #fff\nsidebar-foreground-shadow: rgba(0,0,0, 0.5)\nsidebar-foreground: #fff\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #eee\nsidebar-tab-background-selected: rgba(255,255,255, 0.8)\nsidebar-tab-background: rgba(255,255,255, 0.4)\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: rgba(255,255,255, 0.2)\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #aaf\nsidebar-tiddler-link-foreground: #ddf\nsite-title-foreground: #fff\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ec6\ntag-foreground: #ffffff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #182955\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/DesertSand": {
"title": "$:/palettes/DesertSand",
"tags": "$:/tags/Palette",
"name": "Desert Sand",
"description": "A desert sand palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #E9E0C7\nblockquote-bar: <<colour muted-foreground>>\nbutton-foreground: <<colour foreground>>\ncode-background: #F3EDDF\ncode-border: #C3BAA1\ncode-foreground: #ab3250\ndiff-delete-background: #bd8b8b\ndiff-delete-foreground: <<colour foreground>>\ndiff-equal-background: \ndiff-equal-foreground: <<colour foreground>>\ndiff-insert-background: #91c093\ndiff-insert-foreground: <<colour foreground>>\ndiff-invisible-background: \ndiff-invisible-foreground: <<colour muted-foreground>>\ndirty-indicator: #ad3434\ndownload-background: #6ca16c\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #E9E0C7\ndropdown-tab-background: #BAB29C\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #313163\nexternal-link-foreground: #555592\nforeground: #2D2A23\nmenubar-background: #CDC2A6\nmenubar-foreground: #5A5446\nmessage-background: #ECE5CF\nmessage-border: #D6CBAA\nmessage-foreground: #5f6e7d\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #8A8885\nmodal-footer-background: #CDC2A6\nmodal-footer-border: #9D998E\nmodal-header-border: #9D998E\nmuted-foreground: #9D998E\nnotification-background: #F0E9D7\nnotification-border: #939189\npage-background: #e0d3af\npre-background: #D6CBAA\npre-border: #CDC2A6\nprimary: #5B6F55\nselection-background: #9D947B\nselection-foreground: <<colour foreground>>\nselect-tag-background: #F0E9D7\nselect-tag-foreground: #2D2A23\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #2D2A23\nsidebar-controls-foreground: #867F69\nsidebar-foreground-shadow: transparent\nsidebar-foreground: #867F69\nsidebar-muted-foreground-hover: #706A58\nsidebar-muted-foreground: #B3A98C\nsidebar-tab-background-selected: #e0d3af\nsidebar-tab-background: #A6A193\nsidebar-tab-border-selected: #C3BAA1\nsidebar-tab-border: #C3BAA1\nsidebar-tab-divider: #CDC2A6\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: #2D2A23\nsidebar-tiddler-link-foreground-hover: #433F35\nsidebar-tiddler-link-foreground: #706A58\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #A6A193\ntab-background-selected: #E9E0C7\ntab-background: #A6A193\ntab-border-selected: #C3BAA1\ntab-border: #C3BAA1\ntab-divider: #CDC2A6\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #2D2A23\ntable-border: #9D998E\ntable-footer-background: #8A8885\ntable-header-background: #B0AA98\ntag-background: #706A58\ntag-foreground: #E3D7B7\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #9D947B\ntiddler-controls-foreground-selected: #706A58\ntiddler-controls-foreground: #C3BAA1\ntiddler-editor-background: #E9E0C7\ntiddler-editor-border-image: #A6A193\ntiddler-editor-border: #A6A193\ntiddler-editor-fields-even: #D6CBAA\ntiddler-editor-fields-odd: #C3BAA1\ntiddler-info-background: #E3D7B7\ntiddler-info-border: #BAB29C\ntiddler-info-tab-background: #E9E0C7\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #867F69\ntiddler-title-foreground: #374464\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #8A8885\nvery-muted-foreground: #CDC2A6\nwikilist-background: <<colour page-background>>\nwikilist-item: #CDC2A6\nwikilist-info: #161512\nwikilist-title: #433F35\nwikilist-title-svg: <<colour wikilist-title>>\nwikilist-url: #706A58\nwikilist-button-open: #7db66a\nwikilist-button-open-hover: #56a556\nwikilist-button-reveal: #5a6c9e\nwikilist-button-reveal-hover: #454591\nwikilist-button-remove: #bc5972\nwikilist-button-remove-hover: #814040\nwikilist-toolbar-background: #CDC2A6\nwikilist-toolbar-foreground: #2D2A23\nwikilist-droplink-dragover: rgba(255,192,192,0.5)\nwikilist-button-background: #A6A193\nwikilist-button-foreground: #161512\n"
},
"$:/palettes/GruvboxDark": {
"title": "$:/palettes/GruvboxDark",
"name": "Gruvbox Dark",
"description": "Retro groove color scheme",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"license": "https://github.com/morhetz/gruvbox",
"text": "alert-background: #cc241d\nalert-border: #cc241d\nalert-highlight: #d79921\nalert-muted-foreground: #504945\nbackground: #3c3836\nblockquote-bar: <<colour muted-foreground>>\nbutton-foreground: <<colour page-background>>\ncode-background: #504945\ncode-border: #504945\ncode-foreground: #fb4934\ndiff-delete-background: #fb4934\ndiff-delete-foreground: <<colour foreground>>\ndiff-equal-background: \ndiff-equal-foreground: <<colour foreground>>\ndiff-insert-background: #b8bb26\ndiff-insert-foreground: <<colour foreground>>\ndiff-invisible-background: \ndiff-invisible-foreground: <<colour muted-foreground>>\ndirty-indicator: #fb4934\ndownload-background: #b8bb26\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: #665c54\ndropdown-border: <<colour background>>\ndropdown-tab-background-selected: #ebdbb2\ndropdown-tab-background: #665c54\ndropzone-background: #98971a\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #d3869b\nexternal-link-foreground: #8ec07c\nforeground: #fbf1c7\nmenubar-background: #504945\nmenubar-foreground: <<colour foreground>>\nmessage-background: #83a598\nmessage-border: #83a598\nmessage-foreground: #3c3836\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #504945\nmodal-footer-background: #3c3836\nmodal-footer-border: #3c3836\nmodal-header-border: #3c3836\nmuted-foreground: #d5c4a1\nnotification-background: <<colour primary>>\nnotification-border: <<colour primary>>\npage-background: #282828\npre-background: #504945\npre-border: #504945\nprimary: #d79921\nselect-tag-background: #665c54\nselect-tag-foreground: <<colour foreground>>\nselection-background: #458588\nselection-foreground: <<colour foreground>>\nsidebar-button-foreground: <<colour page-background>>\nsidebar-controls-foreground-hover: #7c6f64\nsidebar-controls-foreground: #504945\nsidebar-foreground-shadow: transparent\nsidebar-foreground: #fbf1c7\nsidebar-muted-foreground-hover: #7c6f64\nsidebar-muted-foreground: #504945\nsidebar-tab-background-selected: #bdae93\nsidebar-tab-background: #3c3836\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: #bdae93\nsidebar-tab-divider: <<colour page-background>>\nsidebar-tab-foreground-selected: #282828\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #458588\nsidebar-tiddler-link-foreground: #98971a\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #B48EAD\ntab-background-selected: #ebdbb2\ntab-background: #665c54\ntab-border-selected: #665c54\ntab-border: #665c54\ntab-divider: #bdae93\ntab-foreground-selected: #282828\ntab-foreground: #ebdbb2\ntable-border: #7c6f64\ntable-footer-background: #665c54\ntable-header-background: #504945\ntag-background: #d3869b\ntag-foreground: #282828\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #7c6f64\ntiddler-controls-foreground-selected: <<colour primary>>\ntiddler-controls-foreground: #665c54\ntiddler-editor-background: #32302f\ntiddler-editor-border-image: #282828\ntiddler-editor-border: #282828\ntiddler-editor-fields-even: #504945\ntiddler-editor-fields-odd: #7c6f64\ntiddler-info-background: #32302f\ntiddler-info-border: #ebdbb2\ntiddler-info-tab-background: #ebdbb2\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #7c6f64\ntiddler-title-foreground: #a89984\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #504945\nvery-muted-foreground: #bdae93\nwikilist-background: <<colour page-background>>\nwikilist-button-background: #acacac\nwikilist-button-foreground: <<colour button-foreground>>\nwikilist-item: <<colour background>>\nwikilist-toolbar-background: <<colour background>>\nwikilist-toolbar-foreground: <<colour foreground>>\nwikilist-title: <<colour foreground>>\nwikilist-title-svg: <<colour wikilist-title>>\nwikilist-url: <<colour muted-foreground>>\nwikilist-button-open-hover: <<colour primary>>\nwikilist-button-open: <<colour dropzone-background>>\nwikilist-button-remove: <<colour dirty-indicator>>\nwikilist-button-remove-hover: <<colour alert-background>>\nwikilist-droplink-dragover: <<colour dropzone-background>>\nwikilist-button-reveal: <<colour sidebar-tiddler-link-foreground-hover>>\nwikilist-button-reveal-hover: <<colour message-background>>\n"
},
"$:/palettes/Nord": {
"title": "$:/palettes/Nord",
"name": "Nord",
"description": "An arctic, north-bluish color palette.",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"license": "MIT, arcticicestudio, https://github.com/arcticicestudio/nord/blob/develop/LICENSE.md",
"text": "alert-background: #D08770\nalert-border: #D08770\nalert-highlight: #B48EAD\nalert-muted-foreground: #4C566A\nbackground: #3b4252\nblockquote-bar: <<colour muted-foreground>>\nbutton-foreground: <<colour page-background>>\ncode-background: #2E3440\ncode-border: #2E3440\ncode-foreground: #BF616A\ndiff-delete-background: #BF616A\ndiff-delete-foreground: <<colour foreground>>\ndiff-equal-background: \ndiff-equal-foreground: <<colour foreground>>\ndiff-insert-background: #A3BE8C\ndiff-insert-foreground: <<colour foreground>>\ndiff-invisible-background: \ndiff-invisible-foreground: <<colour muted-foreground>>\ndirty-indicator: #BF616A\ndownload-background: #A3BE8C\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour background>>\ndropdown-tab-background-selected: #ECEFF4\ndropdown-tab-background: #4C566A\ndropzone-background: #A3BE8C\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #5E81AC\nexternal-link-foreground: #8FBCBB\nforeground: #d8dee9\nmenubar-background: #2E3440\nmenubar-foreground: #d8dee9\nmessage-background: #2E3440\nmessage-border: #2E3440\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #3b4252\nmodal-footer-background: #3b4252\nmodal-footer-border: #3b4252\nmodal-header-border: #3b4252\nmuted-foreground: #4C566A\nnotification-background: <<colour primary>>\nnotification-border: #EBCB8B\npage-background: #2e3440\npre-background: #2E3440\npre-border: #2E3440\nprimary: #5E81AC\nselect-tag-background: #3b4252\nselect-tag-foreground: <<colour foreground>>\nselection-background: #5E81AC\nselection-foreground: <<colour foreground>>\nsidebar-button-foreground: <<colour page-background>>\nsidebar-controls-foreground-hover: #D8DEE9\nsidebar-controls-foreground: #4C566A\nsidebar-foreground-shadow: transparent\nsidebar-foreground: #D8DEE9\nsidebar-muted-foreground-hover: #4C566A\nsidebar-muted-foreground: #4C566A\nsidebar-tab-background-selected: #ECEFF4\nsidebar-tab-background: #4C566A\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: #4C566A\nsidebar-tab-divider: <<colour page-background>>\nsidebar-tab-foreground-selected: #4C566A\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #A3BE8C\nsidebar-tiddler-link-foreground: #81A1C1\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #B48EAD\ntab-background-selected: #ECEFF4\ntab-background: #4C566A\ntab-border-selected: #4C566A\ntab-border: #4C566A\ntab-divider: #4C566A\ntab-foreground-selected: #4C566A\ntab-foreground: #D8DEE9\ntable-border: #4C566A\ntable-footer-background: #2e3440\ntable-header-background: #2e3440\ntag-background: #A3BE8C\ntag-foreground: #4C566A\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: \ntiddler-controls-foreground-selected: #EBCB8B\ntiddler-controls-foreground: #4C566A\ntiddler-editor-background: #2e3440\ntiddler-editor-border-image: #2e3440\ntiddler-editor-border: #3b4252\ntiddler-editor-fields-even: #2e3440\ntiddler-editor-fields-odd: #2e3440\ntiddler-info-background: #2e3440\ntiddler-info-border: #2e3440\ntiddler-info-tab-background: #2e3440\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #4C566A\ntiddler-title-foreground: #81A1C1\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: #2d3038\nvery-muted-foreground: #2d3038\nwikilist-background: <<colour page-background>>\nwikilist-toolbar-background: <<colour background>>\nwikilist-item: <<colour background>>\nwikilist-title: <<colour foreground>>\nwikilist-info: <<colour muted-foreground>>\nwikilist-button-open: #A3BE8C\nwikilist-button-open-hover: #A3BE8C\nwikilist-button-reveal: #81A1C1\nwikilist-button-reveal-hover: #81A1C1\nwikilist-button-remove: #B48EAD\nwikilist-button-remove-hover: #B48EAD\n"
},
"$:/palettes/Rocker": {
"title": "$:/palettes/Rocker",
"name": "Rocker",
"description": "A dark theme",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #000\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #cc0000\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #ffffff\nsidebar-foreground-shadow: rgba(255,255,255, 0.0)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #000\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: \nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #ffbb99\nsidebar-tiddler-link-foreground: #cc0000\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ffbb99\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #cc0000\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/SolarFlare": {
"title": "$:/palettes/SolarFlare",
"name": "Solar Flare",
"description": "Warm, relaxing earth colours",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": ": Background Tones\n\nbase03: #002b36\nbase02: #073642\n\n: Content Tones\n\nbase01: #586e75\nbase00: #657b83\nbase0: #839496\nbase1: #93a1a1\n\n: Background Tones\n\nbase2: #eee8d5\nbase3: #fdf6e3\n\n: Accent Colors\n\nyellow: #b58900\norange: #cb4b16\nred: #dc322f\nmagenta: #d33682\nviolet: #6c71c4\nblue: #268bd2\ncyan: #2aa198\ngreen: #859900\n\n: Additional Tones (RA)\n\nbase10: #c0c4bb\nviolet-muted: #7c81b0\nblue-muted: #4e7baa\n\nyellow-hot: #ffcc44\norange-hot: #eb6d20\nred-hot: #ff2222\nblue-hot: #2298ee\ngreen-hot: #98ee22\n\n: Palette\n\n: Do not use colour macro for background and foreground\nbackground: #fdf6e3\n download-foreground: <<colour background>>\n dragger-foreground: <<colour background>>\n dropdown-background: <<colour background>>\n modal-background: <<colour background>>\n sidebar-foreground-shadow: <<colour background>>\n tiddler-background: <<colour background>>\n tiddler-border: <<colour background>>\n tiddler-link-background: <<colour background>>\n tab-background-selected: <<colour background>>\n dropdown-tab-background-selected: <<colour tab-background-selected>>\nforeground: #657b83\n dragger-background: <<colour foreground>>\n tab-foreground: <<colour foreground>>\n tab-foreground-selected: <<colour tab-foreground>>\n sidebar-tab-foreground-selected: <<colour tab-foreground-selected>>\n sidebar-tab-foreground: <<colour tab-foreground>>\n sidebar-button-foreground: <<colour foreground>>\n sidebar-controls-foreground: <<colour foreground>>\n sidebar-foreground: <<colour foreground>>\n: base03\n: base02\n: base01\n alert-muted-foreground: <<colour base01>>\n: base00\n code-foreground: <<colour base00>>\n message-foreground: <<colour base00>>\n tag-foreground: <<colour base00>>\n: base0\n sidebar-tiddler-link-foreground: <<colour base0>>\n: base1\n muted-foreground: <<colour base1>>\n blockquote-bar: <<colour muted-foreground>>\n dropdown-border: <<colour muted-foreground>>\n sidebar-muted-foreground: <<colour muted-foreground>>\n tiddler-title-foreground: <<colour muted-foreground>>\n site-title-foreground: <<colour tiddler-title-foreground>>\n: base2\n modal-footer-background: <<colour base2>>\n page-background: <<colour base2>>\n modal-backdrop: <<colour page-background>>\n notification-background: <<colour page-background>>\n code-background: <<colour page-background>>\n code-border: <<colour code-background>>\n pre-background: <<colour page-background>>\n pre-border: <<colour pre-background>>\n sidebar-tab-background-selected: <<colour page-background>>\n table-header-background: <<colour base2>>\n tag-background: <<colour base2>>\n tiddler-editor-background: <<colour base2>>\n tiddler-info-background: <<colour base2>>\n tiddler-info-tab-background: <<colour base2>>\n tab-background: <<colour base2>>\n dropdown-tab-background: <<colour tab-background>>\n: base3\n alert-background: <<colour base3>>\n message-background: <<colour base3>>\n: yellow\n: orange\n: red\n: magenta\n alert-highlight: <<colour magenta>>\n: violet\n external-link-foreground: <<colour violet>>\n: blue\n: cyan\n: green\n: base10\n tiddler-controls-foreground: <<colour base10>>\n: violet-muted\n external-link-foreground-visited: <<colour violet-muted>>\n: blue-muted\n primary: <<colour blue-muted>>\n download-background: <<colour primary>>\n tiddler-link-foreground: <<colour primary>>\n\nalert-border: #b99e2f\ndirty-indicator: #ff0000\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nmessage-border: #cfd6e6\nmodal-border: #999999\nselect-tag-background:\nselect-tag-foreground:\nsidebar-controls-foreground-hover:\nsidebar-muted-foreground-hover:\nsidebar-tab-background: #ded8c5\nsidebar-tiddler-link-foreground-hover:\nstatic-alert-foreground: #aaaaaa\ntab-border: #cccccc\n modal-footer-border: <<colour tab-border>>\n modal-header-border: <<colour tab-border>>\n notification-border: <<colour tab-border>>\n sidebar-tab-border: <<colour tab-border>>\n tab-border-selected: <<colour tab-border>>\n sidebar-tab-border-selected: <<colour tab-border-selected>>\ntab-divider: #d8d8d8\n sidebar-tab-divider: <<colour tab-divider>>\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-border: #dddddd\ntiddler-subtitle-foreground: #c0c0c0\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n"
},
"$:/palettes/SolarizedDark": {
"title": "$:/palettes/SolarizedDark",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"description": "Precision dark colors for machines and people",
"license": "MIT, Ethan Schoonover, https://github.com/altercation/solarized/blob/master/LICENSE",
"name": "SolarizedDark",
"text": "alert-background: #073642\nalert-border: #93a1a1\nalert-highlight: #d33682\nalert-muted-foreground: #d33682\nbackground: #073642\nblockquote-bar: #d33682\nbutton-background: #073642\nbutton-border: #586e75\nbutton-foreground: #93a1a1\ncode-background: #073642\ncode-border: #586e75\ncode-foreground: #93a1a1\ndirty-indicator: inherit\ndownload-background: #859900\ndownload-foreground: #073642\ndragger-background: #073642\ndragger-foreground: #839496\ndropdown-background: #073642\ndropdown-border: #93a1a1\ndropdown-tab-background: #002b36\ndropdown-tab-background-selected: #073642\ndropzone-background: #859900\nexternal-link-background: inherit\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-foreground: #268bd2\nexternal-link-foreground-hover:\nexternal-link-foreground-visited: #268bd2\nforeground: #839496\nmessage-background: #002b36\nmessage-border: #586e75\nmessage-foreground: #839496\nmodal-backdrop: #657b83\nmodal-background: #002b36\nmodal-border: #586e75\nmodal-footer-background: #073642\nmodal-footer-border: #586e75\nmodal-header-border: #586e75\nmuted-foreground: #93a1a1\nnotification-background: #002b36\nnotification-border: #586e75\npage-background: #073642\npre-background: inherit\npre-border: #657b83\nprimary: #859900\nselect-tag-background: #002b36\nselect-tag-foreground: #839496\nsidebar-button-foreground: #93a1a1\nsidebar-controls-foreground: #93a1a1\nsidebar-controls-foreground-hover: #eee8d5\nsidebar-foreground: #93a1a1\nsidebar-foreground-shadow: transparent\nsidebar-muted-foreground: #839496\nsidebar-muted-foreground-hover: #93a1a1\nsidebar-tab-background: #002b36\nsidebar-tab-background-selected: #073642\nsidebar-tab-border: #073642\nsidebar-tab-border-selected: #839496\nsidebar-tab-divider: #002b36\nsidebar-tab-foreground: #657b83\nsidebar-tab-foreground-selected: #93a1a1\nsidebar-tiddler-link-foreground: #2aa198\nsidebar-tiddler-link-foreground-hover: #eee8d5\nsite-title-foreground: #d33682\nstatic-alert-foreground: #93a1a1\ntab-background: #073642\ntab-background-selected: #002b36\ntab-border: #586e75\ntab-border-selected: #93a1a1\ntab-divider: #93a1a1\ntab-foreground: #839496\ntab-foreground-selected: #93a1a1\ntable-border: #586e75\ntable-footer-background: #073642\ntable-header-background: #073642\ntag-background: #b58900\ntag-foreground: #002b36\ntiddler-background: #002b36\ntiddler-border: #586e75\ntiddler-controls-foreground: inherit\ntiddler-controls-foreground-hover: #d33682\ntiddler-controls-foreground-selected: #2aa198\ntiddler-editor-background: #002b36\ntiddler-editor-border: #073642\ntiddler-editor-border-image: #002b36\ntiddler-editor-fields-even: #002b36\ntiddler-editor-fields-odd: #073642\ntiddler-info-background: #073642\ntiddler-info-border: #657b83\ntiddler-info-tab-background: #002b36\ntiddler-link-background: #002b36\ntiddler-link-foreground: #2aa198\ntiddler-subtitle-foreground: #839496\ntiddler-title-foreground: #d33682\ntoolbar-cancel-button: #839496\ntoolbar-close-button: #839496\ntoolbar-delete-button: #dc322f\ntoolbar-done-button: #839496\ntoolbar-edit-button: #839496\ntoolbar-info-button: #839496\ntoolbar-new-button: #839496\ntoolbar-options-button: #839496\ntoolbar-save-button: inherit\nuntagged-background: #586e75\nvery-muted-foreground: #586e75\n"
},
"$:/palettes/SolarizedLight": {
"title": "$:/palettes/SolarizedLight",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"description": "Precision colors for machines and people",
"license": "MIT, Ethan Schoonover, https://github.com/altercation/solarized/blob/master/LICENSE",
"name": "SolarizedLight",
"text": "alert-background: #eee8d5\nalert-border: #586e75\nalert-highlight: #d33682\nalert-muted-foreground: #d33682\nbackground: #eee8d5\nblockquote-bar: #d33682\nbutton-background: #eee8d5\nbutton-border: #93a1a1\nbutton-foreground: #586e75\ncode-background: #eee8d5\ncode-border: #93a1a1\ncode-foreground: #586e75\ndirty-indicator: inherit\ndownload-background: #859900\ndownload-foreground: #eee8d5\ndragger-background: #eee8d5\ndragger-foreground: #657b83\ndropdown-background: #eee8d5\ndropdown-border: #586e75\ndropdown-tab-background: #fdf6e3\ndropdown-tab-background-selected: #eee8d5\ndropzone-background: #859900\nexternal-link-background: inherit\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-foreground: #268bd2\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #268bd2\nforeground: #657b83\nmessage-background: #fdf6e3\nmessage-border: #93a1a1\nmessage-foreground: #657b83\nmodal-backdrop: #839496\nmodal-background: #fdf6e3\nmodal-border: #93a1a1\nmodal-footer-background: #eee8d5\nmodal-footer-border: #93a1a1\nmodal-header-border: #93a1a1\nmuted-foreground: #586e75\nnotification-background: #fdf6e3\nnotification-border: #93a1a1\npage-background: #eee8d5\npre-background: #eee8d5\npre-border: #839496\nprimary: #859900\nselect-tag-background: #fdf6e3\nselect-tag-foreground: #657b83\nsidebar-button-foreground: #586e75\nsidebar-controls-foreground: #586e75\nsidebar-controls-foreground-hover: #d33682\nsidebar-foreground: #586e75\nsidebar-foreground-shadow: transparent\nsidebar-muted-foreground: #657b83\nsidebar-muted-foreground-hover: #586e75\nsidebar-tab-background: #fdf6e3\nsidebar-tab-background-selected: #eee8d5\nsidebar-tab-border: #eee8d5\nsidebar-tab-border-selected: #657b83\nsidebar-tab-divider: #fdf6e3\nsidebar-tab-foreground: #839496\nsidebar-tab-foreground-selected: #586e75\nsidebar-tiddler-link-foreground: #2aa198\nsidebar-tiddler-link-foreground-hover: #002b36\nsite-title-foreground: #d33682\nstatic-alert-foreground: #586e75\ntab-background: #eee8d5\ntab-background-selected: #fdf6e3\ntab-border: #93a1a1\ntab-border-selected: #586e75\ntab-divider: #586e75\ntab-foreground: #657b83\ntab-foreground-selected: #586e75\ntable-border: #93a1a1\ntable-footer-background: #eee8d5\ntable-header-background: #eee8d5\ntag-background: #b58900\ntag-foreground: #fdf6e3\ntiddler-background: #fdf6e3\ntiddler-border: #93a1a1\ntiddler-controls-foreground: inherit\ntiddler-controls-foreground-hover: #d33682\ntiddler-controls-foreground-selected: #2aa198\ntiddler-editor-background: #fdf6e3\ntiddler-editor-border: #eee8d5\ntiddler-editor-border-image: #fdf6e3\ntiddler-editor-fields-even: #fdf6e3\ntiddler-editor-fields-odd: #eee8d5\ntiddler-info-background: #eee8d5\ntiddler-info-border: #839496\ntiddler-info-tab-background: #fdf6e3\ntiddler-link-background: #fdf6e3\ntiddler-link-foreground: #2aa198\ntiddler-subtitle-foreground: #657b83\ntiddler-title-foreground: #d33682\ntoolbar-cancel-button: #657b83\ntoolbar-close-button: #657b83\ntoolbar-delete-button: #dc322f\ntoolbar-done-button: #657b83\ntoolbar-edit-button: #657b83\ntoolbar-info-button: #657b83\ntoolbar-new-button: #657b83\ntoolbar-options-button: #657b83\ntoolbar-save-button: inherit\nuntagged-background: #586e75\nvery-muted-foreground: #93a1a1\n"
},
"$:/palettes/SpartanDay": {
"title": "$:/palettes/SpartanDay",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"description": "Cold, spartan day colors",
"name": "Spartan Day",
"text": "alert-background: <<colour background>>\nalert-border: <<colour very-muted-foreground>>\nalert-highlight: <<colour very-muted-foreground>>\nalert-muted-foreground: <<colour muted-foreground>>\nbackground: #FAFAFA\nblockquote-bar: <<colour page-background>>\nbutton-background: transparent\nbutton-foreground: inherit\nbutton-border: <<colour tag-background>>\ncode-background: #ececec\ncode-border: #ececec\ncode-foreground: \ndirty-indicator: #c80000\ndownload-background: <<colour primary>>\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: #FFFFFF\ndropdown-border: <<colour dropdown-background>>\ndropdown-tab-background-selected: <<colour dropdown-background>>\ndropdown-tab-background: #F5F5F5\ndropzone-background: <<colour tag-background>>\nexternal-link-background-hover: transparent\nexternal-link-background-visited: transparent\nexternal-link-background: transparent\nexternal-link-foreground-hover: \nexternal-link-foreground-visited: \nexternal-link-foreground: \nforeground: rgba(0, 0, 0, 0.87)\nmessage-background: <<colour background>>\nmessage-border: <<colour very-muted-foreground>>\nmessage-foreground: rgba(0, 0, 0, 0.54)\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: <<colour very-muted-foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour very-muted-foreground>>\nmodal-header-border: <<colour very-muted-foreground>>\nmuted-foreground: rgba(0, 0, 0, 0.54)\nnotification-background: <<colour dropdown-background>>\nnotification-border: <<colour dropdown-background>>\npage-background: #f4f4f4\npre-background: #ececec\npre-border: #ececec\nprimary: #3949ab\nselect-tag-background: <<colour background>>\nselect-tag-foreground: <<colour foreground>>\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #aeaeae\nsidebar-controls-foreground: #c6c6c6\nsidebar-foreground-shadow: transparent\nsidebar-foreground: rgba(0, 0, 0, 0.54)\nsidebar-muted-foreground-hover: rgba(0, 0, 0, 0.54)\nsidebar-muted-foreground: rgba(0, 0, 0, 0.38)\nsidebar-tab-background-selected: <<colour page-background>>\nsidebar-tab-background: transparent\nsidebar-tab-border-selected: <<colour table-border>>\nsidebar-tab-border: transparent\nsidebar-tab-divider: <<colour table-border>>\nsidebar-tab-foreground-selected: rgba(0, 0, 0, 0.87)\nsidebar-tab-foreground: rgba(0, 0, 0, 0.54)\nsidebar-tiddler-link-foreground-hover: rgba(0, 0, 0, 0.87)\nsidebar-tiddler-link-foreground: rgba(0, 0, 0, 0.54)\nsite-title-foreground: rgba(0, 0, 0, 0.87)\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: transparent\ntab-border-selected: <<colour table-border>>\ntab-border: transparent\ntab-divider: <<colour table-border>>\ntab-foreground-selected: rgba(0, 0, 0, 0.87)\ntab-foreground: rgba(0, 0, 0, 0.54)\ntable-border: #d8d8d8\ntable-footer-background: <<colour tiddler-editor-fields-odd>>\ntable-header-background: <<colour tiddler-editor-fields-even>>\ntag-background: #ec6\ntag-foreground: <<colour button-foreground>>\ntiddler-background: <<colour background>>\ntiddler-border: #f9f9f9\ntiddler-controls-foreground-hover: <<colour sidebar-controls-foreground-hover>>\ntiddler-controls-foreground-selected: <<colour sidebar-controls-foreground-hover>>\ntiddler-controls-foreground: <<colour sidebar-controls-foreground>>\ntiddler-editor-background: transparent\ntiddler-editor-border-image: \ntiddler-editor-border: #e8e7e7\ntiddler-editor-fields-even: rgba(0, 0, 0, 0.1)\ntiddler-editor-fields-odd: rgba(0, 0, 0, 0.04)\ntiddler-info-background: #F5F5F5\ntiddler-info-border: #F5F5F5\ntiddler-info-tab-background: <<colour tiddler-editor-fields-odd>>\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour muted-foreground>>\ntiddler-title-foreground: #000000\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour very-muted-foreground>>\nvery-muted-foreground: rgba(0, 0, 0, 0.12)\n"
},
"$:/palettes/SpartanNight": {
"title": "$:/palettes/SpartanNight",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"description": "Dark spartan colors",
"name": "Spartan Night",
"text": "alert-background: <<colour background>>\nalert-border: <<colour very-muted-foreground>>\nalert-highlight: <<colour very-muted-foreground>>\nalert-muted-foreground: <<colour muted-foreground>>\nbackground: #303030\nblockquote-bar: <<colour page-background>>\nbutton-background: transparent\nbutton-foreground: inherit\nbutton-border: <<colour tag-background>>\ncode-background: <<colour pre-background>>\ncode-border: <<colour pre-border>>\ncode-foreground: rgba(255, 255, 255, 0.54)\ndirty-indicator: #c80000\ndownload-background: <<colour primary>>\ndownload-foreground: <<colour foreground>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: #424242\ndropdown-border: <<colour dropdown-background>>\ndropdown-tab-background-selected: <<colour dropdown-background>>\ndropdown-tab-background: #050505\ndropzone-background: <<colour tag-background>>\nexternal-link-background-hover: transparent\nexternal-link-background-visited: transparent\nexternal-link-background: transparent\nexternal-link-foreground-hover: \nexternal-link-foreground-visited: #7c318c\nexternal-link-foreground: #9e3eb3\nforeground: rgba(255, 255, 255, 0.7)\nmessage-background: <<colour background>>\nmessage-border: <<colour very-muted-foreground>>\nmessage-foreground: rgba(255, 255, 255, 0.54)\nmodal-backdrop: <<colour page-background>>\nmodal-background: <<colour background>>\nmodal-border: <<colour very-muted-foreground>>\nmodal-footer-background: <<colour background>>\nmodal-footer-border: <<colour background>>\nmodal-header-border: <<colour very-muted-foreground>>\nmuted-foreground: rgba(255, 255, 255, 0.54)\nnotification-background: <<colour dropdown-background>>\nnotification-border: <<colour dropdown-background>>\npage-background: #212121\npre-background: #2a2a2a\npre-border: transparent\nprimary: #5656f3\nselect-tag-background: <<colour background>>\nselect-tag-foreground: <<colour foreground>>\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #494949\nsidebar-controls-foreground: #5d5d5d\nsidebar-foreground-shadow: transparent\nsidebar-foreground: rgba(255, 255, 255, 0.54)\nsidebar-muted-foreground-hover: rgba(255, 255, 255, 0.54)\nsidebar-muted-foreground: rgba(255, 255, 255, 0.38)\nsidebar-tab-background-selected: <<colour page-background>>\nsidebar-tab-background: transparent\nsidebar-tab-border-selected: <<colour table-border>>\nsidebar-tab-border: transparent\nsidebar-tab-divider: <<colour table-border>>\nsidebar-tab-foreground-selected: rgba(255, 255, 255, 0.87)\nsidebar-tab-foreground: rgba(255, 255, 255, 0.54)\nsidebar-tiddler-link-foreground-hover: rgba(255, 255, 255, 0.7)\nsidebar-tiddler-link-foreground: rgba(255, 255, 255, 0.54)\nsite-title-foreground: rgba(255, 255, 255, 0.7)\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: transparent\ntab-border-selected: <<colour table-border>>\ntab-border: transparent\ntab-divider: <<colour table-border>>\ntab-foreground-selected: rgba(255, 255, 255, 0.87)\ntab-foreground: rgba(255, 255, 255, 0.54)\ntable-border: #3a3a3a\ntable-footer-background: <<colour tiddler-editor-fields-odd>>\ntable-header-background: <<colour tiddler-editor-fields-even>>\ntag-background: #ec6\ntag-foreground: <<colour button-foreground>>\ntiddler-background: <<colour background>>\ntiddler-border: rgb(55,55,55)\ntiddler-controls-foreground-hover: <<colour sidebar-controls-foreground-hover>>\ntiddler-controls-foreground-selected: <<colour sidebar-controls-foreground-hover>>\ntiddler-controls-foreground: <<colour sidebar-controls-foreground>>\ntiddler-editor-background: transparent\ntiddler-editor-border-image: \ntiddler-editor-border: rgba(255, 255, 255, 0.08)\ntiddler-editor-fields-even: rgba(255, 255, 255, 0.1)\ntiddler-editor-fields-odd: rgba(255, 255, 255, 0.04)\ntiddler-info-background: #454545\ntiddler-info-border: #454545\ntiddler-info-tab-background: <<colour tiddler-editor-fields-odd>>\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: <<colour muted-foreground>>\ntiddler-title-foreground: #FFFFFF\ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \ntoolbar-info-button: \ntoolbar-edit-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-cancel-button: \ntoolbar-done-button: \nuntagged-background: <<colour very-muted-foreground>>\nvery-muted-foreground: rgba(255, 255, 255, 0.12)\n"
},
"$:/palettes/Twilight": {
"title": "$:/palettes/Twilight",
"tags": "$:/tags/Palette",
"author": "Thomas Elmiger",
"type": "application/x-tiddler-dictionary",
"name": "Twilight",
"description": "Delightful, soft darkness.",
"text": "alert-background: rgb(255, 255, 102)\nalert-border: rgb(232, 232, 125)\nalert-highlight: rgb(255, 51, 51)\nalert-muted-foreground: rgb(224, 82, 82)\nbackground: rgb(38, 38, 38)\nblockquote-bar: rgba(240, 196, 117, 0.7)\nbutton-background: rgb(63, 63, 63)\nbutton-border: rgb(127, 127, 127)\nbutton-foreground: rgb(179, 179, 179)\ncode-background: rgba(0,0,0,0.03)\ncode-border: rgba(0,0,0,0.08)\ncode-foreground: rgb(255, 94, 94)\ndiff-delete-background: #ffc9c9\ndiff-delete-foreground: <<colour foreground>>\ndiff-equal-background: \ndiff-equal-foreground: <<colour foreground>>\ndiff-insert-background: #aaefad\ndiff-insert-foreground: <<colour foreground>>\ndiff-invisible-background: \ndiff-invisible-foreground: <<colour muted-foreground>>\ndirty-indicator: rgb(255, 94, 94)\ndownload-background: #19a974\ndownload-foreground: rgb(38, 38, 38)\ndragger-background: rgb(179, 179, 179)\ndragger-foreground: rgb(38, 38, 38)\ndropdown-background: rgb(38, 38, 38)\ndropdown-border: rgb(255, 255, 255)\ndropdown-tab-background: rgba(0,0,0,.1)\ndropdown-tab-background-selected: rgba(255,255,255,1)\ndropzone-background: #9eebcf\nexternal-link-background: inherit\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-foreground: rgb(179, 179, 255)\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: rgb(153, 153, 255)\nforeground: rgb(179, 179, 179)\nmessage-background: <<colour tag-foreground>>\nmessage-border: #96ccff\nmessage-foreground: <<colour tag-background>>\nmodal-backdrop: rgb(179, 179, 179)\nmodal-background: rgb(38, 38, 38)\nmodal-border: rgba(0,0,0,.5)\nmodal-footer-background: #f4f4f4\nmodal-footer-border: rgba(0,0,0,.1)\nmodal-header-border: rgba(0,0,0,.2)\nmuted-foreground: rgb(255, 255, 255)\nnotification-background: <<colour tag-foreground>>\nnotification-border: <<colour tag-background>>\npage-background: rgb(26, 26, 26)\npre-background: rgb(25, 25, 25)\npre-border: rgba(0,0,0,.2)\nprimary: rgb(255, 201, 102)\nselect-tag-background: \nselect-tag-foreground: \nsidebar-button-foreground: rgb(179, 179, 179)\nsidebar-controls-foreground: rgb(153, 153, 153)\nsidebar-controls-foreground-hover: <<colour tiddler-controls-foreground-hover>>\nsidebar-foreground: rgb(141, 141, 141)\nsidebar-foreground-shadow: transparent\nsidebar-muted-foreground: rgba(0, 0, 0, 0.5)\nsidebar-muted-foreground-hover: rgb(141, 141, 141)\nsidebar-tab-background: rgba(141, 141, 141, 0.2)\nsidebar-tab-background-selected: rgb(26, 26, 26)\nsidebar-tab-border: rgb(127, 127, 127)\nsidebar-tab-border-selected: rgb(127, 127, 127)\nsidebar-tab-divider: rgb(127, 127, 127)\nsidebar-tab-foreground: rgb(179, 179, 179)\nsidebar-tab-foreground-selected: rgb(179, 179, 179)\nsidebar-tiddler-link-foreground: rgb(179, 179, 179)\nsidebar-tiddler-link-foreground-hover: rgb(115, 115, 115)\nsite-title-foreground: rgb(255, 201, 102)\nstatic-alert-foreground: rgba(0,0,0,.3)\ntab-background: rgba(0,0,0,0.125)\ntab-background-selected: rgb(38, 38, 38)\ntab-border: rgb(255, 201, 102)\ntab-border-selected: rgb(255, 201, 102)\ntab-divider: rgb(255, 201, 102)\ntab-foreground: rgb(179, 179, 179)\ntab-foreground-selected: rgb(179, 179, 179)\ntable-border: rgba(255,255,255,.3)\ntable-footer-background: rgba(0,0,0,.4)\ntable-header-background: rgba(0,0,0,.1)\ntag-background: rgb(255, 201, 102)\ntag-foreground: rgb(25, 25, 25)\ntiddler-background: rgb(38, 38, 38)\ntiddler-border: rgba(240, 196, 117, 0.7)\ntiddler-controls-foreground: rgb(128, 128, 128)\ntiddler-controls-foreground-hover: rgba(255, 255, 255, 0.8)\ntiddler-controls-foreground-selected: rgba(255, 255, 255, 0.9)\ntiddler-editor-background: rgb(33, 33, 33)\ntiddler-editor-border: rgb(63, 63, 63)\ntiddler-editor-border-image: rgb(25, 25, 25)\ntiddler-editor-fields-even: rgb(33, 33, 33)\ntiddler-editor-fields-odd: rgb(28, 28, 28)\ntiddler-info-background: rgb(43, 43, 43)\ntiddler-info-border: rgb(25, 25, 25)\ntiddler-info-tab-background: rgb(43, 43, 43)\ntiddler-link-background: rgb(38, 38, 38)\ntiddler-link-foreground: rgb(204, 204, 255)\ntiddler-subtitle-foreground: rgb(255, 255, 255)\ntiddler-title-foreground: rgb(255, 192, 76)\ntoolbar-cancel-button: \ntoolbar-close-button: \ntoolbar-delete-button: \ntoolbar-done-button: \ntoolbar-edit-button: \ntoolbar-info-button: \ntoolbar-new-button: \ntoolbar-options-button: \ntoolbar-save-button: \nuntagged-background: rgb(255, 255, 255)\nvery-muted-foreground: rgba(240, 196, 117, 0.7)\n"
},
"$:/palettes/Vanilla": {
"title": "$:/palettes/Vanilla",
"name": "Vanilla",
"description": "Pale and unobtrusive",
"tags": "$:/tags/Palette",
"type": "application/x-tiddler-dictionary",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndiff-delete-background: #ffc9c9\ndiff-delete-foreground: <<colour foreground>>\ndiff-equal-background: \ndiff-equal-foreground: <<colour foreground>>\ndiff-insert-background: #aaefad\ndiff-insert-foreground: <<colour foreground>>\ndiff-invisible-background: \ndiff-invisible-foreground: <<colour muted-foreground>>\ndirty-indicator: #ff0000\ndownload-background: #34c734\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: <<colour muted-foreground>>\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: rgba(0,200,0,0.7)\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #333333\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #bbb\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #f4f4f4\npre-background: #f5f5f5\npre-border: #cccccc\nprimary: #5778d8\nselection-background:\nselection-foreground:\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: <<colour foreground>>\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: #aaaaaa\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #f4f4f4\nsidebar-tab-background: #e0e0e0\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: #e4e4e4\nsidebar-tab-foreground-selected:\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: #444444\nsidebar-tiddler-link-foreground: #999999\nsite-title-foreground: <<colour tiddler-title-foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #d8d8d8\ntab-border-selected: #d8d8d8\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour tab-foreground>>\ntab-foreground: #666666\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ec6\ntag-foreground: #ffffff\ntiddler-background: <<colour background>>\ntiddler-border: <<colour background>>\ntiddler-controls-foreground-hover: #888888\ntiddler-controls-foreground-selected: #444444\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: #f8f8f8\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: #cccccc\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #c0c0c0\ntiddler-title-foreground: #182955\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\nwikilist-background: #e5e5e5\nwikilist-item: #fff\nwikilist-info: #000\nwikilist-title: #666\nwikilist-title-svg: <<colour wikilist-title>>\nwikilist-url: #aaa\nwikilist-button-open: #4fb82b\nwikilist-button-open-hover: green\nwikilist-button-reveal: #5778d8\nwikilist-button-reveal-hover: blue\nwikilist-button-remove: #d85778\nwikilist-button-remove-hover: red\nwikilist-toolbar-background: #d3d3d3\nwikilist-toolbar-foreground: #888\nwikilist-droplink-dragover: rgba(255,192,192,0.5)\nwikilist-button-background: #acacac\nwikilist-button-foreground: #000\n"
},
"$:/core/readme": {
"title": "$:/core/readme",
"text": "This plugin contains TiddlyWiki's core components, comprising:\n\n* JavaScript code modules\n* Icons\n* Templates needed to create TiddlyWiki's user interface\n* British English (''en-GB'') translations of the localisable strings used by the core\n"
},
"$:/library/sjcl.js/license": {
"title": "$:/library/sjcl.js/license",
"type": "text/plain",
"text": "SJCL is open. You can use, modify and redistribute it under a BSD\nlicense or under the GNU GPL, version 2.0.\n\n---------------------------------------------------------------------\n\nhttp://opensource.org/licenses/BSD-2-Clause\n\nCopyright (c) 2009-2015, Emily Stark, Mike Hamburg and Dan Boneh at\nStanford University. All rights reserved.\n\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are\nmet:\n\n1. Redistributions of source code must retain the above copyright\nnotice, this list of conditions and the following disclaimer.\n\n2. Redistributions in binary form must reproduce the above copyright\nnotice, this list of conditions and the following disclaimer in the\ndocumentation and/or other materials provided with the distribution.\n\nTHIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS\nIS\" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED\nTO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A\nPARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT\nHOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,\nSPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED\nTO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR\nPROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF\nLIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING\nNEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS\nSOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.\n\n---------------------------------------------------------------------\n\nhttp://opensource.org/licenses/GPL-2.0\n\nThe Stanford Javascript Crypto Library (hosted here on GitHub) is a\nproject by the Stanford Computer Security Lab to build a secure,\npowerful, fast, small, easy-to-use, cross-browser library for\ncryptography in Javascript.\n\nCopyright (c) 2009-2015, Emily Stark, Mike Hamburg and Dan Boneh at\nStanford University.\n\nThis program is free software; you can redistribute it and/or modify it\nunder the terms of the GNU General Public License as published by the\nFree Software Foundation; either version 2 of the License, or (at your\noption) any later version.\n\nThis program is distributed in the hope that it will be useful, but\nWITHOUT ANY WARRANTY; without even the implied warranty of\nMERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General\nPublic License for more details.\n\nYou should have received a copy of the GNU General Public License along\nwith this program; if not, write to the Free Software Foundation, Inc.,\n59 Temple Place, Suite 330, Boston, MA 02111-1307 USA"
},
"$:/core/templates/MOTW.html": {
"title": "$:/core/templates/MOTW.html",
"text": "\\rules only filteredtranscludeinline transcludeinline entity\n<!-- The following comment is called a MOTW comment and is necessary for the TiddlyIE Internet Explorer extension -->\n<!-- saved from url=(0021)https://tiddlywiki.com --> "
},
"$:/core/templates/alltiddlers.template.html": {
"title": "$:/core/templates/alltiddlers.template.html",
"type": "text/vnd.tiddlywiki-html",
"text": "<!-- This template is provided for backwards compatibility with older versions of TiddlyWiki -->\n\n<$set name=\"exportFilter\" value=\"[!is[system]sort[title]]\">\n\n{{$:/core/templates/exporters/StaticRiver}}\n\n</$set>\n"
},
"$:/core/templates/canonical-uri-external-image": {
"title": "$:/core/templates/canonical-uri-external-image",
"text": "<!--\n\nThis template is used to assign the ''_canonical_uri'' field to external images.\n\nChange the `./images/` part to a different base URI. The URI can be relative or absolute.\n\n-->\n./images/<$view field=\"title\" format=\"doubleurlencoded\"/>"
},
"$:/core/templates/canonical-uri-external-raw": {
"title": "$:/core/templates/canonical-uri-external-raw",
"text": "<!--\n\nThis template is used to assign the ''_canonical_uri'' field to external raw files that are stored in the same directory\n\n-->\n<$view field=\"title\" format=\"doubleurlencoded\"/>"
},
"$:/core/templates/canonical-uri-external-text": {
"title": "$:/core/templates/canonical-uri-external-text",
"text": "<!--\n\nThis template is used to assign the ''_canonical_uri'' field to external text files.\n\nChange the `./text/` part to a different base URI. The URI can be relative or absolute.\n\n-->\n./text/<$view field=\"title\" format=\"doubleurlencoded\"/>.tid"
},
"$:/core/templates/css-tiddler": {
"title": "$:/core/templates/css-tiddler",
"text": "<!--\n\nThis template is used for saving CSS tiddlers as a style tag with data attributes representing the tiddler fields.\n\n-->`<style`<$fields template=' data-tiddler-$name$=\"$encoded_value$\"'></$fields>` type=\"text/css\">`<$view field=\"text\" format=\"text\" />`</style>`"
},
"$:/core/templates/exporters/CsvFile": {
"title": "$:/core/templates/exporters/CsvFile",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/CsvFile}}",
"extension": ".csv",
"text": "<$macrocall $name=\"csvtiddlers\" filter=<<exportFilter>> format=\"quoted-comma-sep\" $output=\"text/raw\"/>\n"
},
"$:/core/templates/exporters/JsonFile": {
"title": "$:/core/templates/exporters/JsonFile",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/JsonFile}}",
"extension": ".json",
"text": "<$macrocall $name=\"jsontiddlers\" filter=<<exportFilter>> $output=\"text/raw\"/>\n"
},
"$:/core/templates/exporters/StaticRiver": {
"title": "$:/core/templates/exporters/StaticRiver",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/StaticRiver}}",
"extension": ".html",
"text": "\\define tv-wikilink-template() #$uri_encoded$\n\\define tv-config-toolbar-icons() no\n\\define tv-config-toolbar-text() no\n\\define tv-config-toolbar-class() tc-btn-invisible\n\\rules only filteredtranscludeinline transcludeinline\n<!doctype html>\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"{{$:/core/templates/version}}\" />\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>{{$:/core/wiki/title}}</title>\n<div id=\"styleArea\">\n{{$:/boot/boot.css||$:/core/templates/css-tiddler}}\n</div>\n<style type=\"text/css\">\n{{$:/core/ui/PageStylesheet||$:/core/templates/wikified-tiddler}}\n</style>\n</head>\n<body class=\"tc-body\">\n{{$:/StaticBanner||$:/core/templates/html-tiddler}}\n<section class=\"tc-story-river tc-static-story-river\">\n{{$:/core/templates/exporters/StaticRiver/Content||$:/core/templates/html-tiddler}}\n</section>\n</body>\n</html>\n"
},
"$:/core/templates/exporters/StaticRiver/Content": {
"title": "$:/core/templates/exporters/StaticRiver/Content",
"text": "\\define renderContent()\n{{{ $(exportFilter)$ ||$:/core/templates/static-tiddler}}}\n\\end\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n<<renderContent>>\n"
},
"$:/core/templates/exporters/TidFile": {
"title": "$:/core/templates/exporters/TidFile",
"tags": "$:/tags/Exporter",
"description": "{{$:/language/Exporters/TidFile}}",
"extension": ".tid",
"condition": "[<count>compare:lte[1]]",
"text": "\\define renderContent()\n{{{ $(exportFilter)$ +[limit[1]] ||$:/core/templates/tid-tiddler}}}\n\\end\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n<<renderContent>>"
},
"$:/core/save/all-external-js": {
"title": "$:/core/save/all-external-js",
"text": "\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n\\define saveTiddlerFilter()\n[is[tiddler]] -[prefix[$:/state/popup/]] -[prefix[$:/temp/]] -[prefix[$:/HistoryList]] -[status[pending]plugin-type[import]] -[[$:/core]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]] $(publishFilter)$\n\\end\n{{$:/core/templates/tiddlywiki5-external-js.html}}\n"
},
"$:/core/templates/tiddlywiki5.js": {
"title": "$:/core/templates/tiddlywiki5.js",
"text": "\\rules only filteredtranscludeinline transcludeinline codeinline\n\n/*\n{{ $:/core/copyright.txt ||$:/core/templates/plain-text-tiddler}}\n`*/\n`<!--~~ Library modules ~~-->\n{{{ [is[system]type[application/javascript]library[yes]] ||$:/core/templates/plain-text-tiddler}}}\n<!--~~ Boot prefix ~~-->\n{{ $:/boot/bootprefix.js ||$:/core/templates/plain-text-tiddler}}\n<!--~~ Core plugin ~~-->\n{{$:/core/templates/tiddlywiki5.js/tiddlers}}\n<!--~~ Boot kernel ~~-->\n{{ $:/boot/boot.js ||$:/core/templates/plain-text-tiddler}}\n"
},
"$:/core/templates/tiddlywiki5.js/tiddlers": {
"title": "$:/core/templates/tiddlywiki5.js/tiddlers",
"text": "`\n$tw.preloadTiddlerArray(`<$text text=<<jsontiddlers \"[[$:/core]]\">>/>`);\n`\n"
},
"$:/core/templates/tiddlywiki5-external-js.html": {
"title": "$:/core/templates/tiddlywiki5-external-js.html",
"text": "\\rules only filteredtranscludeinline transcludeinline\n<!doctype html>\n{{$:/core/templates/MOTW.html}}<html lang=\"`<$text text={{{ [{$:/language}get[name]] }}}/>`\">\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<!--~~ Raw markup for the top of the head section ~~-->\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawMarkupWikified/TopHead]] ||$:/core/templates/raw-static-tiddler}}}\n<meta http-equiv=\"X-UA-Compatible\" content=\"IE=Edge\"/>\n<meta name=\"application-name\" content=\"TiddlyWiki\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"{{$:/core/templates/version}}\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\" />\n<meta name=\"copyright\" content=\"{{$:/core/copyright.txt}}\" />\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>{{$:/core/wiki/title}}</title>\n<!--~~ This is a Tiddlywiki file. The points of interest in the file are marked with this pattern ~~-->\n\n<!--~~ Raw markup ~~-->\n{{{ [all[shadows+tiddlers]tag[$:/core/wiki/rawmarkup]] [all[shadows+tiddlers]tag[$:/tags/RawMarkup]] ||$:/core/templates/plain-text-tiddler}}}\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawMarkupWikified]] ||$:/core/templates/raw-static-tiddler}}}\n</head>\n<body class=\"tc-body\">\n<!--~~ Raw markup for the top of the body section ~~-->\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawMarkupWikified/TopBody]] ||$:/core/templates/raw-static-tiddler}}}\n<!--~~ Static styles ~~-->\n<div id=\"styleArea\">\n{{$:/boot/boot.css||$:/core/templates/css-tiddler}}\n</div>\n<!--~~ Static content for Google and browsers without JavaScript ~~-->\n<noscript>\n<div id=\"splashArea\">\n{{$:/core/templates/static.area}}\n</div>\n</noscript>\n<!--~~ Ordinary tiddlers ~~-->\n{{$:/core/templates/store.area.template.html}}\n<!--~~ Raw markup for the bottom of the body section ~~-->\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawMarkupWikified/BottomBody]] ||$:/core/templates/raw-static-tiddler}}}\n</body>\n<script src=\"%24%3A%2Fcore%2Ftemplates%2Ftiddlywiki5.js\" onerror=\"alert('Error: Cannot load tiddlywiki.js');\"></script>\n</html>\n"
},
"$:/core/templates/html-div-skinny-tiddler": {
"title": "$:/core/templates/html-div-skinny-tiddler",
"text": "<!--\n\nThis template is a variant of $:/core/templates/html-div-tiddler used for saving skinny tiddlers (with no text field)\n\n-->`<div`<$fields template=' $name$=\"$encoded_value$\"'></$fields>`>\n<pre></pre>\n</div>`\n"
},
"$:/core/templates/html-div-tiddler": {
"title": "$:/core/templates/html-div-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as an HTML DIV tag with attributes representing the tiddler fields.\n\n-->`<div`<$fields template=' $name$=\"$encoded_value$\"'></$fields>`>\n<pre>`<$view field=\"text\" format=\"htmlencoded\" />`</pre>\n</div>`\n"
},
"$:/core/templates/html-tiddler": {
"title": "$:/core/templates/html-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as raw HTML\n\n--><$view field=\"text\" format=\"htmlwikified\" />"
},
"$:/core/templates/javascript-tiddler": {
"title": "$:/core/templates/javascript-tiddler",
"text": "<!--\n\nThis template is used for saving JavaScript tiddlers as a script tag with data attributes representing the tiddler fields.\n\n-->`<script`<$fields template=' data-tiddler-$name$=\"$encoded_value$\"'></$fields>` type=\"text/javascript\">`<$view field=\"text\" format=\"text\" />`</script>`"
},
"$:/core/templates/json-tiddler": {
"title": "$:/core/templates/json-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as raw JSON\n\n--><$text text=<<jsontiddler>>/>"
},
"$:/core/templates/module-tiddler": {
"title": "$:/core/templates/module-tiddler",
"text": "<!--\n\nThis template is used for saving JavaScript tiddlers as a script tag with data attributes representing the tiddler fields. The body of the tiddler is wrapped in a call to the `$tw.modules.define` function in order to define the body of the tiddler as a module\n\n-->`<script`<$fields template=' data-tiddler-$name$=\"$encoded_value$\"'></$fields>` type=\"text/javascript\" data-module=\"yes\">$tw.modules.define(\"`<$view field=\"title\" format=\"jsencoded\" />`\",\"`<$view field=\"module-type\" format=\"jsencoded\" />`\",function(module,exports,require) {`<$view field=\"text\" format=\"text\" />`});\n</script>`"
},
"$:/core/templates/plain-text-tiddler": {
"title": "$:/core/templates/plain-text-tiddler",
"text": "<$view field=\"text\" format=\"text\" />"
},
"$:/core/templates/raw-static-tiddler": {
"title": "$:/core/templates/raw-static-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers as static HTML\n\n--><$view field=\"text\" format=\"plainwikified\" />"
},
"$:/core/save/all": {
"title": "$:/core/save/all",
"text": "\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n\\define saveTiddlerFilter()\n[is[tiddler]] -[prefix[$:/state/popup/]] -[prefix[$:/temp/]] -[prefix[$:/HistoryList]] -[status[pending]plugin-type[import]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]] $(publishFilter)$\n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/save/empty": {
"title": "$:/core/save/empty",
"text": "\\define saveTiddlerFilter()\n[is[system]] -[prefix[$:/state/popup/]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]]\n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/save/lazy-all": {
"title": "$:/core/save/lazy-all",
"text": "\\define saveTiddlerFilter()\n[is[system]] -[prefix[$:/state/popup/]] -[[$:/HistoryList]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] +[sort[title]] \n\\end\n\\define skinnySaveTiddlerFilter()\n[!is[system]]\n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/save/lazy-images": {
"title": "$:/core/save/lazy-images",
"text": "\\define saveTiddlerFilter()\n[is[tiddler]] -[prefix[$:/state/popup/]] -[[$:/HistoryList]] -[[$:/boot/boot.css]] -[type[application/javascript]library[yes]] -[[$:/boot/boot.js]] -[[$:/boot/bootprefix.js]] -[!is[system]is[image]] +[sort[title]] \n\\end\n\\define skinnySaveTiddlerFilter()\n[!is[system]is[image]]\n\\end\n{{$:/core/templates/tiddlywiki5.html}}\n"
},
"$:/core/templates/server/static.sidebar.wikitext": {
"title": "$:/core/templates/server/static.sidebar.wikitext",
"text": "\\whitespace trim\n<div class=\"tc-sidebar-scrollable\" style=\"overflow: auto;\">\n<div class=\"tc-sidebar-header\">\n<h1 class=\"tc-site-title\">\n<$transclude tiddler=\"$:/SiteTitle\"/>\n</h1>\n<div class=\"tc-site-subtitle\">\n<$transclude tiddler=\"$:/SiteSubtitle\"/>\n</div>\n<h2>\n</h2>\n<div class=\"tc-sidebar-lists\">\n<$list filter={{$:/DefaultTiddlers}}>\n<div class=\"tc-menu-list-subitem\">\n<$link><$text text=<<currentTiddler>>/></$link>\n</div>\n</$list>\n</div>\n<!-- Currently disabled the recent list as it is unweildy when the responsive narrow view kicks in\n<h2>\n{{$:/language/SideBar/Recent/Caption}}\n</h2>\n<div class=\"tc-sidebar-lists\">\n<$macrocall $name=\"timeline\" format={{$:/language/RecentChanges/DateFormat}}/>\n</div>\n</div>\n</div>\n-->\n"
},
"$:/core/templates/server/static.tiddler.html": {
"title": "$:/core/templates/server/static.tiddler.html",
"text": "\\whitespace trim\n\\define tv-wikilink-template() $uri_encoded$\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content={{$:/core/templates/version}} />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<link rel=\"stylesheet\" href=\"%24%3A%2Fcore%2Ftemplates%2Fstatic.template.css\">\n<title><$view field=\"caption\" format=\"plainwikified\"><$view field=\"title\"/></$view>: <$view tiddler=\"$:/core/wiki/title\" format=\"plainwikified\"/></title>\n</head>\n<body class=\"tc-body\">\n<$transclude tiddler=\"$:/core/templates/server/static.sidebar.wikitext\" mode=\"inline\"/>\n<section class=\"tc-story-river\">\n<div class=\"tc-tiddler-frame\">\n<$transclude tiddler=\"$:/core/templates/server/static.tiddler.wikitext\" mode=\"inline\"/>\n</div>\n</section>\n</body>\n</html>"
},
"$:/core/templates/server/static.tiddler.wikitext": {
"title": "$:/core/templates/server/static.tiddler.wikitext",
"text": "\\whitespace trim\n<div class=\"tc-tiddler-title\">\n<div class=\"tc-titlebar\">\n<h2><$text text=<<currentTiddler>>/></h2>\n</div>\n</div>\n<div class=\"tc-subtitle\">\n<$link to={{!!modifier}}>\n<$view field=\"modifier\"/>\n</$link> <$view field=\"modified\" format=\"date\" template={{$:/language/Tiddler/DateFormat}}/>\n</div>\n<div class=\"tc-tags-wrapper\">\n<$list filter=\"[all[current]tags[]sort[title]]\">\n<a href={{{ [<currentTiddler>encodeuricomponent[]] }}}>\n<$macrocall $name=\"tag-pill\" tag=<<currentTiddler>>/>\n</a>\n</$list>\n</div>\n<div class=\"tc-tiddler-body\">\n<$transclude mode=\"block\"/>\n</div>\n"
},
"$:/core/templates/single.tiddler.window": {
"title": "$:/core/templates/single.tiddler.window",
"text": "\\whitespace trim\n\\define containerClasses()\ntc-page-container tc-page-view-$(storyviewTitle)$ tc-language-$(languageTitle)$\n\\end\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n\n<$vars\n\ttv-config-toolbar-icons={{$:/config/Toolbar/Icons}}\n\ttv-config-toolbar-text={{$:/config/Toolbar/Text}}\n\ttv-config-toolbar-class={{$:/config/Toolbar/ButtonClass}}\n\ttv-show-missing-links={{$:/config/MissingLinks}}\n\tstoryviewTitle={{$:/view}}\n\tlanguageTitle={{{ [{$:/language}get[name]] }}}>\n\n<div class=<<containerClasses>>>\n\n<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\">\n\n<$transclude mode=\"block\"/>\n\n</$navigator>\n\n</div>\n\n</$vars>\n"
},
"$:/core/templates/split-recipe": {
"title": "$:/core/templates/split-recipe",
"text": "<$list filter=\"[!is[system]]\">\ntiddler: <$view field=\"title\" format=\"urlencoded\"/>.tid\n</$list>\n"
},
"$:/core/templates/static-tiddler": {
"title": "$:/core/templates/static-tiddler",
"text": "<a name=<<currentTiddler>>>\n<$transclude tiddler=\"$:/core/ui/ViewTemplate\"/>\n</a>"
},
"$:/core/templates/static.area": {
"title": "$:/core/templates/static.area",
"text": "<$reveal type=\"nomatch\" state=\"$:/isEncrypted\" text=\"yes\">\n{{{ [all[shadows+tiddlers]tag[$:/tags/RawStaticContent]!has[draft.of]] ||$:/core/templates/raw-static-tiddler}}}\n{{$:/core/templates/static.content||$:/core/templates/html-tiddler}}\n</$reveal>\n<$reveal type=\"match\" state=\"$:/isEncrypted\" text=\"yes\">\nThis file contains an encrypted ~TiddlyWiki. Enable ~JavaScript and enter the decryption password when prompted.\n</$reveal>\n<!-- ensure splash screen isn't shown when JS is disabled -->\n`<style>\n.tc-remove-when-wiki-loaded {display: none;}\n</style>`\n"
},
"$:/core/templates/static.content": {
"title": "$:/core/templates/static.content",
"text": "<!-- For Google, and people without JavaScript-->\nThis [[TiddlyWiki|https://tiddlywiki.com]] contains the following tiddlers:\n\n<ul>\n<$list filter=<<saveTiddlerFilter>>>\n<li><$view field=\"title\" format=\"text\"></$view></li>\n</$list>\n</ul>\n"
},
"$:/core/templates/static.template.css": {
"title": "$:/core/templates/static.template.css",
"text": "{{$:/boot/boot.css||$:/core/templates/plain-text-tiddler}}\n\n{{$:/core/ui/PageStylesheet||$:/core/templates/wikified-tiddler}}\n"
},
"$:/core/templates/static.template.html": {
"title": "$:/core/templates/static.template.html",
"type": "text/vnd.tiddlywiki-html",
"text": "\\define tv-wikilink-template() static/$uri_doubleencoded$.html\n\\define tv-config-toolbar-icons() no\n\\define tv-config-toolbar-text() no\n\\define tv-config-toolbar-class() tc-btn-invisible\n\\rules only filteredtranscludeinline transcludeinline\n<!doctype html>\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"{{$:/core/templates/version}}\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>{{$:/core/wiki/title}}</title>\n<div id=\"styleArea\">\n{{$:/boot/boot.css||$:/core/templates/css-tiddler}}\n</div>\n<style type=\"text/css\">\n{{$:/core/ui/PageStylesheet||$:/core/templates/wikified-tiddler}}\n</style>\n</head>\n<body class=\"tc-body\">\n{{$:/StaticBanner||$:/core/templates/html-tiddler}}\n{{$:/core/ui/PageTemplate||$:/core/templates/html-tiddler}}\n</body>\n</html>\n"
},
"$:/core/templates/static.tiddler.html": {
"title": "$:/core/templates/static.tiddler.html",
"text": "\\define tv-wikilink-template() $uri_doubleencoded$.html\n\\define tv-config-toolbar-icons() no\n\\define tv-config-toolbar-text() no\n\\define tv-config-toolbar-class() tc-btn-invisible\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n`<!doctype html>\n<html>\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"`{{$:/core/templates/version}}`\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\">\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<link rel=\"stylesheet\" href=\"static.css\">\n<title>`<$view field=\"caption\"><$view field=\"title\"/></$view>: {{$:/core/wiki/title}}`</title>\n</head>\n<body class=\"tc-body\">\n`{{$:/StaticBanner||$:/core/templates/html-tiddler}}`\n<section class=\"tc-story-river tc-static-story-river\">\n`<$view tiddler=\"$:/core/ui/ViewTemplate\" format=\"htmlwikified\"/>`\n</section>\n</body>\n</html>\n`\n"
},
"$:/core/templates/store.area.template.html": {
"title": "$:/core/templates/store.area.template.html",
"text": "<$reveal type=\"nomatch\" state=\"$:/isEncrypted\" text=\"yes\">\n`<div id=\"storeArea\" style=\"display:none;\">`\n<$list filter=<<saveTiddlerFilter>> template=\"$:/core/templates/html-div-tiddler\"/>\n<$list filter={{{ [<skinnySaveTiddlerFilter>] }}} template=\"$:/core/templates/html-div-skinny-tiddler\"/>\n`</div>`\n</$reveal>\n<$reveal type=\"match\" state=\"$:/isEncrypted\" text=\"yes\">\n`<!--~~ Encrypted tiddlers ~~-->`\n`<pre id=\"encryptedStoreArea\" type=\"text/plain\" style=\"display:none;\">`\n<$encrypt filter=<<saveTiddlerFilter>>/>\n`</pre>`\n</$reveal>"
},
"$:/core/templates/tid-tiddler": {
"title": "$:/core/templates/tid-tiddler",
"text": "<!--\n\nThis template is used for saving tiddlers in TiddlyWeb *.tid format\n\n--><$fields exclude='text bag' template='$name$: $value$\n'></$fields>`\n`<$view field=\"text\" format=\"text\" />"
},
"$:/core/templates/tiddler-metadata": {
"title": "$:/core/templates/tiddler-metadata",
"text": "<!--\n\nThis template is used for saving tiddler metadata *.meta files\n\n--><$fields exclude='text bag' template='$name$: $value$\n'></$fields>"
},
"$:/core/templates/tiddlywiki5.html": {
"title": "$:/core/templates/tiddlywiki5.html",
"text": "<$set name=\"saveTiddlerAndShadowsFilter\" filter=\"[subfilter<saveTiddlerFilter>] [subfilter<saveTiddlerFilter>plugintiddlers[]]\">\n`<!doctype html>\n`{{$:/core/templates/MOTW.html}}`<html lang=\"`<$text text={{{ [{$:/language}get[name]] }}}/>`\">\n<head>\n<meta http-equiv=\"Content-Type\" content=\"text/html;charset=utf-8\" />\n<!--~~ Raw markup for the top of the head section ~~-->\n`{{{ [<saveTiddlerAndShadowsFilter>tag[$:/tags/RawMarkupWikified/TopHead]] ||$:/core/templates/raw-static-tiddler}}}`\n<meta http-equiv=\"X-UA-Compatible\" content=\"IE=Edge\"/>\n<meta name=\"application-name\" content=\"TiddlyWiki\" />\n<meta name=\"generator\" content=\"TiddlyWiki\" />\n<meta name=\"tiddlywiki-version\" content=\"`{{$:/core/templates/version}}`\" />\n<meta name=\"viewport\" content=\"width=device-width, initial-scale=1.0\" />\n<meta name=\"apple-mobile-web-app-capable\" content=\"yes\" />\n<meta name=\"apple-mobile-web-app-status-bar-style\" content=\"black-translucent\" />\n<meta name=\"mobile-web-app-capable\" content=\"yes\"/>\n<meta name=\"format-detection\" content=\"telephone=no\" />\n<meta name=\"copyright\" content=\"`{{$:/core/copyright.txt}}`\" />\n<link id=\"faviconLink\" rel=\"shortcut icon\" href=\"favicon.ico\">\n<title>`{{$:/core/wiki/title}}`</title>\n<!--~~ This is a Tiddlywiki file. The points of interest in the file are marked with this pattern ~~-->\n\n<!--~~ Raw markup ~~-->\n`{{{ [enlist<saveTiddlerAndShadowsFilter>tag[$:/core/wiki/rawmarkup]] ||$:/core/templates/plain-text-tiddler}}}\n{{{ [enlist<saveTiddlerAndShadowsFilter>tag[$:/tags/RawMarkup]] ||$:/core/templates/plain-text-tiddler}}}\n{{{ [enlist<saveTiddlerAndShadowsFilter>tag[$:/tags/RawMarkupWikified]] ||$:/core/templates/raw-static-tiddler}}}`\n</head>\n<body class=\"tc-body\">\n<!--~~ Raw markup for the top of the body section ~~-->\n`{{{ [enlist<saveTiddlerAndShadowsFilter>tag[$:/tags/RawMarkupWikified/TopBody]] ||$:/core/templates/raw-static-tiddler}}}`\n<!--~~ Static styles ~~-->\n<div id=\"styleArea\">\n`{{$:/boot/boot.css||$:/core/templates/css-tiddler}}`\n</div>\n<!--~~ Static content for Google and browsers without JavaScript ~~-->\n<noscript>\n<div id=\"splashArea\">\n`{{$:/core/templates/static.area}}`\n</div>\n</noscript>\n<!--~~ Ordinary tiddlers ~~-->\n`{{$:/core/templates/store.area.template.html}}`\n<!--~~ Library modules ~~-->\n<div id=\"libraryModules\" style=\"display:none;\">\n`{{{ [is[system]type[application/javascript]library[yes]] ||$:/core/templates/javascript-tiddler}}}`\n</div>\n<!--~~ Boot kernel prologue ~~-->\n<div id=\"bootKernelPrefix\" style=\"display:none;\">\n`{{ $:/boot/bootprefix.js ||$:/core/templates/javascript-tiddler}}`\n</div>\n<!--~~ Boot kernel ~~-->\n<div id=\"bootKernel\" style=\"display:none;\">\n`{{ $:/boot/boot.js ||$:/core/templates/javascript-tiddler}}`\n</div>\n<!--~~ Raw markup for the bottom of the body section ~~-->\n`{{{ [enlist<saveTiddlerAndShadowsFilter>tag[$:/tags/RawMarkupWikified/BottomBody]] ||$:/core/templates/raw-static-tiddler}}}`\n</body>\n</html>`\n"
},
"$:/core/templates/version": {
"title": "$:/core/templates/version",
"text": "<<version>>"
},
"$:/core/templates/wikified-tiddler": {
"title": "$:/core/templates/wikified-tiddler",
"text": "<$transclude />"
},
"$:/core/ui/AboveStory/tw2-plugin-check": {
"title": "$:/core/ui/AboveStory/tw2-plugin-check",
"tags": "$:/tags/AboveStory",
"text": "\\define lingo-base() $:/language/AboveStory/ClassicPlugin/\n<$list filter=\"[all[system+tiddlers]tag[systemConfig]limit[1]]\">\n\n<div class=\"tc-message-box\">\n\n<<lingo Warning>>\n\n<ul>\n\n<$list filter=\"[all[system+tiddlers]tag[systemConfig]]\">\n\n<li>\n\n<$link><$view field=\"title\"/></$link>\n\n</li>\n\n</$list>\n\n</ul>\n\n</div>\n\n</$list>\n"
},
"$:/core/ui/Actions/new-image": {
"title": "$:/core/ui/Actions/new-image",
"tags": "$:/tags/Actions",
"description": "create a new image tiddler",
"text": "\\define get-type()\nimage/$(imageType)$\n\\end\n\\define get-tags() $(textFieldTags)$ $(tagsFieldTags)$\n<$vars imageType={{$:/config/NewImageType}} textFieldTags={{$:/config/NewJournal/Tags}} tagsFieldTags={{$:/config/NewJournal/Tags!!tags}}>\n<$action-sendmessage $message=\"tm-new-tiddler\" type=<<get-type>> tags=<<get-tags>>/>\n</$vars>\n"
},
"$:/core/ui/Actions/new-journal": {
"title": "$:/core/ui/Actions/new-journal",
"tags": "$:/tags/Actions",
"description": "create a new journal tiddler",
"text": "\\define get-tags() $(textFieldTags)$ $(tagsFieldTags)$\n<$vars journalTitleTemplate={{$:/config/NewJournal/Title}} textFieldTags={{$:/config/NewJournal/Tags}} tagsFieldTags={{$:/config/NewJournal/Tags!!tags}} journalText={{$:/config/NewJournal/Text}}>\n<$wikify name=\"journalTitle\" text=\"\"\"<$macrocall $name=\"now\" format=<<journalTitleTemplate>>/>\"\"\">\n<$reveal type=\"nomatch\" state=<<journalTitle>> text=\"\">\n<$action-sendmessage $message=\"tm-new-tiddler\" title=<<journalTitle>> tags=<<get-tags>> text={{{ [<journalTitle>get[]] }}}/>\n</$reveal>\n<$reveal type=\"match\" state=<<journalTitle>> text=\"\">\n<$action-sendmessage $message=\"tm-new-tiddler\" title=<<journalTitle>> tags=<<get-tags>> text=<<journalText>>/>\n</$reveal>\n</$wikify>\n</$vars>\n"
},
"$:/core/ui/Actions/new-tiddler": {
"title": "$:/core/ui/Actions/new-tiddler",
"tags": "$:/tags/Actions",
"description": "create a new empty tiddler",
"text": "\\define get-tags() $(textFieldTags)$ $(tagsFieldTags)$\n<$vars textFieldTags={{$:/config/NewTiddler/Tags}} tagsFieldTags={{$:/config/NewTiddler/Tags!!tags}}>\n<$action-sendmessage $message=\"tm-new-tiddler\" tags=<<get-tags>>/>\n</$vars>\n"
},
"$:/core/ui/AdvancedSearch/Filter": {
"title": "$:/core/ui/AdvancedSearch/Filter",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/Filter/Caption}}",
"text": "\\define lingo-base() $:/language/Search/\n\\define set-next-input-tab(beforeafter:\"after\") <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab--1498284803\" tag=\"$:/tags/AdvancedSearch\" beforeafter=\"$beforeafter$\" defaultState=\"$:/core/ui/AdvancedSearch/System\" actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define cancel-search-actions() <$list filter=\"[{$:/temp/advancedsearch/input}!match{$:/temp/advancedsearch}]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[[$:/temp/advancedsearch]] [[$:/temp/advancedsearch/input]] [[$:/temp/advancedsearch/selected-item]]\" />\"\"\"><$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text={{$:/temp/advancedsearch}}/><$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/></$list>\n\n\\define input-accept-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\"\"\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\n\n\\define input-accept-variant-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\"\"\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\n\n<<lingo Filter/Hint>>\n\n<div class=\"tc-search tc-advanced-search\">\n<$keyboard key=\"((input-tab-right))\" actions=<<set-next-input-tab>>>\n<$keyboard key=\"((input-tab-left))\" actions=<<set-next-input-tab \"before\">>>\n<$macrocall $name=\"keyboard-driven-input\" tiddler=\"$:/temp/advancedsearch/input\" storeTitle=\"$:/temp/advancedsearch\" \n\t\trefreshTitle=\"$:/temp/advancedsearch/refresh\" selectionStateTitle=\"$:/temp/advancedsearch/selected-item\" type=\"search\" \n\t\ttag=\"input\" focus={{$:/config/Search/AutoFocus}} configTiddlerFilter=\"[[$:/temp/advancedsearch]]\" firstSearchFilterField=\"text\" \n\t\tinputAcceptActions=<<input-accept-actions>> inputAcceptVariantActions=<<input-accept-variant-actions>> \n\t\tinputCancelActions=<<cancel-search-actions>>/>\n</$keyboard>\n</$keyboard>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/AdvancedSearch/FilterButton]!has[draft.of]]\"><$transclude/></$list>\n</div>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter={{$:/temp/advancedsearch}}/>\"\"\">\n<div class=\"tc-search-results\">\n<<lingo Filter/Matches>>\n<$list filter={{$:/temp/advancedsearch}}>\n<span class={{{[<currentTiddler>addsuffix[-primaryList]] -[[$:/temp/advancedsearch/selected-item]get[text]] +[then[]else[tc-list-item-selected]] }}}>\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</span>\n</$list>\n</div>\n</$set>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/clear": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/clear",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<<cancel-search-actions>>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=\"\"\".tc-advanced-search input\"\"\" />\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/delete": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/delete",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button popup=<<qualify \"$:/state/filterDeleteDropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/delete-button}}\n</$button>\n</$reveal>\n\n<$reveal state=<<qualify \"$:/state/filterDeleteDropdown\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<div class=\"tc-dropdown-item-plain\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter={{$:/temp/advancedsearch}}/>\"\"\">\nAre you sure you wish to delete <<resultCount>> tiddler(s)?\n</$set>\n</div>\n<div class=\"tc-dropdown-item-plain\">\n<$button class=\"tc-btn\">\n<$action-deletetiddler $filter={{$:/temp/advancedsearch}}/>\nDelete these tiddlers\n</$button>\n</div>\n</div>\n</div>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/dropdown": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/dropdown",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/filterDropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n</span>\n\n<$reveal state=<<qualify \"$:/state/filterDropdown\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n<$set name=\"tv-show-missing-links\" value=\"yes\">\n<$linkcatcher actions=\"\"\"<$action-setfield $tiddler=\"$:/temp/advancedsearch\" text=<<navigateTo>>/><$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text=<<navigateTo>>/><$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/><$action-sendmessage $message=\"tm-focus-selector\" $param='.tc-advanced-search input' />\"\"\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Filter]]\"><$link to={{!!filter}}><$transclude field=\"description\"/></$link>\n</$list>\n</div>\n</div>\n</$linkcatcher>\n</$set>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Filter/FilterButtons/export": {
"title": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/export",
"tags": "$:/tags/AdvancedSearch/FilterButton",
"text": "<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$macrocall $name=\"exportButton\" exportFilter={{$:/temp/advancedsearch}} lingoBase=\"$:/language/Buttons/ExportTiddlers/\"/>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Shadows": {
"title": "$:/core/ui/AdvancedSearch/Shadows",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/Shadows/Caption}}",
"first-search-filter": "[all[shadows]search<userInput>sort[title]limit[250]] -[[$:/temp/advancedsearch]] -[[$:/temp/advancedsearch/input]]",
"text": "\\define lingo-base() $:/language/Search/\n\n\\define set-next-input-tab(beforeafter:\"after\") <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab--1498284803\" tag=\"$:/tags/AdvancedSearch\" beforeafter=\"$beforeafter$\" defaultState=\"$:/core/ui/AdvancedSearch/System\" actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define cancel-search-actions() <$list filter=\"[{$:/temp/advancedsearch}!match{$:/temp/advancedsearch/input}]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[[$:/temp/advancedsearch]] [[$:/temp/advancedsearch/input]] [[$:/temp/advancedsearch/selected-item]]\" />\"\"\"><$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text={{$:/temp/advancedsearch}}/><$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/></$list><$action-sendmessage $message=\"tm-focus-selector\" $param=\"\"\".tc-advanced-search input\"\"\"/>\n\n\\define input-accept-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\"\"\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\n\n\\define input-accept-variant-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\"\"\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\n\n<<lingo Shadows/Hint>>\n\n<div class=\"tc-search\">\n<$keyboard key=\"((input-tab-right))\" actions=<<set-next-input-tab>>>\n<$keyboard key=\"((input-tab-left))\" actions=<<set-next-input-tab \"before\">>>\n<$macrocall $name=\"keyboard-driven-input\" tiddler=\"$:/temp/advancedsearch/input\" storeTitle=\"$:/temp/advancedsearch\"\n\t\trefreshTitle=\"$:/temp/advancedsearch/refresh\" selectionStateTitle=\"$:/temp/advancedsearch/selected-item\" type=\"search\"\n\t\ttag=\"input\" focus={{$:/config/Search/AutoFocus}} configTiddlerFilter=\"[[$:/core/ui/AdvancedSearch/Shadows]]\"\n\t\tinputCancelActions=<<cancel-search-actions>> inputAcceptActions=<<input-accept-actions>> \n\t\tinputAcceptVariantActions=<<input-accept-variant-actions>> filterMinLength={{$:/config/Search/MinLength}}/>\n</$keyboard>\n</$keyboard>\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<<cancel-search-actions>>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n\n<$list filter=\"[{$:/temp/advancedsearch}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[all[shadows]search{$:/temp/advancedsearch}] -[[$:/temp/advancedsearch]] -[[$:/temp/advancedsearch/input]]\"/>\"\"\">\n\n<div class=\"tc-search-results\">\n\n<<lingo Shadows/Matches>>\n\n<$list filter=\"[all[shadows]search{$:/temp/advancedsearch}sort[title]limit[250]] -[[$:/temp/advancedsearch]] -[[$:/temp/advancedsearch/input]]\">\n<span class={{{[<currentTiddler>addsuffix[-primaryList]] -[[$:/temp/advancedsearch/selected-item]get[text]] +[then[]else[tc-list-item-selected]] }}}>\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</span>\n</$list>\n\n</div>\n\n</$set>\n\n</$list>\n\n</$reveal>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"match\" text=\"\">\n\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/Standard": {
"title": "$:/core/ui/AdvancedSearch/Standard",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/Standard/Caption}}",
"text": "\\define lingo-base() $:/language/Search/\n\\define set-next-input-tab(beforeafter:\"after\") <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab--1498284803\" tag=\"$:/tags/AdvancedSearch\" beforeafter=\"$beforeafter$\" defaultState=\"$:/core/ui/AdvancedSearch/System\" actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define next-search-tab(beforeafter:\"after\") <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab/search-results/advancedsearch\" tag=\"$:/tags/SearchResults\" beforeafter=\"$beforeafter$\" defaultState={{$:/config/SearchResults/Default}} actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/standard/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define cancel-search-actions() <$list filter=\"[{$:/temp/advancedsearch}!match{$:/temp/advancedsearch/input}]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[[$:/temp/advancedsearch]] [[$:/temp/advancedsearch/input]] [[$:/temp/advancedsearch/selected-item]]\" />\"\"\"><$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text={{$:/temp/advancedsearch}}/><$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/></$list><$action-sendmessage $message=\"tm-focus-selector\" $param=\"\"\".tc-advanced-search input\"\"\"/>\n\n\\define input-accept-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\"\"\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\n\n\\define input-accept-variant-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\"\"\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\n\n<<lingo Standard/Hint>>\n\n<div class=\"tc-search\">\n<$keyboard key=\"((input-tab-right))\" actions=<<set-next-input-tab>>>\n<$keyboard key=\"((input-tab-left))\" actions=<<set-next-input-tab \"before\">>>\n<$keyboard key=\"shift-alt-Right\" actions=<<next-search-tab>>>\n<$keyboard key=\"shift-alt-Left\" actions=<<next-search-tab \"before\">>>\n<$macrocall $name=\"keyboard-driven-input\" tiddler=\"$:/temp/advancedsearch/input\" storeTitle=\"$:/temp/advancedsearch\"\n\t\trefreshTitle=\"$:/temp/advancedsearch/refresh\" selectionStateTitle=\"$:/temp/advancedsearch/selected-item\" type=\"search\"\n\t\ttag=\"input\" focus={{$:/config/Search/AutoFocus}} inputCancelActions=<<cancel-search-actions>> \n\t\tinputAcceptActions=<<input-accept-actions>> inputAcceptVariantActions=<<input-accept-variant-actions>> \n\t\tconfigTiddlerFilter=\"[[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}]\"\n\t\tfilterMinLength={{$:/config/Search/MinLength}}/>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<<cancel-search-actions>>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$list filter=\"[{$:/temp/advancedsearch}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n<$vars userInput={{{ [[$:/temp/advancedsearch]get[text]] }}} configTiddler={{{ [[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}] }}} searchListState=\"$:/temp/advancedsearch/selected-item\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]butfirst[]limit[1]]\" emptyMessage=\"\"\"\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\">\n<$transclude/>\n</$list>\n\"\"\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\" default={{$:/config/SearchResults/Default}} actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/standard/currentTab\" text=<<currentTab>>/>\"\"\" explicitState=\"$:/state/tab/search-results/advancedsearch\" />\n</$list>\n</$vars>\n</$list>\n</$reveal>\n"
},
"$:/core/ui/AdvancedSearch/System": {
"title": "$:/core/ui/AdvancedSearch/System",
"tags": "$:/tags/AdvancedSearch",
"caption": "{{$:/language/Search/System/Caption}}",
"first-search-filter": "[is[system]search<userInput>sort[title]limit[250]] -[[$:/temp/advancedsearch]] -[[$:/temp/advancedsearch/input]] -[[$:/temp/advancedsearch/selected-item]]",
"text": "\\define lingo-base() $:/language/Search/\n\\define set-next-input-tab(beforeafter:\"after\",stateTitle,tag,defaultState,currentTabTiddler) <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab--1498284803\" tag=\"$:/tags/AdvancedSearch\" beforeafter=\"$beforeafter$\" defaultState=\"$:/core/ui/AdvancedSearch/System\" actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define cancel-search-actions() <$list filter=\"[{$:/temp/advancedsearch}!match{$:/temp/advancedsearch/input}]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[[$:/temp/advancedsearch]] [[$:/temp/advancedsearch/input]] [[$:/temp/advancedsearch/selected-item]]\" />\"\"\"><$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text={{$:/temp/advancedsearch}}/><$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/></$list><$action-sendmessage $message=\"tm-focus-selector\" $param=\"\"\".tc-advanced-search input\"\"\"/>\n\n\\define input-accept-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\"\"\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\n\n\\define input-accept-variant-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\"\"\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\n\n<<lingo System/Hint>>\n\n<div class=\"tc-search\">\n<$keyboard key=\"((input-tab-right))\" actions=<<set-next-input-tab>>>\n<$keyboard key=\"((input-tab-left))\" actions=<<set-next-input-tab \"before\">>>\n<$macrocall $name=\"keyboard-driven-input\" tiddler=\"$:/temp/advancedsearch/input\" storeTitle=\"$:/temp/advancedsearch\"\n\t\trefreshTitle=\"$:/temp/advancedsearch/refresh\" selectionStateTitle=\"$:/temp/advancedsearch/selected-item\"\n\t\ttype=\"search\" tag=\"input\" focus={{$:/config/Search/AutoFocus}} configTiddlerFilter=\"[[$:/core/ui/AdvancedSearch/System]]\"\n\t\tinputCancelActions=<<cancel-search-actions>> inputAcceptActions=<<input-accept-actions>> \n\t\tinputAcceptVariantActions=<<input-accept-variant-actions>> filterMinLength={{$:/config/Search/MinLength}}/>\n</$keyboard>\n</$keyboard>\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<<cancel-search-actions>>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n\n<$list filter=\"[{$:/temp/advancedsearch}minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[is[system]search{$:/temp/advancedsearch}] -[[$:/temp/advancedsearch]] -[[$:/temp/advancedsearch/input]] -[[$:/temp/advancedsearch/selected-item]]\"/>\"\"\">\n\n<div class=\"tc-search-results\">\n\n<<lingo System/Matches>>\n\n<$list filter=\"[is[system]search{$:/temp/advancedsearch}sort[title]limit[250]] -[[$:/temp/advancedsearch]] -[[$:/temp/advancedsearch/input]] -[[$:/temp/advancedsearch/selected-item]]\">\n<span class={{{[<currentTiddler>addsuffix[-primaryList]] -[[$:/temp/advancedsearch/selected-item]get[text]] +[then[]else[tc-list-item-selected]] }}}>\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</span>\n</$list>\n\n</div>\n\n</$set>\n\n</$list>\n\n</$reveal>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"match\" text=\"\">\n\n</$reveal>\n"
},
"$:/AdvancedSearch": {
"title": "$:/AdvancedSearch",
"icon": "$:/core/images/advanced-search-button",
"color": "#bbb",
"text": "<div class=\"tc-advanced-search\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/AdvancedSearch]!has[draft.of]]\" default=\"$:/core/ui/AdvancedSearch/System\" actions=\"\"\"<$action-setfield $tiddler=\"$:/state/advancedsearch/currentTab\" text=<<currentTab>>/>\"\"\" explicitState=\"$:/state/tab--1498284803\"/>\n</div>\n"
},
"$:/core/ui/AlertTemplate": {
"title": "$:/core/ui/AlertTemplate",
"text": "<div class=\"tc-alert\">\n<div class=\"tc-alert-toolbar\">\n<$button class=\"tc-btn-invisible\"><$action-deletetiddler $tiddler=<<currentTiddler>>/>{{$:/core/images/cancel-button}}</$button>\n</div>\n<div class=\"tc-alert-subtitle\">\n<$wikify name=\"format\" text=<<lingo Tiddler/DateFormat>>>\n<$view field=\"component\"/> - <$view field=\"modified\" format=\"date\" template=<<format>>/> <$reveal type=\"nomatch\" state=\"!!count\" text=\"\"><span class=\"tc-alert-highlight\">({{$:/language/Count}}: <$view field=\"count\"/>)</span></$reveal>\n</$wikify>\n</div>\n<div class=\"tc-alert-body\">\n\n<$transclude/>\n\n</div>\n</div>\n"
},
"$:/core/ui/BinaryWarning": {
"title": "$:/core/ui/BinaryWarning",
"text": "\\define lingo-base() $:/language/BinaryWarning/\n<<lingo Prompt>>\n"
},
"$:/core/ui/Components/plugin-info": {
"title": "$:/core/ui/Components/plugin-info",
"text": "\\define lingo-base() $:/language/ControlPanel/Plugins/\n\n\\define popup-state-macro()\n$(qualified-state)$-$(currentTiddler)$\n\\end\n\n\\define tabs-state-macro()\n$(popup-state)$-$(pluginInfoType)$\n\\end\n\n\\define plugin-icon-title()\n$(currentTiddler)$/icon\n\\end\n\n\\define plugin-disable-title()\n$:/config/Plugins/Disabled/$(currentTiddler)$\n\\end\n\n\\define plugin-table-body(type,disabledMessage,default-popup-state)\n<div class=\"tc-plugin-info-chunk tc-plugin-info-toggle\">\n<$reveal type=\"nomatch\" state=<<popup-state>> text=\"yes\" default=\"\"\"$default-popup-state$\"\"\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"yes\">\n{{$:/core/images/chevron-right}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<popup-state>> text=\"yes\" default=\"\"\"$default-popup-state$\"\"\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"no\">\n{{$:/core/images/chevron-down}}\n</$button>\n</$reveal>\n</div>\n<div class=\"tc-plugin-info-chunk tc-plugin-info-icon\">\n<$transclude tiddler=<<currentTiddler>> subtiddler=<<plugin-icon-title>>>\n<$transclude tiddler=\"$:/core/images/plugin-generic-$type$\"/>\n</$transclude>\n</div>\n<div class=\"tc-plugin-info-chunk tc-plugin-info-description\">\n<h1>\n''<$text text={{{ [<currentTiddler>get[name]] ~[<currentTiddler>split[/]last[1]] }}}/>'': <$view field=\"description\"><$view field=\"title\"/></$view> $disabledMessage$\n</h1>\n<h2>\n<$view field=\"title\"/>\n</h2>\n<h2>\n<div><em><$view field=\"version\"/></em></div>\n</h2>\n</div>\n\\end\n\n\\define plugin-info(type,default-popup-state)\n<$set name=\"popup-state\" value=<<popup-state-macro>>>\n<$reveal type=\"nomatch\" state=<<plugin-disable-title>> text=\"yes\">\n<$link to={{!!title}} class=\"tc-plugin-info\">\n<<plugin-table-body type:\"$type$\" default-popup-state:\"\"\"$default-popup-state$\"\"\">>\n</$link>\n</$reveal>\n<$reveal type=\"match\" state=<<plugin-disable-title>> text=\"yes\">\n<$link to={{!!title}} class=\"tc-plugin-info tc-plugin-info-disabled\">\n<<plugin-table-body type:\"$type$\" default-popup-state:\"\"\"$default-popup-state$\"\"\" disabledMessage:\"<$macrocall $name='lingo' title='Disabled/Status'/>\">>\n</$link>\n</$reveal>\n<$reveal type=\"match\" text=\"yes\" state=<<popup-state>> default=\"\"\"$default-popup-state$\"\"\">\n<div class=\"tc-plugin-info-dropdown\">\n<div class=\"tc-plugin-info-dropdown-body\">\n<$list filter=\"[all[current]] -[[$:/core]]\">\n<div style=\"float:right;\">\n<$reveal type=\"nomatch\" state=<<plugin-disable-title>> text=\"yes\">\n<$button set=<<plugin-disable-title>> setTo=\"yes\" tooltip={{$:/language/ControlPanel/Plugins/Disable/Hint}} aria-label={{$:/language/ControlPanel/Plugins/Disable/Caption}}>\n<<lingo Disable/Caption>>\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<plugin-disable-title>> text=\"yes\">\n<$button set=<<plugin-disable-title>> setTo=\"no\" tooltip={{$:/language/ControlPanel/Plugins/Enable/Hint}} aria-label={{$:/language/ControlPanel/Plugins/Enable/Caption}}>\n<<lingo Enable/Caption>>\n</$button>\n</$reveal>\n</div>\n</$list>\n<$set name=\"tabsList\" filter=\"[<currentTiddler>list[]] contents\">\n<$macrocall $name=\"tabs\" state=<<tabs-state-macro>> tabsList=<<tabsList>> default={{{ [enlist<tabsList>] }}} template=\"$:/core/ui/PluginInfo\"/>\n</$set>\n</div>\n</div>\n</$reveal>\n</$set>\n\\end\n\n<$macrocall $name=\"plugin-info\" type=<<plugin-type>> default-popup-state=<<default-popup-state>>/>\n"
},
"$:/core/ui/Components/tag-link": {
"title": "$:/core/ui/Components/tag-link",
"text": "<$link>\n<$set name=\"backgroundColor\" value={{!!color}}>\n<span style=<<tag-styles>> class=\"tc-tag-label\">\n<$view field=\"title\" format=\"text\"/>\n</span>\n</$set>\n</$link>"
},
"$:/core/ui/ControlPanel/Advanced": {
"title": "$:/core/ui/ControlPanel/Advanced",
"tags": "$:/tags/ControlPanel/Info",
"caption": "{{$:/language/ControlPanel/Advanced/Caption}}",
"text": "{{$:/language/ControlPanel/Advanced/Hint}}\n\n<div class=\"tc-control-panel\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Advanced]!has[draft.of]]\" default=\"$:/core/ui/ControlPanel/TiddlerFields\" explicitState=\"$:/state/tab--959111941\"/>\n</div>\n"
},
"$:/core/ui/ControlPanel/Appearance": {
"title": "$:/core/ui/ControlPanel/Appearance",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Appearance/Caption}}",
"text": "{{$:/language/ControlPanel/Appearance/Hint}}\n\n<div class=\"tc-control-panel\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Appearance]!has[draft.of]]\" default=\"$:/core/ui/ControlPanel/Theme\" explicitState=\"$:/state/tab--1963855381\"/>\n</div>\n"
},
"$:/core/ui/ControlPanel/Basics": {
"title": "$:/core/ui/ControlPanel/Basics",
"tags": "$:/tags/ControlPanel/Info",
"caption": "{{$:/language/ControlPanel/Basics/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\n\n\\define show-filter-count(filter)\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/advancedsearch\" $value=\"\"\"$filter$\"\"\"/>\n<$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" $value=\"\"\"$filter$\"\"\"/>\n<$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/>\n<$action-setfield $tiddler=\"$:/state/tab--1498284803\" $value=\"$:/core/ui/AdvancedSearch/Filter\"/>\n<$action-navigate $to=\"$:/AdvancedSearch\"/>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=\".tc-advanced-search input\"/>\n''<$count filter=\"\"\"$filter$\"\"\"/>''\n{{$:/core/images/advanced-search-button}}\n</$button>\n\\end\n\n|<<lingo Version/Prompt>> |''<<version>>'' |\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/status/UserName\"><<lingo Username/Prompt>></$link> |<$edit-text tiddler=\"$:/status/UserName\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/config/AnimationDuration\"><<lingo AnimDuration/Prompt>></$link> |<$edit-text tiddler=\"$:/config/AnimationDuration\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\" class=\"tc-edit-texteditor\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n|<$link to=\"$:/language/DefaultNewTiddlerTitle\"><<lingo NewTiddler/Title/Prompt>></$link> |<$edit-text tiddler=\"$:/language/DefaultNewTiddlerTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/config/NewJournal/Title\"><<lingo NewJournal/Title/Prompt>></$link> |<$edit-text tiddler=\"$:/config/NewJournal/Title\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/config/NewJournal/Text\"><<lingo NewJournal/Text/Prompt>></$link> |<$edit tiddler=\"$:/config/NewJournal/Text\" tag=\"textarea\" class=\"tc-edit-texteditor\" default=\"\"/> |\n|<$link to=\"$:/config/NewTiddler/Tags\"><<lingo NewTiddler/Tags/Prompt>></$link> |<$vars currentTiddler=\"$:/config/NewTiddler/Tags\" tagField=\"text\">{{||$:/core/ui/EditTemplate/tags}}<$list filter=\"[<currentTiddler>tags[]] +[limit[1]]\" variable=\"ignore\"><$button tooltip={{$:/language/ControlPanel/Basics/RemoveTags/Hint}}><<lingo RemoveTags>><$action-listops $tiddler=<<currentTiddler>> $field=\"text\" $subfilter={{{ [<currentTiddler>get[tags]] }}}/><$action-setfield $tiddler=<<currentTiddler>> tags=\"\"/></$button></$list></$vars> |\n|<$link to=\"$:/config/NewJournal/Tags\"><<lingo NewJournal/Tags/Prompt>></$link> |<$vars currentTiddler=\"$:/config/NewJournal/Tags\" tagField=\"text\">{{||$:/core/ui/EditTemplate/tags}}<$list filter=\"[<currentTiddler>tags[]] +[limit[1]]\" variable=\"ignore\"><$button tooltip={{$:/language/ControlPanel/Basics/RemoveTags/Hint}}><<lingo RemoveTags>><$action-listops $tiddler=<<currentTiddler>> $field=\"text\" $subfilter={{{ [<currentTiddler>get[tags]] }}}/><$action-setfield $tiddler=<<currentTiddler>> tags=\"\"/></$button></$list></$vars> |\n|<$link to=\"$:/config/AutoFocus\"><<lingo AutoFocus/Prompt>></$link> |{{$:/snippets/minifocusswitcher}} |\n|<<lingo Language/Prompt>> |{{$:/snippets/minilanguageswitcher}} |\n|<<lingo Tiddlers/Prompt>> |<<show-filter-count \"[!is[system]sort[title]]\">> |\n|<<lingo Tags/Prompt>> |<<show-filter-count \"[tags[]sort[title]]\">> |\n|<<lingo SystemTiddlers/Prompt>> |<<show-filter-count \"[is[system]sort[title]]\">> |\n|<<lingo ShadowTiddlers/Prompt>> |<<show-filter-count \"[all[shadows]sort[title]]\">> |\n|<<lingo OverriddenShadowTiddlers/Prompt>> |<<show-filter-count \"[is[tiddler]is[shadow]sort[title]]\">> |\n"
},
"$:/core/ui/ControlPanel/EditorTypes": {
"title": "$:/core/ui/ControlPanel/EditorTypes",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/EditorTypes/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/EditorTypes/\n\n<<lingo Hint>>\n\n<table>\n<tbody>\n<tr>\n<th><<lingo Type/Caption>></th>\n<th><<lingo Editor/Caption>></th>\n</tr>\n<$list filter=\"[all[shadows+tiddlers]prefix[$:/config/EditorTypeMappings/]sort[title]]\">\n<tr>\n<td>\n<$link>\n<$list filter=\"[all[current]removeprefix[$:/config/EditorTypeMappings/]]\">\n<$text text={{!!title}}/>\n</$list>\n</$link>\n</td>\n<td>\n<$view field=\"text\"/>\n</td>\n</tr>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ControlPanel/Info": {
"title": "$:/core/ui/ControlPanel/Info",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Info/Caption}}",
"text": "{{$:/language/ControlPanel/Info/Hint}}\n\n<div class=\"tc-control-panel\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Info]!has[draft.of]]\" default=\"$:/core/ui/ControlPanel/Basics\" explicitState=\"$:/state/tab--2112689675\"/>\n</div>\n"
},
"$:/core/ui/ControlPanel/KeyboardShortcuts": {
"title": "$:/core/ui/ControlPanel/KeyboardShortcuts",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/KeyboardShortcuts/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/KeyboardShortcuts/\n\n\\define new-shortcut(title)\n<div class=\"tc-dropdown-item-plain\">\n<$edit-shortcut tiddler=\"$title$\" placeholder={{$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt}} focus=\"true\" style=\"width:auto;\"/> <$button>\n<<lingo Add/Caption>>\n<$action-listops\n\t$tiddler=\"$(shortcutTitle)$\"\n\t$field=\"text\"\n\t$subfilter=\"[{$title$}]\"\n/>\n<$action-deletetiddler\n\t$tiddler=\"$title$\"\n/>\n</$button>\n</div>\n\\end\n\n\\define shortcut-list-item(caption)\n<td>\n</td>\n<td style=\"text-align:right;font-size:0.7em;\">\n<<lingo Platform/$caption$>>\n</td>\n<td>\n<div style=\"position:relative;\">\n<$button popup=<<qualify \"$:/state/dropdown/$(shortcutTitle)$\">> class=\"tc-btn-invisible\">\n{{$:/core/images/edit-button}}\n</$button>\n<$macrocall $name=\"displayshortcuts\" $output=\"text/html\" shortcuts={{$(shortcutTitle)$}} prefix=\"<kbd>\" separator=\"</kbd> <kbd>\" suffix=\"</kbd>\"/>\n\n<$reveal state=<<qualify \"$:/state/dropdown/$(shortcutTitle)$\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown tc-popup-keep\">\n<$list filter=\"[list[$(shortcutTitle)$!!text]sort[title]]\" variable=\"shortcut\" emptyMessage=\"\"\"\n<div class=\"tc-dropdown-item-plain\">\n//<<lingo NoShortcuts/Caption>>//\n</div>\n\"\"\">\n<div class=\"tc-dropdown-item-plain\">\n<$button class=\"tc-btn-invisible\" tooltip={{$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint}}>\n<$action-listops\n\t$tiddler=\"$(shortcutTitle)$\"\n\t$field=\"text\"\n\t$subfilter=\"+[remove<shortcut>]\"\n/>\n<small>{{$:/core/images/close-button}}</small>\n</$button>\n<kbd>\n<$macrocall $name=\"displayshortcuts\" $output=\"text/html\" shortcuts=<<shortcut>>/>\n</kbd>\n</div>\n</$list>\n<hr/>\n<$macrocall $name=\"new-shortcut\" title=<<qualify \"$:/state/new-shortcut/$(shortcutTitle)$\">>/>\n</div>\n</div>\n</$reveal>\n</div>\n</td>\n\\end\n\n\\define shortcut-list(caption,prefix)\n<tr>\n<$list filter=\"[[$prefix$$(shortcutName)$]]\" variable=\"shortcutTitle\">\n<<shortcut-list-item \"$caption$\">>\n</$list>\n</tr>\n\\end\n\n\\define shortcut-editor()\n<<shortcut-list \"All\" \"$:/config/shortcuts/\">>\n<<shortcut-list \"Mac\" \"$:/config/shortcuts-mac/\">>\n<<shortcut-list \"NonMac\" \"$:/config/shortcuts-not-mac/\">>\n<<shortcut-list \"Linux\" \"$:/config/shortcuts-linux/\">>\n<<shortcut-list \"NonLinux\" \"$:/config/shortcuts-not-linux/\">>\n<<shortcut-list \"Windows\" \"$:/config/shortcuts-windows/\">>\n<<shortcut-list \"NonWindows\" \"$:/config/shortcuts-not-windows/\">>\n\\end\n\n\\define shortcut-preview()\n<$macrocall $name=\"displayshortcuts\" $output=\"text/html\" shortcuts={{$(shortcutPrefix)$$(shortcutName)$}} prefix=\"<kbd>\" separator=\"</kbd> <kbd>\" suffix=\"</kbd>\"/>\n\\end\n\n\\define shortcut-item-inner()\n<tr>\n<td>\n<$reveal type=\"nomatch\" state=<<dropdownStateTitle>> text=\"open\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield\n\t$tiddler=<<dropdownStateTitle>>\n\t$value=\"open\"\n/>\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<dropdownStateTitle>> text=\"open\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield\n\t$tiddler=<<dropdownStateTitle>>\n\t$value=\"close\"\n/>\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n''<$text text=<<shortcutName>>/>''\n</td>\n<td>\n<$transclude tiddler=\"$:/config/ShortcutInfo/$(shortcutName)$\"/>\n</td>\n<td>\n<$list filter=\"$:/config/shortcuts/ $:/config/shortcuts-mac/ $:/config/shortcuts-not-mac/ $:/config/shortcuts-linux/ $:/config/shortcuts-not-linux/ $:/config/shortcuts-windows/ $:/config/shortcuts-not-windows/\" variable=\"shortcutPrefix\">\n<<shortcut-preview>>\n</$list>\n</td>\n</tr>\n<$set name=\"dropdownState\" value={{$(dropdownStateTitle)$}}>\n<$list filter=\"[<dropdownState>match[open]]\" variable=\"listItem\">\n<<shortcut-editor>>\n</$list>\n</$set>\n\\end\n\n\\define shortcut-item()\n<$set name=\"dropdownStateTitle\" value=<<qualify \"$:/state/dropdown/keyboardshortcut/$(shortcutName)$\">>>\n<<shortcut-item-inner>>\n</$set>\n\\end\n\n<table>\n<tbody>\n<$list filter=\"[all[shadows+tiddlers]removeprefix[$:/config/ShortcutInfo/]]\" variable=\"shortcutName\">\n<<shortcut-item>>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ControlPanel/LoadedModules": {
"title": "$:/core/ui/ControlPanel/LoadedModules",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/LoadedModules/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n<<lingo LoadedModules/Hint>>\n\n{{$:/snippets/modules}}\n"
},
"$:/core/ui/ControlPanel/Modals/AddPlugins": {
"title": "$:/core/ui/ControlPanel/Modals/AddPlugins",
"subtitle": "{{$:/core/images/download-button}} {{$:/language/ControlPanel/Plugins/Add/Caption}}",
"text": "\\define install-plugin-actions()\n<$action-sendmessage $message=\"tm-load-plugin-from-library\" url={{!!url}} title={{$(assetInfo)$!!original-title}}/>\n<$set name=\"url\" value={{!!url}}>\n<$set name=\"currentTiddler\" value=<<assetInfo>>>\n<$list filter=\"[enlist{!!dependents}] [{!!parent-plugin}] +[sort[name]]\" variable=\"dependency\">\n<$action-sendmessage $message=\"tm-load-plugin-from-library\" url=<<url>> title=<<dependency>>/>\n</$list>\n</$set>\n</$set>\n\\end\n\n\\define install-plugin-button()\n<div>\n<$set name=\"libraryVersion\" value={{{ [<assetInfo>get[version]] }}}>\n<$set name=\"installedVersion\" value={{{ [<assetInfo>get[original-title]get[version]] }}}>\n<$set name=\"reinstall-type\" value={{{ [<libraryVersion>compare:version:eq<installedVersion>then[tc-reinstall]] [<libraryVersion>compare:version:gt<installedVersion>then[tc-reinstall-upgrade]] [<libraryVersion>compare:version:lt<installedVersion>then[tc-reinstall-downgrade]] }}}>\n<$button actions=<<install-plugin-actions>> class={{{ [<assetInfo>get[original-title]has[version]then<reinstall-type>] tc-btn-invisible tc-install-plugin +[join[ ]] }}}>\n{{$:/core/images/download-button}}\n<$list filter=\"[<assetInfo>get[original-title]get[version]]\" variable=\"ignore\" emptyMessage=\"{{$:/language/ControlPanel/Plugins/Install/Caption}}\">\n<$list filter=\"[<libraryVersion>compare:version:gt<installedVersion>]\" variable=\"ignore\" emptyMessage=\"\"\"\n<$list filter=\"[<libraryVersion>compare:version:lt<installedVersion>]\" variable=\"ignore\" emptyMessage=\"{{$:/language/ControlPanel/Plugins/Reinstall/Caption}}\">\n{{$:/language/ControlPanel/Plugins/Downgrade/Caption}}\n</$list>\n\"\"\">\n{{$:/language/ControlPanel/Plugins/Update/Caption}}\n</$list>\n</$list>\n</$button>\n<div>\n</div>\n<$reveal stateTitle=<<assetInfo>> stateField=\"requires-reload\" type=\"match\" text=\"yes\">{{$:/language/ControlPanel/Plugins/PluginWillRequireReload}}</$reveal>\n</$set>\n</$set>\n</$set>\n</div>\n\\end\n\n\\define popup-state-macro()\n$:/state/add-plugin-info/$(connectionTiddler)$/$(assetInfo)$\n\\end\n\n\\define display-plugin-info(type)\n<$set name=\"popup-state\" value=<<popup-state-macro>>>\n<div class=\"tc-plugin-info\">\n<div class=\"tc-plugin-info-chunk tc-plugin-info-toggle\">\n<$reveal type=\"nomatch\" state=<<popup-state>> text=\"yes\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"yes\">\n{{$:/core/images/chevron-right}}\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<popup-state>> text=\"yes\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<popup-state>> setTo=\"no\">\n{{$:/core/images/chevron-down}}\n</$button>\n</$reveal>\n</div>\n<div class=\"tc-plugin-info-chunk tc-plugin-info-icon\">\n<$list filter=\"[<assetInfo>has[icon]]\" emptyMessage=\"\"\"<$transclude tiddler=\"$:/core/images/plugin-generic-$type$\"/>\"\"\">\n<img src={{$(assetInfo)$!!icon}}/>\n</$list>\n</div>\n<div class=\"tc-plugin-info-chunk tc-plugin-info-description\">\n<h1><strong><$text text={{{ [<assetInfo>get[name]] ~[<assetInfo>get[original-title]split[/]last[1]] }}}/></strong>: <$view tiddler=<<assetInfo>> field=\"description\"/></h1>\n<h2><$view tiddler=<<assetInfo>> field=\"original-title\"/></h2>\n<div><em><$view tiddler=<<assetInfo>> field=\"version\"/></em></div>\n<$list filter=\"[<assetInfo>get[original-title]get[version]]\" variable=\"installedVersion\"><div><em>{{$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint}}</em></div></$list>\n</div>\n<div class=\"tc-plugin-info-chunk tc-plugin-info-buttons\">\n<<install-plugin-button>>\n</div>\n</div>\n<$set name=\"original-title\" value={{{ [<assetInfo>get[original-title]] }}}>\n<$reveal type=\"match\" text=\"yes\" state=<<popup-state>>>\n<div class=\"tc-plugin-info-dropdown\">\n<$list filter=\"[enlist{!!dependents}] [<currentTiddler>get[parent-plugin]] +[limit[1]] ~[<assetInfo>get[original-title]!is[tiddler]]\" variable=\"ignore\">\n<div class=\"tc-plugin-info-dropdown-message\">\n<$list filter=\"[<assetInfo>get[original-title]!is[tiddler]]\">\n{{$:/language/ControlPanel/Plugins/NotInstalled/Hint}}\n</$list>\n<$set name=\"currentTiddler\" value=<<assetInfo>>>\n<$list filter=\"[enlist{!!dependents}] [<currentTiddler>get[parent-plugin]] +[limit[1]]\" variable=\"ignore\">\n<div>\n{{$:/language/ControlPanel/Plugins/AlsoRequires}}\n<$list filter=\"[enlist{!!dependents}] [{!!parent-plugin}] +[sort[name]]\" variable=\"dependency\">\n<$text text=<<dependency>>/>\n</$list>\n</div>\n</$list>\n</$set>\n</div>\n</$list>\n<div class=\"tc-plugin-info-dropdown-body\">\n<$transclude tiddler=<<assetInfo>> field=\"readme\" mode=\"block\"/>\n</div>\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[$type$]has[parent-plugin]parent-plugin<original-title>limit[1]]\" variable=\"ignore\">\n<div class=\"tc-plugin-info-sub-plugins\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[$type$]has[parent-plugin]parent-plugin<original-title>sort[name]]\" variable=\"assetInfo\">\n<<display-plugin-info \"$type$\">>\n</$list>\n</div>\n</$list>\n</div>\n</$reveal>\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[$type$]has[parent-plugin]parent-plugin<original-title>limit[1]]\" variable=\"ignore\">\n<$reveal type=\"nomatch\" text=\"yes\" state=<<popup-state>> tag=\"div\" class=\"tc-plugin-info-sub-plugin-indicator\">\n<$wikify name=\"count\" text=\"\"\"<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[$type$]has[parent-plugin]parent-plugin<original-title>]\"/>\"\"\">\n<$button class=\"tc-btn-invisible\" set=<<popup-state>> setTo=\"yes\">\n{{$:/language/ControlPanel/Plugins/SubPluginPrompt}}\n</$button>\n</$wikify>\n</$reveal>\n</$list>\n</$set>\n</$set>\n\\end\n\n\\define load-plugin-library-button()\n<$list filter=\"[<currentTiddler>get[enabled]else[yes]match[yes]]\" variable=\"ignore\">\n<$button class=\"tc-btn-big-green\">\n<$action-sendmessage $message=\"tm-load-plugin-library\" url={{!!url}} infoTitlePrefix=\"$:/temp/RemoteAssetInfo/\"/>\n{{$:/core/images/chevron-right}} {{$:/language/ControlPanel/Plugins/OpenPluginLibrary}}\n</$button>\n</$list>\n\\end\n\n\\define display-server-assets(type)\n{{$:/language/Search/Search}}: <$edit-text tiddler=\"\"\"$:/temp/RemoteAssetSearch/$(currentTiddler)$\"\"\" default=\"\" type=\"search\" tag=\"input\"/>\n<$reveal state=\"\"\"$:/temp/RemoteAssetSearch/$(currentTiddler)$\"\"\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"\"\"$:/temp/RemoteAssetSearch/$(currentTiddler)$\"\"\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n<div class=\"tc-plugin-library-listing\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[$type$]search:author,description,original-title,readme,title{$:/temp/RemoteAssetSearch/$(currentTiddler)$}sort[name]]\" variable=\"assetInfo\">\n<$list filter=\"[[$:/temp/RemoteAssetSearch/$(currentTiddler)$]has[text]] ~[<assetInfo>!has[parent-plugin]]\" variable=\"ignore\"><!-- Hide sub-plugins if we're not searching -->\n<<display-plugin-info \"$type$\">>\n</$list>\n</$list>\n</div>\n\\end\n\n\\define display-server-connection()\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/ServerConnection]suffix{!!url}]\" variable=\"connectionTiddler\" emptyMessage=<<load-plugin-library-button>>>\n\n<$set name=\"transclusion\" value=<<connectionTiddler>>>\n\n<<tabs \"[[$:/core/ui/ControlPanel/Plugins/Add/Updates]] [[$:/core/ui/ControlPanel/Plugins/Add/Plugins]] [[$:/core/ui/ControlPanel/Plugins/Add/Themes]] [[$:/core/ui/ControlPanel/Plugins/Add/Languages]]\" \"$:/core/ui/ControlPanel/Plugins/Add/Plugins\">>\n\n</$set>\n\n</$list>\n\\end\n\n\\define close-library-button()\n<$reveal type='nomatch' state='$:/temp/ServerConnection/$(PluginLibraryURL)$' text=''>\n<$button class='tc-btn-big-green'>\n<$action-sendmessage $message=\"tm-unload-plugin-library\" url={{!!url}}/>\n{{$:/core/images/chevron-left}} {{$:/language/ControlPanel/Plugins/ClosePluginLibrary}}\n<$action-deletetiddler $filter=\"[prefix[$:/temp/ServerConnection/$(PluginLibraryURL)$]][prefix[$:/temp/RemoteAssetInfo/$(PluginLibraryURL)$]]\"/>\n</$button>\n</$reveal>\n\\end\n\n\\define plugin-library-listing()\n<div class=\"tc-tab-set\">\n<$set name=\"defaultTab\" value={{{ [all[tiddlers+shadows]tag[$:/tags/PluginLibrary]] }}}>\n<div class=\"tc-tab-buttons\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/PluginLibrary]]\">\n<$button set=<<qualify \"$:/state/addplugins/tab\">> setTo=<<currentTiddler>> default=<<defaultTab>> selectedClass=\"tc-tab-selected\">\n<$set name=\"tv-wikilinks\" value=\"no\">\n<$transclude field=\"caption\"/>\n</$set>\n</$button>\n</$list>\n</div>\n<div class=\"tc-tab-divider\"/>\n<div class=\"tc-tab-content\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/PluginLibrary]]\">\n<$reveal type=\"match\" state=<<qualify \"$:/state/addplugins/tab\">> text=<<currentTiddler>> default=<<defaultTab>>>\n<h2><$link><$transclude field=\"caption\"><$view field=\"title\"/></$transclude></$link></h2>\n//<$view field=\"url\"/>//\n<$transclude mode=\"block\"/>\n<$set name=PluginLibraryURL value={{!!url}}>\n<<close-library-button>>\n</$set>\n<<display-server-connection>>\n</$reveal>\n</$list>\n</div>\n</$set>\n</div>\n\\end\n\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n\n<div>\n<<plugin-library-listing>>\n</div>\n"
},
"$:/core/ui/ControlPanel/Palette": {
"title": "$:/core/ui/ControlPanel/Palette",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/Palette/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Palette/\n\n{{$:/snippets/paletteswitcher}}\n\n<$reveal type=\"nomatch\" state=\"$:/state/ShowPaletteEditor\" text=\"yes\">\n\n<$button set=\"$:/state/ShowPaletteEditor\" setTo=\"yes\"><<lingo ShowEditor/Caption>></$button>\n\n</$reveal>\n\n<$reveal type=\"match\" state=\"$:/state/ShowPaletteEditor\" text=\"yes\">\n\n<$button set=\"$:/state/ShowPaletteEditor\" setTo=\"no\"><<lingo HideEditor/Caption>></$button>\n{{$:/PaletteManager}}\n\n</$reveal>\n\n"
},
"$:/core/ui/ControlPanel/Parsing": {
"title": "$:/core/ui/ControlPanel/Parsing",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/Parsing/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Parsing/\n\n\\define toggle(Type)\n<$checkbox\ntiddler=\"\"\"$:/config/WikiParserRules/$Type$/$(rule)$\"\"\"\nfield=\"text\"\nchecked=\"enable\"\nunchecked=\"disable\"\ndefault=\"enable\">\n<<rule>>\n</$checkbox>\n\\end\n\n\\define rules(type,Type)\n<$list filter=\"[wikiparserrules[$type$]]\" variable=\"rule\">\n<dd><<toggle $Type$>></dd>\n</$list>\n\\end\n\n<<lingo Hint>>\n\n<dl>\n<dt><<lingo Pragma/Caption>></dt>\n<<rules pragma Pragma>>\n<dt><<lingo Inline/Caption>></dt>\n<<rules inline Inline>>\n<dt><<lingo Block/Caption>></dt>\n<<rules block Block>>\n</dl>"
},
"$:/core/ui/ControlPanel/Plugins/Add/Languages": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Languages",
"caption": "{{$:/language/ControlPanel/Plugins/Languages/Caption}} (<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[language]]\"/>)",
"text": "<<display-server-assets language>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Add/Plugins": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Plugins/Caption}} (<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[plugin]]\"/>)",
"text": "<<display-server-assets plugin>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Add/Themes": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Themes",
"caption": "{{$:/language/ControlPanel/Plugins/Themes/Caption}} (<$count filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}original-plugin-type[theme]]\"/>)",
"text": "<<display-server-assets theme>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Add/Updates": {
"title": "$:/core/ui/ControlPanel/Plugins/Add/Updates",
"caption": "<$importvariables filter=\"$:/core/ui/ControlPanel/Plugins/Add/Updates\">{{$:/language/ControlPanel/Plugins/Updates/Caption}} (<<update-count>>)</$importvariables>",
"text": "\\define each-updateable-plugin(body)\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/RemoteAssetInfo]server-url{!!url}sort[title]]\" variable=\"assetInfo\">\n<$set name=\"libraryVersion\" value={{{ [<assetInfo>get[version]] }}}>\n<$list filter=\"[<assetInfo>get[original-title]has[version]!version<libraryVersion>]\" variable=\"ignore\">\n<$set name=\"installedVersion\" value={{{ [<assetInfo>get[original-title]get[version]] }}}>\n<$list filter=\"[<installedversion>!match<libraryVersion>]\" variable=\"ignore\">\n$body$\n</$list>\n</$set>\n</$list>\n</$set>\n</$list>\n\\end\n\n\\define update-all-actions()\n<$macrocall $name=\"each-updateable-plugin\" body=\"\"\"\n<<install-plugin-actions>>\n\"\"\"/>\n\\end\n\n\\define update-count()\n<$wikify name=\"count-filter\" text=<<each-updateable-plugin \"[[<$text text=<<assetInfo>>/>]]\">>><$count filter=<<count-filter>>/></$wikify>\n\\end\n\n<$button actions=<<update-all-actions>> class=\"tc-btn-invisible tc-install-plugin tc-reinstall-upgrade\">\n{{$:/core/images/download-button}} {{||$:/language/ControlPanel/Plugins/Updates/UpdateAll/Caption}}\n</$button>\n\n<div class=\"tc-plugin-library-listing\">\n<$macrocall $name=\"each-updateable-plugin\" body=\"\"\"\n<$macrocall $name=\"display-plugin-info\" type={{{ [<assetInfo>get[original-plugin-type]] }}}/>\n\"\"\"/>\n</div>\n"
},
"$:/core/ui/ControlPanel/Plugins/AddPlugins": {
"title": "$:/core/ui/ControlPanel/Plugins/AddPlugins",
"text": "\\define lingo-base() $:/language/ControlPanel/Plugins/\n\n<$button message=\"tm-modal\" param=\"$:/core/ui/ControlPanel/Modals/AddPlugins\" tooltip={{$:/language/ControlPanel/Plugins/Add/Hint}} class=\"tc-btn-big-green tc-primary-btn\">\n{{$:/core/images/download-button}} <<lingo Add/Caption>>\n</$button>\n"
},
"$:/core/ui/ControlPanel/Plugins/Installed/Languages": {
"title": "$:/core/ui/ControlPanel/Plugins/Installed/Languages",
"caption": "{{$:/language/ControlPanel/Plugins/Languages/Caption}} (<$count filter=\"[!has[draft.of]plugin-type[language]]\"/>)",
"text": "<<plugin-table language>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Installed/Plugins": {
"title": "$:/core/ui/ControlPanel/Plugins/Installed/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Plugins/Caption}} (<$count filter=\"[!has[draft.of]plugin-type[plugin]]\"/>)",
"text": "<<plugin-table plugin>>\n"
},
"$:/core/ui/ControlPanel/Plugins/Installed/Themes": {
"title": "$:/core/ui/ControlPanel/Plugins/Installed/Themes",
"caption": "{{$:/language/ControlPanel/Plugins/Themes/Caption}} (<$count filter=\"[!has[draft.of]plugin-type[theme]]\"/>)",
"text": "<<plugin-table theme>>\n"
},
"$:/core/ui/ControlPanel/Plugins": {
"title": "$:/core/ui/ControlPanel/Plugins",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Plugins/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Plugins/\n\n\\define plugin-table(type)\n<$set name=\"plugin-type\" value=\"\"\"$type$\"\"\">\n<$set name=\"qualified-state\" value=<<qualify \"$:/state/plugin-info\">>>\n<$list filter=\"[!has[draft.of]plugin-type[$type$]sort[name]]\" emptyMessage=<<lingo \"Empty/Hint\">> template=\"$:/core/ui/Components/plugin-info\"/>\n</$set>\n</$set>\n\\end\n\n{{$:/core/ui/ControlPanel/Plugins/AddPlugins}}\n\n<<lingo Installed/Hint>>\n\n<$macrocall $name=\"tabs\" tabsList=\"[[$:/core/ui/ControlPanel/Plugins/Installed/Plugins]] [[$:/core/ui/ControlPanel/Plugins/Installed/Themes]] [[$:/core/ui/ControlPanel/Plugins/Installed/Languages]]\" default=\"$:/core/ui/ControlPanel/Plugins/Installed/Plugins\" explicitState=\"$:/state/tab--86143343\"/>\n"
},
"$:/core/ui/ControlPanel/Saving/DownloadSaver": {
"title": "$:/core/ui/ControlPanel/Saving/DownloadSaver",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/DownloadSaver/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/DownloadSaver/\n\n<<lingo Hint>>\n\n!! <$link to=\"$:/config/DownloadSaver/AutoSave\"><<lingo AutoSave/Hint>></$link>\n\n<$checkbox tiddler=\"$:/config/DownloadSaver/AutoSave\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <<lingo AutoSave/Description>> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Saving/General": {
"title": "$:/core/ui/ControlPanel/Saving/General",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/General/Caption}}",
"list-before": "",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/\n\n{{$:/language/ControlPanel/Saving/General/Hint}}\n\n!! <$link to=\"$:/config/AutoSave\"><<lingo AutoSave/Caption>></$link>\n\n<<lingo AutoSave/Hint>>\n\n<$radio tiddler=\"$:/config/AutoSave\" value=\"yes\"> <<lingo AutoSave/Enabled/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/AutoSave\" value=\"no\"> <<lingo AutoSave/Disabled/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Saving/GitHub": {
"title": "$:/core/ui/ControlPanel/Saving/GitHub",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/GitService/GitHub/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/GitService/\n\\define service-name() ~GitHub\n\n<<lingo Description>>\n\n|<<lingo UserName>> |<$edit-text tiddler=\"$:/GitHub/Username\" default=\"\" tag=\"input\"/> |\n|<<lingo GitHub/Password>> |<$password name=\"github\"/> |\n|<<lingo Repo>> |<$edit-text tiddler=\"$:/GitHub/Repo\" default=\"\" tag=\"input\"/> |\n|<<lingo Branch>> |<$edit-text tiddler=\"$:/GitHub/Branch\" default=\"main\" tag=\"input\"/> |\n|<<lingo Path>> |<$edit-text tiddler=\"$:/GitHub/Path\" default=\"\" tag=\"input\"/> |\n|<<lingo Filename>> |<$edit-text tiddler=\"$:/GitHub/Filename\" default=\"\" tag=\"input\"/> |\n|<<lingo ServerURL>> |<$edit-text tiddler=\"$:/GitHub/ServerURL\" default=\"https://api.github.com\" tag=\"input\"/> |"
},
"$:/core/ui/ControlPanel/Saving/GitLab": {
"title": "$:/core/ui/ControlPanel/Saving/GitLab",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/GitService/GitLab/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/GitService/\n\\define service-name() ~GitLab\n\n<<lingo Description>>\n\n|<<lingo UserName>> |<$edit-text tiddler=\"$:/GitLab/Username\" default=\"\" tag=\"input\"/> |\n|<<lingo GitLab/Password>> |<$password name=\"gitlab\"/> |\n|<<lingo Repo>> |<$edit-text tiddler=\"$:/GitLab/Repo\" default=\"\" tag=\"input\"/> |\n|<<lingo Branch>> |<$edit-text tiddler=\"$:/GitLab/Branch\" default=\"master\" tag=\"input\"/> |\n|<<lingo Path>> |<$edit-text tiddler=\"$:/GitLab/Path\" default=\"\" tag=\"input\"/> |\n|<<lingo Filename>> |<$edit-text tiddler=\"$:/GitLab/Filename\" default=\"\" tag=\"input\"/> |\n|<<lingo ServerURL>> |<$edit-text tiddler=\"$:/GitLab/ServerURL\" default=\"https://gitlab.com/api/v4\" tag=\"input\"/> |"
},
"$:/core/ui/ControlPanel/Saving/TiddlySpot": {
"title": "$:/core/ui/ControlPanel/Saving/TiddlySpot",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/TiddlySpot/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/TiddlySpot/\n\n\\define siteURL(path)\nhttp://$(userName)$.tiddlyspot.com/$path$/\n\\end\n\\define siteLink(path)\n<$reveal type=\"nomatch\" state=\"$:/UploadName\" text=\"\">\n<$set name=\"userName\" value={{$:/UploadName}}>\n<$reveal type=\"match\" state=\"$:/UploadURL\" text=\"\">\n<<siteURL $path$>>\n</$reveal>\n<$reveal type=\"nomatch\" state=\"$:/UploadURL\" text=\"\">\n<$macrocall $name=resolvePath source={{$:/UploadBackupDir}} root={{$:/UploadURL}}>>\n</$reveal>\n</$set>\n</$reveal>\n\\end\n\n<div class=\"tc-message-box\">\n\n<<lingo ReadOnly>>\n\n</div>\n\n<<lingo Description>>\n\n|<<lingo UserName>> |<$edit-text tiddler=\"$:/UploadName\" default=\"\" tag=\"input\"/> |\n|<<lingo Password>> |<$password name=\"upload\"/> |\n|<<lingo Backups>> |<<siteLink backup>> |\n|<<lingo ControlPanel>> |<<siteLink controlpanel>> |\n\n''<<lingo Advanced/Heading>>''\n\n|<<lingo ServerURL>> |<$edit-text tiddler=\"$:/UploadURL\" default=\"\" tag=\"input\"/> |\n|<<lingo Filename>> |<$edit-text tiddler=\"$:/UploadFilename\" default=\"index.html\" tag=\"input\"/> |\n|<<lingo UploadDir>> |<$edit-text tiddler=\"$:/UploadDir\" default=\".\" tag=\"input\"/> |\n|<<lingo BackupDir>> |<$edit-text tiddler=\"$:/UploadBackupDir\" default=\".\" tag=\"input\"/> |\n\n<<lingo TiddlySpot/Hint>>\n"
},
"$:/core/ui/ControlPanel/Saving/Gitea": {
"title": "$:/core/ui/ControlPanel/Saving/Gitea",
"tags": "$:/tags/ControlPanel/Saving",
"caption": "{{$:/language/ControlPanel/Saving/GitService/Gitea/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Saving/GitService/\n\\define service-name() ~Gitea\n\n<<lingo Description>>\n\n|<<lingo UserName>> |<$edit-text tiddler=\"$:/Gitea/Username\" default=\"\" tag=\"input\"/> |\n|<<lingo Gitea/Password>> |<$password name=\"Gitea\"/> |\n|<<lingo Repo>> |<$edit-text tiddler=\"$:/Gitea/Repo\" default=\"\" tag=\"input\"/> |\n|<<lingo Branch>> |<$edit-text tiddler=\"$:/Gitea/Branch\" default=\"master\" tag=\"input\"/> |\n|<<lingo Path>> |<$edit-text tiddler=\"$:/Gitea/Path\" default=\"\" tag=\"input\"/> |\n|<<lingo Filename>> |<$edit-text tiddler=\"$:/Gitea/Filename\" default=\"\" tag=\"input\"/> |\n|<<lingo ServerURL>> |<$edit-text tiddler=\"$:/Gitea/ServerURL\" default=\"https://gitea/api/v1\" tag=\"input\"/> |\n"
},
"$:/core/ui/ControlPanel/Saving": {
"title": "$:/core/ui/ControlPanel/Saving",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Saving/Caption}}",
"text": "{{$:/language/ControlPanel/Saving/Hint}}\n\n<div class=\"tc-control-panel\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Saving]!has[draft.of]]\" default=\"$:/core/ui/ControlPanel/Saving/General\" explicitState=\"$:/state/tab-2065006209\"/>\n</div>\n"
},
"$:/core/buttonstyles/Borderless": {
"title": "$:/core/buttonstyles/Borderless",
"tags": "$:/tags/ToolbarButtonStyle",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless}}",
"text": "tc-btn-invisible"
},
"$:/core/buttonstyles/Boxed": {
"title": "$:/core/buttonstyles/Boxed",
"tags": "$:/tags/ToolbarButtonStyle",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed}}",
"text": "tc-btn-boxed"
},
"$:/core/buttonstyles/Rounded": {
"title": "$:/core/buttonstyles/Rounded",
"tags": "$:/tags/ToolbarButtonStyle",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded}}",
"text": "tc-btn-rounded"
},
"$:/core/ui/ControlPanel/Settings/CamelCase": {
"title": "$:/core/ui/ControlPanel/Settings/CamelCase",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/CamelCase/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/CamelCase/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/WikiParserRules/Inline/wikilink\" field=\"text\" checked=\"enable\" unchecked=\"disable\" default=\"enable\"> <$link to=\"$:/config/WikiParserRules/Inline/wikilink\"><<lingo Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings/DefaultMoreSidebarTab": {
"title": "$:/core/ui/ControlPanel/Settings/DefaultMoreSidebarTab",
"caption": "{{$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption}}",
"tags": "$:/tags/ControlPanel/Settings",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/DefaultMoreSidebarTab/\n\n<$link to=\"$:/config/DefaultMoreSidebarTab\"><<lingo Hint>></$link>\n\n<$select tiddler=\"$:/config/DefaultMoreSidebarTab\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/MoreSideBar]!has[draft.of]]\">\n<option value=<<currentTiddler>>><$transclude field=\"caption\"><$text text=<<currentTiddler>>/></$transclude></option>\n</$list>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/DefaultSidebarTab": {
"title": "$:/core/ui/ControlPanel/Settings/DefaultSidebarTab",
"caption": "{{$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption}}",
"tags": "$:/tags/ControlPanel/Settings",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/DefaultSidebarTab/\n\n<$link to=\"$:/config/DefaultSidebarTab\"><<lingo Hint>></$link>\n\n<$select tiddler=\"$:/config/DefaultSidebarTab\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SideBar]!has[draft.of]]\">\n<option value=<<currentTiddler>>><$transclude field=\"caption\"><$text text=<<currentTiddler>>/></$transclude></option>\n</$list>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/EditorToolbar": {
"title": "$:/core/ui/ControlPanel/Settings/EditorToolbar",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/EditorToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/EditorToolbar/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/TextEditor/EnableToolbar\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/TextEditor/EnableToolbar\"><<lingo Description>></$link> </$checkbox>\n\n"
},
"$:/core/ui/ControlPanel/Settings/InfoPanelMode": {
"title": "$:/core/ui/ControlPanel/Settings/InfoPanelMode",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/InfoPanelMode/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/InfoPanelMode/\n<$link to=\"$:/config/TiddlerInfo/Mode\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/TiddlerInfo/Mode\" value=\"popup\"> <<lingo Popup/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/TiddlerInfo/Mode\" value=\"sticky\"> <<lingo Sticky/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/LinkToBehaviour": {
"title": "$:/core/ui/ControlPanel/Settings/LinkToBehaviour",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/LinkToBehaviour/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/LinkToBehaviour/\n\n<$link to=\"$:/config/Navigation/openLinkFromInsideRiver\"><<lingo \"InsideRiver/Hint\">></$link>\n\n<$select tiddler=\"$:/config/Navigation/openLinkFromInsideRiver\">\n <option value=\"above\"><<lingo \"OpenAbove\">></option>\n <option value=\"below\"><<lingo \"OpenBelow\">></option>\n <option value=\"top\"><<lingo \"OpenAtTop\">></option>\n <option value=\"bottom\"><<lingo \"OpenAtBottom\">></option>\n</$select>\n\n<$link to=\"$:/config/Navigation/openLinkFromOutsideRiver\"><<lingo \"OutsideRiver/Hint\">></$link>\n\n<$select tiddler=\"$:/config/Navigation/openLinkFromOutsideRiver\">\n <option value=\"top\"><<lingo \"OpenAtTop\">></option>\n <option value=\"bottom\"><<lingo \"OpenAtBottom\">></option>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/MissingLinks": {
"title": "$:/core/ui/ControlPanel/Settings/MissingLinks",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/MissingLinks/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/MissingLinks/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/MissingLinks\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/MissingLinks\"><<lingo Description>></$link> </$checkbox>\n\n"
},
"$:/core/ui/ControlPanel/Settings/NavigationAddressBar": {
"title": "$:/core/ui/ControlPanel/Settings/NavigationAddressBar",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/NavigationAddressBar/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/NavigationAddressBar/\n\n<$link to=\"$:/config/Navigation/UpdateAddressBar\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateAddressBar\" value=\"permaview\"> <<lingo Permaview/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateAddressBar\" value=\"permalink\"> <<lingo Permalink/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateAddressBar\" value=\"no\"> <<lingo No/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/NavigationHistory": {
"title": "$:/core/ui/ControlPanel/Settings/NavigationHistory",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/NavigationHistory/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/NavigationHistory/\n<$link to=\"$:/config/Navigation/UpdateHistory\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateHistory\" value=\"yes\"> <<lingo Yes/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Navigation/UpdateHistory\" value=\"no\"> <<lingo No/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/NavigationPermalinkviewMode": {
"title": "$:/core/ui/ControlPanel/Settings/NavigationPermalinkviewMode",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/NavigationPermalinkviewMode/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/Navigation/Permalinkview/CopyToClipboard\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/Navigation/Permalinkview/CopyToClipboard\"><<lingo CopyToClipboard/Description>></$link> </$checkbox>\n\n<$checkbox tiddler=\"$:/config/Navigation/Permalinkview/UpdateAddressBar\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/Navigation/Permalinkview/UpdateAddressBar\"><<lingo UpdateAddressBar/Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings/PerformanceInstrumentation": {
"title": "$:/core/ui/ControlPanel/Settings/PerformanceInstrumentation",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/PerformanceInstrumentation/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/Performance/Instrumentation\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <$link to=\"$:/config/Performance/Instrumentation\"><<lingo Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings/TitleLinks": {
"title": "$:/core/ui/ControlPanel/Settings/TitleLinks",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/TitleLinks/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/TitleLinks/\n<$link to=\"$:/config/Tiddlers/TitleLinks\"><<lingo Hint>></$link>\n\n<$radio tiddler=\"$:/config/Tiddlers/TitleLinks\" value=\"yes\"> <<lingo Yes/Description>> </$radio>\n\n<$radio tiddler=\"$:/config/Tiddlers/TitleLinks\" value=\"no\"> <<lingo No/Description>> </$radio>\n"
},
"$:/core/ui/ControlPanel/Settings/ToolbarButtonStyle": {
"title": "$:/core/ui/ControlPanel/Settings/ToolbarButtonStyle",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/ToolbarButtonStyle/\n<$link to=\"$:/config/Toolbar/ButtonClass\"><<lingo \"Hint\">></$link>\n\n<$select tiddler=\"$:/config/Toolbar/ButtonClass\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ToolbarButtonStyle]]\">\n<option value={{!!text}}>{{!!caption}}</option>\n</$list>\n</$select>\n"
},
"$:/core/ui/ControlPanel/Settings/ToolbarButtons": {
"title": "$:/core/ui/ControlPanel/Settings/ToolbarButtons",
"tags": "$:/tags/ControlPanel/Settings",
"caption": "{{$:/language/ControlPanel/Settings/ToolbarButtons/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/ToolbarButtons/\n<<lingo Hint>>\n\n<$checkbox tiddler=\"$:/config/Toolbar/Icons\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"yes\"> <$link to=\"$:/config/Toolbar/Icons\"><<lingo Icons/Description>></$link> </$checkbox>\n\n<$checkbox tiddler=\"$:/config/Toolbar/Text\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <$link to=\"$:/config/Toolbar/Text\"><<lingo Text/Description>></$link> </$checkbox>\n"
},
"$:/core/ui/ControlPanel/Settings": {
"title": "$:/core/ui/ControlPanel/Settings",
"tags": "$:/tags/ControlPanel",
"caption": "{{$:/language/ControlPanel/Settings/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/Settings/\n\n<<lingo Hint>>\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Settings]]\">\n\n<div style=\"border-top:1px solid #eee;\">\n\n!! <$link><$transclude field=\"caption\"/></$link>\n\n<$transclude/>\n\n</div>\n\n</$list>\n"
},
"$:/core/ui/ControlPanel/StoryView": {
"title": "$:/core/ui/ControlPanel/StoryView",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/StoryView/Caption}}",
"text": "{{$:/snippets/viewswitcher}}\n"
},
"$:/core/ui/ControlPanel/Stylesheets": {
"title": "$:/core/ui/ControlPanel/Stylesheets",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/Stylesheets/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n\n<<lingo Stylesheets/Hint>>\n\n{{$:/snippets/peek-stylesheets}}\n"
},
"$:/core/ui/ControlPanel/Theme": {
"title": "$:/core/ui/ControlPanel/Theme",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/Theme/Caption}}",
"text": "{{$:/snippets/themeswitcher}}\n"
},
"$:/core/ui/ControlPanel/TiddlerFields": {
"title": "$:/core/ui/ControlPanel/TiddlerFields",
"tags": "$:/tags/ControlPanel/Advanced",
"caption": "{{$:/language/ControlPanel/TiddlerFields/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n\n<<lingo TiddlerFields/Hint>>\n\n{{$:/snippets/allfields}}"
},
"$:/core/ui/ControlPanel/Toolbars/EditToolbar": {
"title": "$:/core/ui/ControlPanel/Toolbars/EditToolbar",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/EditToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/EditToolbarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/EditToolbar/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/EditToolbar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>"
},
"$:/core/ui/ControlPanel/Toolbars/EditorItemTemplate": {
"title": "$:/core/ui/ControlPanel/Toolbars/EditorItemTemplate",
"text": "\\define config-title()\n$(config-base)$$(currentTiddler)$\n\\end\n\n<$draggable tiddler=<<currentTiddler>>>\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <span class=\"tc-icon-wrapper\"><$transclude tiddler={{!!icon}}/></span> <$transclude field=\"caption\"/> -- <i class=\"tc-muted\"><$transclude field=\"description\"/></i>\n</$draggable>\n"
},
"$:/core/ui/ControlPanel/Toolbars/EditorToolbar": {
"title": "$:/core/ui/ControlPanel/Toolbars/EditorToolbar",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/EditorToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/EditorToolbarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/EditorToolbar/Hint}}\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/EditorToolbar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/EditorItemTemplate\"/>\n"
},
"$:/core/ui/ControlPanel/Toolbars/ItemTemplate": {
"title": "$:/core/ui/ControlPanel/Toolbars/ItemTemplate",
"text": "\\define config-title()\n$(config-base)$$(currentTiddler)$\n\\end\n\n<$draggable tiddler=<<currentTiddler>>>\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <span class=\"tc-icon-wrapper\"> <$transclude field=\"caption\"/> <i class=\"tc-muted\">-- <$transclude field=\"description\"/></i></span>\n</$draggable>\n"
},
"$:/core/ui/ControlPanel/Toolbars/PageControls": {
"title": "$:/core/ui/ControlPanel/Toolbars/PageControls",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/PageControls/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/PageControlButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/PageControls/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/PageControls\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/ControlPanel/Toolbars/ViewToolbar": {
"title": "$:/core/ui/ControlPanel/Toolbars/ViewToolbar",
"tags": "$:/tags/ControlPanel/Toolbars",
"caption": "{{$:/language/ControlPanel/Toolbars/ViewToolbar/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\n\\define config-base() $:/config/ViewToolbarButtons/Visibility/\n\n{{$:/language/ControlPanel/Toolbars/ViewToolbar/Hint}}\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$macrocall $name=\"list-tagged-draggable\" tag=\"$:/tags/ViewToolbar\" itemTemplate=\"$:/core/ui/ControlPanel/Toolbars/ItemTemplate\"/>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/ControlPanel/Toolbars": {
"title": "$:/core/ui/ControlPanel/Toolbars",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/Toolbars/Caption}}",
"text": "{{$:/language/ControlPanel/Toolbars/Hint}}\n\n<div class=\"tc-control-panel\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel/Toolbars]!has[draft.of]]\" default=\"$:/core/ui/ControlPanel/Toolbars/ViewToolbar\" class=\"tc-vertical\" explicitState=\"$:/state/tabs/controlpanel/toolbars-1345989671\"/>\n</div>\n"
},
"$:/ControlPanel": {
"title": "$:/ControlPanel",
"icon": "$:/core/images/options-button",
"color": "#bbb",
"text": "<div class=\"tc-control-panel\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/ControlPanel]!has[draft.of]]\" default=\"$:/core/ui/ControlPanel/Info\" explicitState=\"$:/state/tab-1749438307\"/>\n</div>\n"
},
"$:/core/ui/DefaultSearchResultList": {
"title": "$:/core/ui/DefaultSearchResultList",
"tags": "$:/tags/SearchResults",
"caption": "{{$:/language/Search/DefaultResults/Caption}}",
"first-search-filter": "[!is[system]search:title<userInput>sort[title]limit[250]]",
"second-search-filter": "[!is[system]search<userInput>sort[title]limit[250]]",
"text": "\\define searchResultList()\n//<small>{{$:/language/Search/Matches/Title}}</small>//\n\n<$list filter=\"[<userInput>minlength[1]]\" variable=\"ignore\">\n<$list filter={{{ [<configTiddler>get[first-search-filter]] }}}>\n<span class={{{[<currentTiddler>addsuffix[-primaryList]] -[<searchListState>get[text]] +[then[]else[tc-list-item-selected]] }}}>\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</span>\n</$list>\n</$list>\n\n//<small>{{$:/language/Search/Matches/All}}</small>//\n\n<$list filter=\"[<userInput>minlength[1]]\" variable=\"ignore\">\n<$list filter={{{ [<configTiddler>get[second-search-filter]] }}}>\n<span class={{{[<currentTiddler>addsuffix[-secondaryList]] -[<searchListState>get[text]] +[then[]else[tc-list-item-selected]] }}}>\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</span>\n</$list>\n</$list>\n\n\\end\n<<searchResultList>>\n"
},
"$:/core/ui/EditTemplate/body/preview/diffs-current": {
"title": "$:/core/ui/EditTemplate/body/preview/diffs-current",
"tags": "$:/tags/EditPreview",
"caption": "differences from current",
"list-after": "$:/core/ui/EditTemplate/body/preview/output",
"text": "<$list filter=\"[<currentTiddler>!is[image]]\" emptyMessage={{$:/core/ui/EditTemplate/body/preview/output}}>\n\n<$macrocall $name=\"compareTiddlerText\" sourceTiddlerTitle={{!!draft.of}} destTiddlerTitle=<<currentTiddler>>/>\n\n</$list>\n\n"
},
"$:/core/ui/EditTemplate/body/preview/diffs-shadow": {
"title": "$:/core/ui/EditTemplate/body/preview/diffs-shadow",
"tags": "$:/tags/EditPreview",
"caption": "differences from shadow (if any)",
"list-after": "$:/core/ui/EditTemplate/body/preview/output",
"text": "<$list filter=\"[<currentTiddler>!is[image]]\" emptyMessage={{$:/core/ui/EditTemplate/body/preview/output}}>\n\n<$macrocall $name=\"compareTiddlerText\" sourceTiddlerTitle={{{ [{!!draft.of}shadowsource[]] }}} sourceSubTiddlerTitle={{!!draft.of}} destTiddlerTitle=<<currentTiddler>>/>\n\n</$list>\n\n"
},
"$:/core/ui/EditTemplate/body/preview/output": {
"title": "$:/core/ui/EditTemplate/body/preview/output",
"tags": "$:/tags/EditPreview",
"caption": "{{$:/language/EditTemplate/Body/Preview/Type/Output}}",
"text": "\\import [all[shadows+tiddlers]tag[$:/tags/Macro/View]!has[draft.of]]\n<$set name=\"tv-tiddler-preview\" value=\"yes\">\n\n<$transclude />\n\n</$set>\n"
},
"$:/state/showeditpreview": {
"title": "$:/state/showeditpreview",
"text": "no"
},
"$:/core/ui/EditTemplate/body/editor": {
"title": "$:/core/ui/EditTemplate/body/editor",
"text": "<$edit\n\n field=\"text\"\n class=\"tc-edit-texteditor tc-edit-texteditor-body\"\n placeholder={{$:/language/EditTemplate/Body/Placeholder}}\n tabindex={{$:/config/EditTabIndex}}\n focus={{{ [{$:/config/AutoFocus}match[text]then[true]] ~[[false]] }}}\n cancelPopups=\"yes\"\n\n><$set\n\n name=\"targetTiddler\"\n value=<<currentTiddler>>\n\n><$list\n\n filter=\"[all[shadows+tiddlers]tag[$:/tags/EditorToolbar]!has[draft.of]]\"\n\n><$reveal\n\n type=\"nomatch\"\n state=<<config-visibility-title>>\n text=\"hide\"\n class=\"tc-text-editor-toolbar-item-wrapper\"\n\n><$transclude\n\n tiddler=\"$:/core/ui/EditTemplate/body/toolbar/button\"\n mode=\"inline\"\n\n/></$reveal></$list></$set></$edit>\n"
},
"$:/core/ui/EditTemplate/body/toolbar/button": {
"title": "$:/core/ui/EditTemplate/body/toolbar/button",
"text": "\\define toolbar-button-icon()\n<$list\n\n filter=\"[all[current]!has[custom-icon]]\"\n variable=\"no-custom-icon\"\n\n><$transclude\n\n tiddler={{!!icon}}\n\n/></$list>\n\\end\n\n\\define toolbar-button-tooltip()\n{{!!description}}<$macrocall $name=\"displayshortcuts\" $output=\"text/plain\" shortcuts={{!!shortcuts}} prefix=\"` - [\" separator=\"] [\" suffix=\"]`\"/>\n\\end\n\n\\define toolbar-button()\n<$list\n\n filter={{!!condition}}\n variable=\"list-condition\"\n\n><$wikify\n\n name=\"tooltip-text\"\n text=<<toolbar-button-tooltip>>\n mode=\"inline\"\n output=\"text\"\n\n><$list\n\n filter=\"[all[current]!has[dropdown]]\"\n variable=\"no-dropdown\"\n\n><$button\n\n class=\"tc-btn-invisible $(buttonClasses)$\"\n tooltip=<<tooltip-text>>\n actions={{!!actions}}\n\n><span\n\n data-tw-keyboard-shortcut={{!!shortcuts}}\n\n/><<toolbar-button-icon>><$transclude\n\n tiddler=<<currentTiddler>>\n field=\"text\"\n\n/></$button></$list><$list\n\n filter=\"[all[current]has[dropdown]]\"\n variable=\"dropdown\"\n\n><$set\n\n name=\"dropdown-state\"\n value=<<qualify \"$:/state/EditorToolbarDropdown\">>\n\n><$button\n\n popup=<<dropdown-state>>\n class=\"tc-popup-keep tc-btn-invisible $(buttonClasses)$\"\n selectedClass=\"tc-selected\"\n tooltip=<<tooltip-text>>\n actions={{!!actions}}\n\n><span\n\n data-tw-keyboard-shortcut={{!!shortcuts}}\n\n/><<toolbar-button-icon>><$transclude\n\n tiddler=<<currentTiddler>>\n field=\"text\"\n\n/></$button><$reveal\n\n state=<<dropdown-state>>\n type=\"popup\"\n position=\"below\"\n animate=\"yes\"\n tag=\"span\"\n\n><div\n\n class=\"tc-drop-down tc-popup-keep\"\n\n><$transclude\n\n tiddler={{!!dropdown}}\n mode=\"block\"\n\n/></div></$reveal></$set></$list></$wikify></$list>\n\\end\n\n\\define toolbar-button-outer()\n<$set\n\n name=\"buttonClasses\"\n value={{!!button-classes}}\n\n><<toolbar-button>></$set>\n\\end\n\n<<toolbar-button-outer>>"
},
"$:/core/ui/EditTemplate/body": {
"title": "$:/core/ui/EditTemplate/body",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/Body/\n\\define config-visibility-title()\n$:/config/EditorToolbarButtons/Visibility/$(currentTiddler)$\n\\end\n<$list filter=\"[all[current]has[_canonical_uri]]\">\n\n<div class=\"tc-message-box\">\n\n<<lingo External/Hint>>\n\n<a href={{!!_canonical_uri}}><$text text={{!!_canonical_uri}}/></a>\n\n<$edit-text field=\"_canonical_uri\" class=\"tc-edit-fields\" tabindex={{$:/config/EditTabIndex}} cancelPopups=\"yes\"></$edit-text>\n\n</div>\n\n</$list>\n\n<$list filter=\"[all[current]!has[_canonical_uri]]\">\n\n<$reveal state=\"$:/state/showeditpreview\" type=\"match\" text=\"yes\">\n\n<div class=\"tc-tiddler-preview\">\n\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/editor\" mode=\"inline\"/>\n\n<div class=\"tc-tiddler-preview-preview\">\n\n<$transclude tiddler={{$:/state/editpreviewtype}} mode=\"inline\">\n\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/preview/output\" mode=\"inline\"/>\n\n</$transclude>\n\n</div>\n\n</div>\n\n</$reveal>\n\n<$reveal state=\"$:/state/showeditpreview\" type=\"nomatch\" text=\"yes\">\n\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/editor\" mode=\"inline\"/>\n\n</$reveal>\n\n</$list>\n"
},
"$:/core/ui/EditTemplate/controls": {
"title": "$:/core/ui/EditTemplate/controls",
"tags": "$:/tags/EditTemplate",
"text": "\\define config-title()\n$:/config/EditToolbarButtons/Visibility/$(listItem)$\n\\end\n<div class=\"tc-tiddler-title tc-tiddler-edit-title\">\n<$view field=\"title\"/>\n<span class=\"tc-tiddler-controls tc-titlebar\"><$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditToolbar]!has[draft.of]]\" variable=\"listItem\"><$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\"><$transclude tiddler=<<listItem>>/></$reveal></$list></span>\n<div style=\"clear: both;\"></div>\n</div>\n"
},
"$:/core/ui/EditTemplate/fields": {
"title": "$:/core/ui/EditTemplate/fields",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/\n\\define config-title()\n$:/config/EditTemplateFields/Visibility/$(currentField)$\n\\end\n\n\\define config-filter()\n[[hide]] -[title{$(config-title)$}]\n\\end\n\n\\define current-tiddler-new-field-selector()\n[data-tiddler-title=\"$(currentTiddlerCSSescaped)$\"] .tc-edit-field-add-name-wrapper input\n\\end\n\n\\define new-field-actions()\n<$action-sendmessage $message=\"tm-add-field\" $name={{{ [<newFieldNameTiddler>get[text]] }}} $value={{{ [<newFieldValueTiddler>get[text]] }}}/>\n<$action-deletetiddler $filter=\"[<newFieldNameTiddler>] [<newFieldValueTiddler>] [<storeTitle>] [<searchListState>]\"/>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=<<current-tiddler-new-field-selector>>/>\n\\end\n\n\\define delete-state-tiddlers() <$action-deletetiddler $filter=\"[<newFieldNameTiddler>] [<storeTitle>] [<searchListState>]\"/>\n\n\\define cancel-search-actions-inner()\n<$list filter=\"[<storeTitle>has[text]] [<newFieldNameTiddler>has[text]]\" variable=\"ignore\" emptyMessage=\"\"\"<<cancel-delete-tiddler-actions \"cancel\">>\"\"\">\n<<delete-state-tiddlers>>\n</$list>\n\\end\n\n\\define cancel-search-actions()\n<$set name=\"userInput\" value={{{ [<storeTitle>get[text]] }}}>\n<$list filter=\"[<newFieldNameTiddler>get[text]!match<userInput>]\" emptyMessage=\"\"\"<<cancel-search-actions-inner>>\"\"\">\n<$action-setfield $tiddler=<<newFieldNameTiddler>> text=<<userInput>>/><$action-setfield $tiddler=<<refreshTitle>> text=\"yes\"/>\n</$list>\n</$set>\n\\end\n\n\\define new-field()\n<$vars name={{{ [<newFieldNameTiddler>get[text]] }}}>\n<$reveal type=\"nomatch\" text=\"\" default=<<name>>>\n<$button tooltip=<<lingo Fields/Add/Button/Hint>>>\n<$action-sendmessage $message=\"tm-add-field\"\n$name=<<name>>\n$value={{{ [<newFieldValueTiddler>get[text]] }}}/>\n<$action-deletetiddler $filter=\"[<newFieldNameTiddler>] [<newFieldValueTiddler>] [<storeTitle>] [<searchListState>]\"/>\n<<lingo Fields/Add/Button>>\n</$button>\n</$reveal>\n<$reveal type=\"match\" text=\"\" default=<<name>>>\n<$button>\n<<lingo Fields/Add/Button>>\n</$button>\n</$reveal>\n</$vars>\n\\end\n\\whitespace trim\n\n<div class=\"tc-edit-fields\">\n<table class={{{ [all[current]fields[]] :filter[lookup[$:/config/EditTemplateFields/Visibility/]!match[hide]] +[count[]!match[0]] +[then[tc-edit-fields]] ~[[tc-edit-fields tc-edit-fields-small]] }}}>\n<tbody>\n<$list filter=\"[all[current]fields[]] +[sort[title]]\" variable=\"currentField\" storyview=\"pop\">\n<$list filter=<<config-filter>> variable=\"temp\">\n<tr class=\"tc-edit-field\">\n<td class=\"tc-edit-field-name\">\n<$text text=<<currentField>>/>:</td>\n<td class=\"tc-edit-field-value\">\n<$keyboard key=\"((delete-field))\" actions=\"\"\"<$action-deletefield $field=<<currentField>>/><$set name=\"currentTiddlerCSSescaped\" value={{{ [<currentTiddler>escapecss[]] }}}><$action-sendmessage $message=\"tm-focus-selector\" $param=<<current-tiddler-new-field-selector>>/></$set>\"\"\">\n<$edit-text tiddler=<<currentTiddler>> field=<<currentField>> placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}} tabindex={{$:/config/EditTabIndex}} cancelPopups=\"yes\"/>\n</$keyboard>\n</td>\n<td class=\"tc-edit-field-remove\">\n<$button class=\"tc-btn-invisible\" tooltip={{$:/language/EditTemplate/Field/Remove/Hint}} aria-label={{$:/language/EditTemplate/Field/Remove/Caption}}>\n<$action-deletefield $field=<<currentField>>/><$set name=\"currentTiddlerCSSescaped\" value={{{ [<currentTiddler>escapecss[]] }}}><$action-sendmessage $message=\"tm-focus-selector\" $param=<<current-tiddler-new-field-selector>>/></$set>\n{{$:/core/images/delete-button}}\n</$button>\n</td>\n</tr>\n</$list>\n</$list>\n</tbody>\n</table>\n</div>\n\n<$fieldmangler>\n<div class=\"tc-edit-field-add\">\n<em class=\"tc-edit tc-big-gap-right\">\n<<lingo Fields/Add/Prompt>>\n</em>\n<$vars refreshTitle=<<qualify \"$:/temp/fieldname/refresh\">> storeTitle=<<newFieldNameInputTiddler>> searchListState=<<newFieldNameSelectionTiddler>>>\n<div class=\"tc-edit-field-add-name-wrapper\">\n<$macrocall $name=\"keyboard-driven-input\" tiddler=<<newFieldNameTiddler>> storeTitle=<<storeTitle>> refreshTitle=<<refreshTitle>>\n\t\tselectionStateTitle=<<searchListState>> tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Fields/Add/Name/Placeholder}}\n\t\tfocusPopup=<<qualify \"$:/state/popup/field-dropdown\">> class=\"tc-edit-texteditor tc-popup-handle\" tabindex={{$:/config/EditTabIndex}}\n\t\tfocus={{{ [{$:/config/AutoFocus}match[fields]then[true]] ~[[false]] }}} cancelPopups=\"yes\"\n\t\tconfigTiddlerFilter=\"[[$:/config/EditMode/fieldname-filter]]\" inputCancelActions=<<cancel-search-actions>> />\n<$button popup=<<qualify \"$:/state/popup/field-dropdown\">> class=\"tc-btn-invisible tc-btn-dropdown tc-small-gap\" tooltip={{$:/language/EditTemplate/Field/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Field/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button>\n<$reveal state=<<qualify \"$:/state/popup/field-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$set name=\"tv-show-missing-links\" value=\"yes\">\n<$linkcatcher to=<<newFieldNameTiddler>>>\n<div class=\"tc-dropdown-item\">\n<<lingo Fields/Add/Dropdown/User>>\n</div>\n<$set name=\"newFieldName\" value={{{ [<storeTitle>get[text]] }}}>\n<$list filter=\"[!is[shadow]!is[system]fields[]search:title<newFieldName>sort[]] -created -creator -draft.of -draft.title -modified -modifier -tags -text -title -type\" variable=\"currentField\">\n<$list filter=\"[<currentField>addsuffix[-primaryList]] -[<searchListState>get[text]]\" emptyMessage=\"\"\"<$link to=<<currentField>> class=\"tc-list-item-selected\"><$text text=<<currentField>>/></$link>\"\"\">\n<$link to=<<currentField>>>\n<$text text=<<currentField>>/>\n</$link>\n</$list>\n</$list>\n<div class=\"tc-dropdown-item\">\n<<lingo Fields/Add/Dropdown/System>>\n</div>\n<$list filter=\"[fields[]search:title<newFieldName>sort[]] -[!is[shadow]!is[system]fields[]]\" variable=\"currentField\">\n<$list filter=\"[<currentField>addsuffix[-secondaryList]] -[<searchListState>get[text]]\" emptyMessage=\"\"\"<$link to=<<currentField>> class=\"tc-list-item-selected\"><$text text=<<currentField>>/></$link>\"\"\">\n<$link to=<<currentField>>>\n<$text text=<<currentField>>/>\n</$link>\n</$list>\n</$list>\n</$set>\n</$linkcatcher>\n</$set>\n</div>\n</$reveal>\n</div>\n<span class=\"tc-edit-field-add-value tc-small-gap-right\">\n<$set name=\"currentTiddlerCSSescaped\" value={{{ [<currentTiddler>escapecss[]] }}}>\n<$keyboard key=\"((add-field))\" actions=<<new-field-actions>>>\n<$edit-text tiddler=<<newFieldValueTiddler>> tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}} class=\"tc-edit-texteditor\" tabindex={{$:/config/EditTabIndex}} cancelPopups=\"yes\"/>\n</$keyboard>\n</$set>\n</span>\n<span class=\"tc-edit-field-add-button\">\n<$macrocall $name=\"new-field\"/>\n</span>\n</$vars>\n</div>\n</$fieldmangler>\n"
},
"$:/core/ui/EditTemplate/shadow": {
"title": "$:/core/ui/EditTemplate/shadow",
"tags": "$:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/EditTemplate/Shadow/\n\\define pluginLinkBody()\n<$link to=\"\"\"$(pluginTitle)$\"\"\">\n<$text text=\"\"\"$(pluginTitle)$\"\"\"/>\n</$link>\n\\end\n<$list filter=\"[all[current]get[draft.of]is[shadow]!is[tiddler]]\">\n\n<$list filter=\"[all[current]shadowsource[]]\" variable=\"pluginTitle\">\n\n<$set name=\"pluginLink\" value=<<pluginLinkBody>>>\n<div class=\"tc-message-box\">\n\n<<lingo Warning>>\n\n</div>\n</$set>\n</$list>\n\n</$list>\n\n<$list filter=\"[all[current]get[draft.of]is[shadow]is[tiddler]]\">\n\n<$list filter=\"[all[current]shadowsource[]]\" variable=\"pluginTitle\">\n\n<$set name=\"pluginLink\" value=<<pluginLinkBody>>>\n<div class=\"tc-message-box\">\n\n<<lingo OverriddenWarning>>\n\n</div>\n</$set>\n</$list>\n\n</$list>"
},
"$:/core/ui/EditTemplate/tags": {
"title": "$:/core/ui/EditTemplate/tags",
"tags": "$:/tags/EditTemplate",
"text": "\\whitespace trim\n\n\\define lingo-base() $:/language/EditTemplate/\n\n\\define tag-styles()\nbackground-color:$(backgroundColor)$;\nfill:$(foregroundColor)$;\ncolor:$(foregroundColor)$;\n\\end\n\n\\define tag-body-inner(colour,fallbackTarget,colourA,colourB,icon,tagField:\"tags\")\n\\whitespace trim\n<$vars foregroundColor=<<contrastcolour target:\"\"\"$colour$\"\"\" fallbackTarget:\"\"\"$fallbackTarget$\"\"\" colourA:\"\"\"$colourA$\"\"\" colourB:\"\"\"$colourB$\"\"\">> backgroundColor=\"\"\"$colour$\"\"\">\n<span style=<<tag-styles>> class=\"tc-tag-label tc-tag-list-item\">\n<$transclude tiddler=\"\"\"$icon$\"\"\"/><$view field=\"title\" format=\"text\" />\n<$button class=\"tc-btn-invisible tc-remove-tag-button\" style=<<tag-styles>>><$action-listops $tiddler=<<saveTiddler>> $field=<<__tagField__>> $subfilter=\"-[{!!title}]\"/>{{$:/core/images/close-button}}</$button>\n</span>\n</$vars>\n\\end\n\n\\define tag-body(colour,palette,icon,tagField:\"tags\")\n<$macrocall $name=\"tag-body-inner\" colour=\"\"\"$colour$\"\"\" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}} icon=\"\"\"$icon$\"\"\" tagField=<<__tagField__>>/>\n\\end\n\n\\define edit-tags-template(tagField:\"tags\")\n\\whitespace trim\n<div class=\"tc-edit-tags\">\n<$list filter=\"[list[!!$tagField$]sort[title]]\" storyview=\"pop\">\n<$macrocall $name=\"tag-body\" colour={{!!color}} palette={{$:/palette}} icon={{!!icon}} tagField=<<__tagField__>>/>\n</$list>\n<$vars tabIndex={{$:/config/EditTabIndex}} cancelPopups=\"yes\">\n<$macrocall $name=\"tag-picker\" tagField=<<__tagField__>>/>\n</$vars>\n</div>\n\\end\n<$set name=\"saveTiddler\" value=<<currentTiddler>>>\n<$macrocall $name=\"edit-tags-template\" tagField=<<tagField>>/>\n</$set>\n"
},
"$:/core/ui/EditTemplate/title": {
"title": "$:/core/ui/EditTemplate/title",
"tags": "$:/tags/EditTemplate",
"text": "<$edit-text field=\"draft.title\" class=\"tc-titlebar tc-edit-texteditor\" focus={{{ [{$:/config/AutoFocus}match[title]then[true]] ~[[false]] }}} tabindex={{$:/config/EditTabIndex}} cancelPopups=\"yes\"/>\n\n<$vars pattern=\"\"\"[\\|\\[\\]{}]\"\"\" bad-chars=\"\"\"`| [ ] { }`\"\"\">\n\n<$list filter=\"[all[current]regexp:draft.title<pattern>]\" variable=\"listItem\">\n\n<div class=\"tc-message-box\">\n\n{{$:/core/images/warning}} {{$:/language/EditTemplate/Title/BadCharacterWarning}}\n\n</div>\n\n</$list>\n\n</$vars>\n\n<$reveal state=\"!!draft.title\" type=\"nomatch\" text={{!!draft.of}} tag=\"div\">\n\n<$list filter=\"[{!!draft.title}!is[missing]]\" variable=\"listItem\">\n\n<div class=\"tc-message-box\">\n\n{{$:/core/images/warning}} {{$:/language/EditTemplate/Title/Exists/Prompt}}\n\n</div>\n\n</$list>\n\n<$list filter=\"[{!!draft.of}!is[missing]]\" variable=\"listItem\">\n\n<$vars fromTitle={{!!draft.of}} toTitle={{!!draft.title}}>\n\n<$checkbox tiddler=\"$:/config/RelinkOnRename\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> {{$:/language/EditTemplate/Title/Relink/Prompt}}</$checkbox>\n\n<$list filter=\"[title<fromTitle>backlinks[]limit[1]]\" variable=\"listItem\">\n\n<$vars stateTiddler=<<qualify \"$:/state/edit/references\">> >\n\n<$reveal type=\"nomatch\" state=<<stateTiddler>> text=\"show\">\n<$button set=<<stateTiddler>> setTo=\"show\" class=\"tc-btn-invisible\">{{$:/core/images/right-arrow}} \n<<lingo EditTemplate/Title/References/Prompt>></$button>\n</$reveal>\n<$reveal type=\"match\" state=<<stateTiddler>> text=\"show\">\n<$button set=<<stateTiddler>> setTo=\"hide\" class=\"tc-btn-invisible\">{{$:/core/images/down-arrow}} \n<<lingo EditTemplate/Title/References/Prompt>></$button>\n</$reveal>\n\n<$reveal type=\"match\" state=<<stateTiddler>> text=\"show\">\n<$tiddler tiddler=<<fromTitle>> >\n<$transclude tiddler=\"$:/core/ui/TiddlerInfo/References\"/>\n</$tiddler>\n</$reveal>\n\n</$vars>\n\n</$list>\n\n</$vars>\n\n</$list>\n\n</$reveal>\n"
},
"$:/core/ui/EditTemplate/type": {
"title": "$:/core/ui/EditTemplate/type",
"tags": "$:/tags/EditTemplate",
"first-search-filter": "[all[shadows+tiddlers]prefix[$:/language/Docs/Types/]sort[description]sort[group-sort]removeprefix[$:/language/Docs/Types/]search<userInput>]",
"text": "\\define lingo-base() $:/language/EditTemplate/\n\\define input-cancel-actions() <$list filter=\"[<storeTitle>get[text]] [<currentTiddler>get[type]] +[limit[1]]\" emptyMessage=\"\"\"<<cancel-delete-tiddler-actions \"cancel\">>\"\"\"><$action-sendmessage $message=\"tm-remove-field\" $param=\"type\"/><$action-deletetiddler $filter=\"[<typeInputTiddler>] [<refreshTitle>] [<typeSelectionTiddler>]\"/></$list>\n\\whitespace trim\n<$set name=\"refreshTitle\" value=<<qualify \"$:/temp/type-search/refresh\">>>\n<div class=\"tc-edit-type-selector-wrapper\">\n<em class=\"tc-edit tc-big-gap-right\"><<lingo Type/Prompt>></em>\n<div class=\"tc-type-selector-dropdown-wrapper\">\n<div class=\"tc-type-selector\"><$fieldmangler>\n<$macrocall $name=\"keyboard-driven-input\" tiddler=<<currentTiddler>> storeTitle=<<typeInputTiddler>> refreshTitle=<<refreshTitle>> selectionStateTitle=<<typeSelectionTiddler>> field=\"type\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Type/Placeholder}} focusPopup=<<qualify \"$:/state/popup/type-dropdown\">> class=\"tc-edit-typeeditor tc-edit-texteditor tc-popup-handle\" tabindex={{$:/config/EditTabIndex}} focus={{{ [{$:/config/AutoFocus}match[type]then[true]] ~[[false]] }}} cancelPopups=\"yes\" configTiddlerFilter=\"[[$:/core/ui/EditTemplate/type]]\" inputCancelActions=<<input-cancel-actions>>/><$button popup=<<qualify \"$:/state/popup/type-dropdown\">> class=\"tc-btn-invisible tc-btn-dropdown tc-small-gap\" tooltip={{$:/language/EditTemplate/Type/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Type/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button><$button message=\"tm-remove-field\" param=\"type\" class=\"tc-btn-invisible tc-btn-icon\" tooltip={{$:/language/EditTemplate/Type/Delete/Hint}} aria-label={{$:/language/EditTemplate/Type/Delete/Caption}}>{{$:/core/images/delete-button}}<$action-deletetiddler $filter=\"[<storeTitle>] [<refreshTitle>] [<selectionStateTitle>]\"/></$button>\n</$fieldmangler></div>\n\n<div class=\"tc-block-dropdown-wrapper\">\n<$set name=\"tv-show-missing-links\" value=\"yes\">\n<$reveal state=<<qualify \"$:/state/popup/type-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$linkcatcher to=\"!!type\">\n<$list filter='[all[shadows+tiddlers]prefix[$:/language/Docs/Types/]each[group]sort[group-sort]]'>\n<div class=\"tc-dropdown-item\">\n<$text text={{!!group}}/>\n</div>\n<$set name=\"userInput\" value={{{ [<typeInputTiddler>get[text]] }}}>\n<$list filter=\"[all[shadows+tiddlers]prefix[$:/language/Docs/Types/]group{!!group}] +[sort[description]] +[removeprefix[$:/language/Docs/Types/]] +[search<userInput>]\"><span class={{{ [<currentTiddler>addsuffix[-primaryList]] -[<typeSelectionTiddler>get[text]] +[then[]else[tc-list-item-selected]] }}}><$link to={{{ [<currentTiddler>addprefix[$:/language/Docs/Types/]get[name]] }}}><$view tiddler={{{ [<currentTiddler>addprefix[$:/language/Docs/Types/]] }}} field=\"description\"/> (<$view tiddler={{{ [<currentTiddler>addprefix[$:/language/Docs/Types/]] }}} field=\"name\"/>)</$link></span>\n</$list>\n</$set>\n</$list>\n</$linkcatcher>\n</div>\n</$reveal>\n</$set>\n</div>\n</div>\n</div>\n</$set>\n"
},
"$:/core/ui/EditTemplate": {
"title": "$:/core/ui/EditTemplate",
"text": "\\define delete-edittemplate-state-tiddlers() <$action-deletetiddler $filter=\"[<newFieldNameTiddler>] [<newFieldValueTiddler>] [<newFieldNameInputTiddler>] [<newFieldNameSelectionTiddler>] [<newTagNameTiddler>] [<newTagNameInputTiddler>] [<newTagNameSelectionTiddler>] [<typeInputTiddler>] [<typeSelectionTiddler>]\"/>\n\\define save-tiddler-actions()\n<$action-sendmessage $message=\"tm-add-tag\" $param={{{ [<newTagNameTiddler>get[text]] }}}/>\n<$action-sendmessage $message=\"tm-add-field\" $name={{{ [<newFieldNameTiddler>get[text]] }}} $value={{{ [<newFieldValueTiddler>get[text]] }}}/>\n<<delete-edittemplate-state-tiddlers>>\n<$action-sendmessage $message=\"tm-save-tiddler\"/>\n\\end\n\\define cancel-delete-tiddler-actions(message)\n<<delete-edittemplate-state-tiddlers>>\n<$action-sendmessage $message=\"tm-$message$-tiddler\"/>\n\\end\n<div data-tiddler-title=<<currentTiddler>> data-tags={{!!tags}} class={{{ tc-tiddler-frame tc-tiddler-edit-frame [<currentTiddler>is[tiddler]then[tc-tiddler-exists]] [<currentTiddler>is[missing]!is[shadow]then[tc-tiddler-missing]] [<currentTiddler>is[shadow]then[tc-tiddler-exists tc-tiddler-shadow]] [<currentTiddler>is[system]then[tc-tiddler-system]] [{!!class}] [<currentTiddler>tags[]encodeuricomponent[]addprefix[tc-tagged-]] +[join[ ]] }}}>\n<$fieldmangler>\n<$vars storyTiddler=<<currentTiddler>> newTagNameTiddler=<<qualify \"$:/temp/NewTagName\">> newFieldNameTiddler=<<qualify \"$:/temp/NewFieldName\">> newFieldValueTiddler=<<qualify \"$:/temp/NewFieldValue\">> newFieldNameInputTiddler=<<qualify \"$:/temp/NewFieldName/input\">> newFieldNameSelectionTiddler=<<qualify \"$:/temp/NewFieldName/selected-item\">> newTagNameInputTiddler=<<qualify \"$:/temp/NewTagName/input\">> newTagNameSelectionTiddler=<<qualify \"$:/temp/NewTagName/selected-item\">> typeInputTiddler=<<qualify \"$:/temp/Type/input\">> typeSelectionTiddler=<<qualify \"$:/temp/Type/selected-item\">>>\n<$keyboard key=\"((cancel-edit-tiddler))\" actions=<<cancel-delete-tiddler-actions \"cancel\">>>\n<$keyboard key=\"((save-tiddler))\" actions=<<save-tiddler-actions>>>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditTemplate]!has[draft.of]]\" variable=\"listItem\">\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n<$transclude tiddler=<<listItem>>/>\n</$set>\n</$list>\n</$keyboard>\n</$keyboard>\n</$vars>\n</$fieldmangler>\n</div>\n"
},
"$:/core/ui/Buttons/cancel": {
"title": "$:/core/ui/Buttons/cancel",
"tags": "$:/tags/EditToolbar",
"caption": "{{$:/core/images/cancel-button}} {{$:/language/Buttons/Cancel/Caption}}",
"description": "{{$:/language/Buttons/Cancel/Hint}}",
"text": "\\whitespace trim\n<$button actions=<<cancel-delete-tiddler-actions \"cancel\">> tooltip={{$:/language/Buttons/Cancel/Hint}} aria-label={{$:/language/Buttons/Cancel/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/cancel-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Cancel/Caption}}/></span>\n</$list>\n</$button>\n"
},
"$:/core/ui/Buttons/delete": {
"title": "$:/core/ui/Buttons/delete",
"tags": "$:/tags/EditToolbar $:/tags/ViewToolbar",
"caption": "{{$:/core/images/delete-button}} {{$:/language/Buttons/Delete/Caption}}",
"description": "{{$:/language/Buttons/Delete/Hint}}",
"text": "\\whitespace trim\n<$button actions=<<cancel-delete-tiddler-actions \"delete\">> tooltip={{$:/language/Buttons/Delete/Hint}} aria-label={{$:/language/Buttons/Delete/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/delete-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Delete/Caption}}/></span>\n</$list>\n</$button>\n"
},
"$:/core/ui/Buttons/save": {
"title": "$:/core/ui/Buttons/save",
"tags": "$:/tags/EditToolbar",
"caption": "{{$:/core/images/done-button}} {{$:/language/Buttons/Save/Caption}}",
"description": "{{$:/language/Buttons/Save/Hint}}",
"text": "\\define save-tiddler-button()\n\\whitespace trim\n<$fieldmangler><$button tooltip={{$:/language/Buttons/Save/Hint}} aria-label={{$:/language/Buttons/Save/Caption}} class=<<tv-config-toolbar-class>>>\n<<save-tiddler-actions>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/done-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Save/Caption}}/></span>\n</$list>\n</$button></$fieldmangler>\n\\end\n<<save-tiddler-button>>\n"
},
"$:/core/ui/EditorToolbar/bold": {
"title": "$:/core/ui/EditorToolbar/bold",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/bold",
"caption": "{{$:/language/Buttons/Bold/Caption}}",
"description": "{{$:/language/Buttons/Bold/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((bold))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"''\"\n\tsuffix=\"''\"\n/>\n"
},
"$:/core/ui/EditorToolbar/clear-dropdown": {
"title": "$:/core/ui/EditorToolbar/clear-dropdown",
"text": "''{{$:/language/Buttons/Clear/Hint}}''\n\n<div class=\"tc-colour-chooser\">\n\n<$macrocall $name=\"colour-picker\" actions=\"\"\"\n\n<$action-sendmessage\n\t$message=\"tm-edit-bitmap-operation\"\n\t$param=\"clear\"\n\tcolour=<<colour-picker-value>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n\"\"\"/>\n\n</div>\n"
},
"$:/core/ui/EditorToolbar/clear": {
"title": "$:/core/ui/EditorToolbar/clear",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/erase",
"caption": "{{$:/language/Buttons/Clear/Caption}}",
"description": "{{$:/language/Buttons/Clear/Hint}}",
"condition": "[<targetTiddler>is[image]] -[<targetTiddler>type[image/svg+xml]]",
"dropdown": "$:/core/ui/EditorToolbar/clear-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/editor-height-dropdown": {
"title": "$:/core/ui/EditorToolbar/editor-height-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/EditorHeight/\n''<<lingo Hint>>''\n\n<$radio tiddler=\"$:/config/TextEditor/EditorHeight/Mode\" value=\"auto\"> {{$:/core/images/auto-height}} <<lingo Caption/Auto>></$radio>\n\n<$radio tiddler=\"$:/config/TextEditor/EditorHeight/Mode\" value=\"fixed\"> {{$:/core/images/fixed-height}} <<lingo Caption/Fixed>> <$edit-text tag=\"input\" tiddler=\"$:/config/TextEditor/EditorHeight/Height\" default=\"100px\"/></$radio>\n"
},
"$:/core/ui/EditorToolbar/editor-height": {
"title": "$:/core/ui/EditorToolbar/editor-height",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/fixed-height",
"custom-icon": "yes",
"caption": "{{$:/language/Buttons/EditorHeight/Caption}}",
"description": "{{$:/language/Buttons/EditorHeight/Hint}}",
"condition": "[<targetTiddler>type[]] [<targetTiddler>get[type]prefix[text/]] [<targetTiddler>get[type]match[application/javascript]] [<targetTiddler>get[type]match[application/json]] [<targetTiddler>get[type]match[application/x-tiddler-dictionary]] [<targetTiddler>get[type]match[image/svg+xml]] +[first[]]",
"dropdown": "$:/core/ui/EditorToolbar/editor-height-dropdown",
"text": "<$reveal tag=\"span\" state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"fixed\">\n{{$:/core/images/fixed-height}}\n</$reveal>\n<$reveal tag=\"span\" state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"auto\">\n{{$:/core/images/auto-height}}\n</$reveal>\n"
},
"$:/core/ui/EditorToolbar/excise-dropdown": {
"title": "$:/core/ui/EditorToolbar/excise-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Excise/\n\n\\define body(config-title)\n''<<lingo Hint>>''\n\n<<lingo Caption/NewTitle>> <$edit-text tag=\"input\" tiddler=\"$config-title$/new-title\" default=\"\" focus=\"true\"/>\n\n<$set name=\"new-title\" value={{$config-title$/new-title}}>\n<$list filter=\"\"\"[<new-title>is[tiddler]]\"\"\">\n<div class=\"tc-error\">\n<<lingo Caption/TiddlerExists>>\n</div>\n</$list>\n</$set>\n\n<$checkbox tiddler=\"\"\"$config-title$/tagnew\"\"\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"false\"> <<lingo Caption/Tag>></$checkbox>\n\n<<lingo Caption/Replace>> <$select tiddler=\"\"\"$config-title$/type\"\"\" default=\"transclude\">\n<option value=\"link\"><<lingo Caption/Replace/Link>></option>\n<option value=\"transclude\"><<lingo Caption/Replace/Transclusion>></option>\n<option value=\"macro\"><<lingo Caption/Replace/Macro>></option>\n</$select>\n\n<$reveal state=\"\"\"$config-title$/type\"\"\" type=\"match\" text=\"macro\">\n<<lingo Caption/MacroName>> <$edit-text tag=\"input\" tiddler=\"\"\"$config-title$/macro-title\"\"\" default=\"translink\"/>\n</$reveal>\n\n<$button>\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"excise\"\n\ttitle={{$config-title$/new-title}}\n\ttype={{$config-title$/type}}\n\tmacro={{$config-title$/macro-title}}\n\ttagnew={{$config-title$/tagnew}}\n/>\n<$action-deletetiddler\n\t$tiddler=\"$config-title$/new-title\"\n/>\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n<<lingo Caption/Excise>>\n</$button>\n\\end\n\n<$macrocall $name=\"body\" config-title=<<qualify \"$:/state/Excise/\">>/>\n"
},
"$:/core/ui/EditorToolbar/excise": {
"title": "$:/core/ui/EditorToolbar/excise",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/excise",
"caption": "{{$:/language/Buttons/Excise/Caption}}",
"description": "{{$:/language/Buttons/Excise/Hint}}",
"condition": "[<targetTiddler>type[]] [<targetTiddler>type[text/vnd.tiddlywiki]] +[first[]]",
"shortcuts": "((excise))",
"dropdown": "$:/core/ui/EditorToolbar/excise-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/heading-1": {
"title": "$:/core/ui/EditorToolbar/heading-1",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-1",
"caption": "{{$:/language/Buttons/Heading1/Caption}}",
"description": "{{$:/language/Buttons/Heading1/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((heading-1))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"1\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-2": {
"title": "$:/core/ui/EditorToolbar/heading-2",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-2",
"caption": "{{$:/language/Buttons/Heading2/Caption}}",
"description": "{{$:/language/Buttons/Heading2/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-2))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"2\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-3": {
"title": "$:/core/ui/EditorToolbar/heading-3",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-3",
"caption": "{{$:/language/Buttons/Heading3/Caption}}",
"description": "{{$:/language/Buttons/Heading3/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-3))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"3\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-4": {
"title": "$:/core/ui/EditorToolbar/heading-4",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-4",
"caption": "{{$:/language/Buttons/Heading4/Caption}}",
"description": "{{$:/language/Buttons/Heading4/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-4))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"4\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-5": {
"title": "$:/core/ui/EditorToolbar/heading-5",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-5",
"caption": "{{$:/language/Buttons/Heading5/Caption}}",
"description": "{{$:/language/Buttons/Heading5/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-5))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"5\"\n/>\n"
},
"$:/core/ui/EditorToolbar/heading-6": {
"title": "$:/core/ui/EditorToolbar/heading-6",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/heading-6",
"caption": "{{$:/language/Buttons/Heading6/Caption}}",
"description": "{{$:/language/Buttons/Heading6/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((heading-6))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"!\"\n\tcount=\"6\"\n/>\n"
},
"$:/core/ui/EditorToolbar/italic": {
"title": "$:/core/ui/EditorToolbar/italic",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/italic",
"caption": "{{$:/language/Buttons/Italic/Caption}}",
"description": "{{$:/language/Buttons/Italic/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((italic))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"//\"\n\tsuffix=\"//\"\n/>\n"
},
"$:/core/ui/EditorToolbar/line-width-dropdown": {
"title": "$:/core/ui/EditorToolbar/line-width-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/LineWidth/\n\n\\define toolbar-line-width-inner()\n<$button tag=\"a\" tooltip=\"\"\"$(line-width)$\"\"\">\n\n<$action-setfield\n\t$tiddler=\"$:/config/BitmapEditor/LineWidth\"\n\t$value=\"$(line-width)$\"\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<div style=\"display: inline-block; margin: 4px calc(80px - $(line-width)$); background-color: #000; width: calc(100px + $(line-width)$ * 2); height: $(line-width)$; border-radius: 120px; vertical-align: middle;\"/>\n\n<span style=\"margin-left: 8px;\">\n\n<$text text=\"\"\"$(line-width)$\"\"\"/>\n\n<$reveal state=\"$:/config/BitmapEditor/LineWidth\" type=\"match\" text=\"\"\"$(line-width)$\"\"\" tag=\"span\">\n\n<$entity entity=\" \"/>\n\n<$entity entity=\"✓\"/>\n\n</$reveal>\n\n</span>\n\n</$button>\n\\end\n\n''<<lingo Hint>>''\n\n<$list filter={{$:/config/BitmapEditor/LineWidths}} variable=\"line-width\">\n\n<<toolbar-line-width-inner>>\n\n</$list>\n"
},
"$:/core/ui/EditorToolbar/line-width": {
"title": "$:/core/ui/EditorToolbar/line-width",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/line-width",
"caption": "{{$:/language/Buttons/LineWidth/Caption}}",
"description": "{{$:/language/Buttons/LineWidth/Hint}}",
"condition": "[<targetTiddler>is[image]] -[<targetTiddler>type[image/svg+xml]]",
"dropdown": "$:/core/ui/EditorToolbar/line-width-dropdown",
"text": "<$text text={{$:/config/BitmapEditor/LineWidth}}/>\n"
},
"$:/core/ui/EditorToolbar/link-dropdown": {
"title": "$:/core/ui/EditorToolbar/link-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Link/\n\n\\define add-link-actions()\n<$action-sendmessage $message=\"tm-edit-text-operation\" $param=\"make-link\" text={{$(linkTiddler)$}} />\n<$action-deletetiddler $filter=\"[<dropdown-state>] [<searchTiddler>] [<linkTiddler>] [<storeTitle>] [<searchListState>]\"/>\n\\end\n\n\\define get-focus-selector() [data-tiddler-title=\"$(cssEscapedTitle)$\"] .tc-create-wikitext-link input\n\n\\define cancel-search-actions-inner()\n<$set name=\"userInput\" value={{{ [<storeTitle>get[text]] }}}><$list filter=\"[<searchTiddler>get[text]!match<userInput>]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[<searchTiddler>] [<linkTiddler>] [<storeTitle>] [<searchListState>]\"/>\"\"\"><$action-setfield $tiddler=<<searchTiddler>> text=<<userInput>>/><$action-setfield $tiddler=<<refreshTitle>> text=\"yes\"/></$list></$set>\n\\end\n\n\\define cancel-search-actions() <$list filter=\"[<storeTitle>!has[text]] +[<searchTiddler>!has[text]]\" emptyMessage=\"\"\"<<cancel-search-actions-inner>>\"\"\"><$action-sendmessage $message=\"tm-edit-text-operation\" $param=\"wrap-selection\" prefix=\"\" suffix=\"\"/></$list>\n\n\\define external-link()\n<$button class=\"tc-btn-invisible\" style=\"width: auto; display: inline-block; background-colour: inherit;\" actions=<<add-link-actions>>>\n{{$:/core/images/chevron-right}}\n</$button>\n\\end\n\n\\define set-next-input-tab(beforeafter:\"after\") <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab/search-results/sidebar\" tag=\"$:/tags/SearchResults\" beforeafter=\"$beforeafter$\" defaultState={{$:/config/SearchResults/Default}} actions=\"\"\"<$action-setfield $tiddler=\"$:/state/search/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define body(config-title)\n''<<lingo Hint>>''\n\n<$vars searchTiddler=\"\"\"$config-title$/search\"\"\" linkTiddler=\"\"\"$config-title$/link\"\"\" linktext=\"\" searchListState=<<qualify \"$:/temp/link-search/selected-item\">> refreshTitle=<<qualify \"$:/temp/link-search/refresh\">> storeTitle=<<qualify \"$:/temp/link-search/input\">>>\n\n<$vars linkTiddler=<<searchTiddler>>>\n<$keyboard key=\"((input-tab-right))\" actions=<<set-next-input-tab>>>\n<$keyboard key=\"((input-tab-left))\" actions=<<set-next-input-tab \"before\">> class=\"tc-create-wikitext-link\">\n<$macrocall $name=\"keyboard-driven-input\" tiddler=<<searchTiddler>> storeTitle=<<storeTitle>>\n\t\tselectionStateTitle=<<searchListState>> refreshTitle=<<refreshTitle>> type=\"search\" filterMinLength=\"1\"\n\t\ttag=\"input\" focus=\"true\" class=\"tc-popup-handle\" inputCancelActions=<<cancel-search-actions>> \n\t\tinputAcceptActions=<<add-link-actions>> placeholder={{$:/language/Search/Search}} default=\"\" \n\t\tconfigTiddlerFilter=\"[[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}]\" />\n</$keyboard>\n</$keyboard>\n<$reveal tag=\"span\" state=<<storeTitle>> type=\"nomatch\" text=\"\">\n<<external-link>>\n<$button class=\"tc-btn-invisible\" style=\"width: auto; display: inline-block; background-colour: inherit;\">\n<<cancel-search-actions>><$set name=\"cssEscapedTitle\" value={{{ [<storyTiddler>escapecss[]] }}}><$action-sendmessage $message=\"tm-focus-selector\" $param=<<get-focus-selector>>/></$set>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</$vars>\n\n<$reveal tag=\"div\" state=<<storeTitle>> type=\"nomatch\" text=\"\">\n\n<$linkcatcher actions=<<add-link-actions>> to=<<linkTiddler>>>\n\n<$vars userInput={{{ [<storeTitle>get[text]] }}} configTiddler={{{ [[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}] }}}>\n\n{{$:/core/ui/SearchResults}}\n\n</$vars>\n\n</$linkcatcher>\n\n</$reveal>\n\n</$vars>\n\n\\end\n\n<$macrocall $name=\"body\" config-title=<<qualify \"$:/state/Link/\">>/>\n"
},
"$:/core/ui/EditorToolbar/link": {
"title": "$:/core/ui/EditorToolbar/link",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/link",
"caption": "{{$:/language/Buttons/Link/Caption}}",
"description": "{{$:/language/Buttons/Link/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((link))",
"dropdown": "$:/core/ui/EditorToolbar/link-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/linkify": {
"title": "$:/core/ui/EditorToolbar/linkify",
"caption": "{{$:/language/Buttons/Linkify/Caption}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"description": "{{$:/language/Buttons/Linkify/Hint}}",
"icon": "$:/core/images/linkify",
"list-before": "$:/core/ui/EditorToolbar/mono-block",
"shortcuts": "((linkify))",
"tags": "$:/tags/EditorToolbar",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"[[\"\n\tsuffix=\"]]\"\n/>\n"
},
"$:/core/ui/EditorToolbar/list-bullet": {
"title": "$:/core/ui/EditorToolbar/list-bullet",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/list-bullet",
"caption": "{{$:/language/Buttons/ListBullet/Caption}}",
"description": "{{$:/language/Buttons/ListBullet/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((list-bullet))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"*\"\n\tcount=\"1\"\n/>\n"
},
"$:/core/ui/EditorToolbar/list-number": {
"title": "$:/core/ui/EditorToolbar/list-number",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/list-number",
"caption": "{{$:/language/Buttons/ListNumber/Caption}}",
"description": "{{$:/language/Buttons/ListNumber/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((list-number))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"prefix-lines\"\n\tcharacter=\"#\"\n\tcount=\"1\"\n/>\n"
},
"$:/core/ui/EditorToolbar/mono-block": {
"title": "$:/core/ui/EditorToolbar/mono-block",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/mono-block",
"caption": "{{$:/language/Buttons/MonoBlock/Caption}}",
"description": "{{$:/language/Buttons/MonoBlock/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((mono-block))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-lines\"\n\tprefix=\"\n```\"\n\tsuffix=\"```\"\n/>\n"
},
"$:/core/ui/EditorToolbar/mono-line": {
"title": "$:/core/ui/EditorToolbar/mono-line",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/mono-line",
"caption": "{{$:/language/Buttons/MonoLine/Caption}}",
"description": "{{$:/language/Buttons/MonoLine/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((mono-line))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"`\"\n\tsuffix=\"`\"\n/>\n"
},
"$:/core/ui/EditorToolbar/more-dropdown": {
"title": "$:/core/ui/EditorToolbar/more-dropdown",
"text": "\\define config-title()\n$:/config/EditorToolbarButtons/Visibility/$(toolbarItem)$\n\\end\n\n\\define conditional-button()\n<$list filter={{$(toolbarItem)$!!condition}} variable=\"condition\">\n<$transclude tiddler=\"$:/core/ui/EditTemplate/body/toolbar/button\" mode=\"inline\"/> <$transclude tiddler=<<toolbarItem>> field=\"description\"/>\n</$list>\n\\end\n\n<div class=\"tc-text-editor-toolbar-more\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditorToolbar]!has[draft.of]] -[[$:/core/ui/EditorToolbar/more]]\">\n<$reveal type=\"match\" state=<<config-visibility-title>> text=\"hide\" tag=\"div\">\n<<conditional-button>>\n</$reveal>\n</$list>\n</div>\n"
},
"$:/core/ui/EditorToolbar/more": {
"title": "$:/core/ui/EditorToolbar/more",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/down-arrow",
"caption": "{{$:/language/Buttons/More/Caption}}",
"description": "{{$:/language/Buttons/More/Hint}}",
"condition": "[<targetTiddler>]",
"dropdown": "$:/core/ui/EditorToolbar/more-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/opacity-dropdown": {
"title": "$:/core/ui/EditorToolbar/opacity-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Opacity/\n\n\\define toolbar-opacity-inner()\n<$button tag=\"a\" tooltip=\"\"\"$(opacity)$\"\"\">\n\n<$action-setfield\n\t$tiddler=\"$:/config/BitmapEditor/Opacity\"\n\t$value=\"$(opacity)$\"\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<div style=\"display: inline-block; vertical-align: middle; background-color: $(current-paint-colour)$; opacity: $(opacity)$; width: 1em; height: 1em; border-radius: 50%;\"/>\n\n<span style=\"margin-left: 8px;\">\n\n<$text text=\"\"\"$(opacity)$\"\"\"/>\n\n<$reveal state=\"$:/config/BitmapEditor/Opacity\" type=\"match\" text=\"\"\"$(opacity)$\"\"\" tag=\"span\">\n\n<$entity entity=\" \"/>\n\n<$entity entity=\"✓\"/>\n\n</$reveal>\n\n</span>\n\n</$button>\n\\end\n\n\\define toolbar-opacity()\n''<<lingo Hint>>''\n\n<$list filter={{$:/config/BitmapEditor/Opacities}} variable=\"opacity\">\n\n<<toolbar-opacity-inner>>\n\n</$list>\n\\end\n\n<$set name=\"current-paint-colour\" value={{$:/config/BitmapEditor/Colour}}>\n\n<$set name=\"current-opacity\" value={{$:/config/BitmapEditor/Opacity}}>\n\n<<toolbar-opacity>>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/EditorToolbar/opacity": {
"title": "$:/core/ui/EditorToolbar/opacity",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/opacity",
"caption": "{{$:/language/Buttons/Opacity/Caption}}",
"description": "{{$:/language/Buttons/Opacity/Hint}}",
"condition": "[<targetTiddler>is[image]] -[<targetTiddler>type[image/svg+xml]]",
"dropdown": "$:/core/ui/EditorToolbar/opacity-dropdown",
"text": "<$text text={{$:/config/BitmapEditor/Opacity}}/>\n"
},
"$:/core/ui/EditorToolbar/paint-dropdown": {
"title": "$:/core/ui/EditorToolbar/paint-dropdown",
"text": "''{{$:/language/Buttons/Paint/Hint}}''\n\n<$macrocall $name=\"colour-picker\" actions=\"\"\"\n\n<$action-setfield\n\t$tiddler=\"$:/config/BitmapEditor/Colour\"\n\t$value=<<colour-picker-value>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n\"\"\"/>\n"
},
"$:/core/ui/EditorToolbar/paint": {
"title": "$:/core/ui/EditorToolbar/paint",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/paint",
"caption": "{{$:/language/Buttons/Paint/Caption}}",
"description": "{{$:/language/Buttons/Paint/Hint}}",
"condition": "[<targetTiddler>is[image]] -[<targetTiddler>type[image/svg+xml]]",
"dropdown": "$:/core/ui/EditorToolbar/paint-dropdown",
"text": "\\define toolbar-paint()\n<div style=\"display: inline-block; vertical-align: middle; background-color: $(colour-picker-value)$; width: 1em; height: 1em; border-radius: 50%;\"/>\n\\end\n<$set name=\"colour-picker-value\" value={{$:/config/BitmapEditor/Colour}}>\n<<toolbar-paint>>\n</$set>\n"
},
"$:/core/ui/EditorToolbar/picture-dropdown": {
"title": "$:/core/ui/EditorToolbar/picture-dropdown",
"text": "\\define replacement-text()\n[img[$(imageTitle)$]]\n\\end\n\n''{{$:/language/Buttons/Picture/Hint}}''\n\n<$macrocall $name=\"image-picker\" actions=\"\"\"\n\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"replace-selection\"\n\ttext=<<replacement-text>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n\"\"\"/>\n"
},
"$:/core/ui/EditorToolbar/picture": {
"title": "$:/core/ui/EditorToolbar/picture",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/picture",
"caption": "{{$:/language/Buttons/Picture/Caption}}",
"description": "{{$:/language/Buttons/Picture/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((picture))",
"dropdown": "$:/core/ui/EditorToolbar/picture-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/preview-type-dropdown": {
"title": "$:/core/ui/EditorToolbar/preview-type-dropdown",
"text": "\\define preview-type-button()\n<$button tag=\"a\">\n\n<$action-setfield $tiddler=\"$:/state/editpreviewtype\" $value=\"$(previewType)$\"/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<$transclude tiddler=<<previewType>> field=\"caption\" mode=\"inline\">\n\n<$view tiddler=<<previewType>> field=\"title\" mode=\"inline\"/>\n\n</$transclude> \n\n<$reveal tag=\"span\" state=\"$:/state/editpreviewtype\" type=\"match\" text=<<previewType>> default=\"$:/core/ui/EditTemplate/body/preview/output\">\n\n<$entity entity=\" \"/>\n\n<$entity entity=\"✓\"/>\n\n</$reveal>\n\n</$button>\n\\end\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/EditPreview]!has[draft.of]]\" variable=\"previewType\">\n\n<<preview-type-button>>\n\n</$list>\n"
},
"$:/core/ui/EditorToolbar/preview-type": {
"title": "$:/core/ui/EditorToolbar/preview-type",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/chevron-down",
"caption": "{{$:/language/Buttons/PreviewType/Caption}}",
"description": "{{$:/language/Buttons/PreviewType/Hint}}",
"condition": "[all[shadows+tiddlers]tag[$:/tags/EditPreview]!has[draft.of]butfirst[]limit[1]]",
"button-classes": "tc-text-editor-toolbar-item-adjunct",
"dropdown": "$:/core/ui/EditorToolbar/preview-type-dropdown"
},
"$:/core/ui/EditorToolbar/preview": {
"title": "$:/core/ui/EditorToolbar/preview",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/preview-open",
"custom-icon": "yes",
"caption": "{{$:/language/Buttons/Preview/Caption}}",
"description": "{{$:/language/Buttons/Preview/Hint}}",
"condition": "[<targetTiddler>]",
"button-classes": "tc-text-editor-toolbar-item-start-group",
"shortcuts": "((preview))",
"text": "<$reveal state=\"$:/state/showeditpreview\" type=\"match\" text=\"yes\" tag=\"span\">\n{{$:/core/images/preview-open}}\n<$action-setfield $tiddler=\"$:/state/showeditpreview\" $value=\"no\"/>\n</$reveal>\n<$reveal state=\"$:/state/showeditpreview\" type=\"nomatch\" text=\"yes\" tag=\"span\">\n{{$:/core/images/preview-closed}}\n<$action-setfield $tiddler=\"$:/state/showeditpreview\" $value=\"yes\"/>\n</$reveal>\n"
},
"$:/core/ui/EditorToolbar/quote": {
"title": "$:/core/ui/EditorToolbar/quote",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/quote",
"caption": "{{$:/language/Buttons/Quote/Caption}}",
"description": "{{$:/language/Buttons/Quote/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((quote))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-lines\"\n\tprefix=\"\n<<<\"\n\tsuffix=\"<<<\"\n/>\n"
},
"$:/core/ui/EditorToolbar/rotate-left": {
"title": "$:/core/ui/EditorToolbar/rotate-left",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/rotate-left",
"caption": "{{$:/language/Buttons/RotateLeft/Caption}}",
"description": "{{$:/language/Buttons/RotateLeft/Hint}}",
"condition": "[<targetTiddler>is[image]] -[<targetTiddler>type[image/svg+xml]]",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-bitmap-operation\"\n\t$param=\"rotate-left\"\n/>\n"
},
"$:/core/ui/EditorToolbar/size-dropdown": {
"title": "$:/core/ui/EditorToolbar/size-dropdown",
"text": "\\define lingo-base() $:/language/Buttons/Size/\n\n\\define toolbar-button-size-preset(config-title)\n<$set name=\"width\" filter=\"$(sizePair)$ +[first[]]\">\n\n<$set name=\"height\" filter=\"$(sizePair)$ +[last[]]\">\n\n<$button tag=\"a\">\n\n<$action-setfield\n\t$tiddler=\"\"\"$config-title$/new-width\"\"\"\n\t$value=<<width>>\n/>\n\n<$action-setfield\n\t$tiddler=\"\"\"$config-title$/new-height\"\"\"\n\t$value=<<height>>\n/>\n\n<$action-deletetiddler\n\t$tiddler=\"\"\"$config-title$/presets-popup\"\"\"\n/>\n\n<$text text=<<width>>/> × <$text text=<<height>>/>\n\n</$button>\n\n</$set>\n\n</$set>\n\\end\n\n\\define toolbar-button-size(config-title)\n''{{$:/language/Buttons/Size/Hint}}''\n\n<<lingo Caption/Width>> <$edit-text tag=\"input\" tiddler=\"\"\"$config-title$/new-width\"\"\" default=<<tv-bitmap-editor-width>> focus=\"true\" size=\"8\"/> <<lingo Caption/Height>> <$edit-text tag=\"input\" tiddler=\"\"\"$config-title$/new-height\"\"\" default=<<tv-bitmap-editor-height>> size=\"8\"/> <$button popup=\"\"\"$config-title$/presets-popup\"\"\" class=\"tc-btn-invisible tc-popup-keep\" style=\"width: auto; display: inline-block; background-colour: inherit;\" selectedClass=\"tc-selected\">\n{{$:/core/images/down-arrow}}\n</$button>\n\n<$reveal tag=\"span\" state=\"\"\"$config-title$/presets-popup\"\"\" type=\"popup\" position=\"belowleft\" animate=\"yes\">\n\n<div class=\"tc-drop-down tc-popup-keep\">\n\n<$list filter={{$:/config/BitmapEditor/ImageSizes}} variable=\"sizePair\">\n\n<$macrocall $name=\"toolbar-button-size-preset\" config-title=\"$config-title$\"/>\n\n</$list>\n\n</div>\n\n</$reveal>\n\n<$button>\n<$action-sendmessage\n\t$message=\"tm-edit-bitmap-operation\"\n\t$param=\"resize\"\n\twidth={{$config-title$/new-width}}\n\theight={{$config-title$/new-height}}\n/>\n<$action-deletetiddler\n\t$tiddler=\"\"\"$config-title$/new-width\"\"\"\n/>\n<$action-deletetiddler\n\t$tiddler=\"\"\"$config-title$/new-height\"\"\"\n/>\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n<<lingo Caption/Resize>>\n</$button>\n\\end\n\n<$macrocall $name=\"toolbar-button-size\" config-title=<<qualify \"$:/state/Size/\">>/>\n"
},
"$:/core/ui/EditorToolbar/size": {
"title": "$:/core/ui/EditorToolbar/size",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/size",
"caption": "{{$:/language/Buttons/Size/Caption}}",
"description": "{{$:/language/Buttons/Size/Hint}}",
"condition": "[<targetTiddler>is[image]] -[<targetTiddler>type[image/svg+xml]]",
"dropdown": "$:/core/ui/EditorToolbar/size-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/stamp-dropdown": {
"title": "$:/core/ui/EditorToolbar/stamp-dropdown",
"text": "\\define toolbar-button-stamp-inner()\n<$button tag=\"a\">\n\n<$list filter=\"[[$(snippetTitle)$]addsuffix[/prefix]is[missing]removesuffix[/prefix]addsuffix[/suffix]is[missing]]\">\n\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"replace-selection\"\n\ttext={{$(snippetTitle)$}}\n/>\n\n</$list>\n\n\n<$list filter=\"[[$(snippetTitle)$]addsuffix[/prefix]is[missing]removesuffix[/prefix]addsuffix[/suffix]!is[missing]] [[$(snippetTitle)$]addsuffix[/prefix]!is[missing]removesuffix[/prefix]addsuffix[/suffix]is[missing]] [[$(snippetTitle)$]addsuffix[/prefix]!is[missing]removesuffix[/prefix]addsuffix[/suffix]!is[missing]]\">\n\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix={{{ [[$(snippetTitle)$]addsuffix[/prefix]get[text]] }}}\nsuffix={{{ [[$(snippetTitle)$]addsuffix[/suffix]get[text]] }}}\n/>\n\n</$list>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<$transclude tiddler=<<snippetTitle>> field=\"caption\" mode=\"inline\">\n\n<$view tiddler=<<snippetTitle>> field=\"title\" />\n\n</$transclude>\n\n</$button>\n\\end\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TextEditor/Snippet]!has[draft.of]sort[caption]]\" variable=\"snippetTitle\">\n\n<<toolbar-button-stamp-inner>>\n\n</$list>\n\n----\n\n<$button tag=\"a\">\n\n<$action-sendmessage\n\t$message=\"tm-new-tiddler\"\n\ttags=\"$:/tags/TextEditor/Snippet\"\n\tcaption={{$:/language/Buttons/Stamp/New/Title}}\n\ttext={{$:/language/Buttons/Stamp/New/Text}}\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<em>\n\n<$text text={{$:/language/Buttons/Stamp/Caption/New}}/>\n\n</em>\n\n</$button>\n"
},
"$:/core/ui/EditorToolbar/stamp": {
"title": "$:/core/ui/EditorToolbar/stamp",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/stamp",
"caption": "{{$:/language/Buttons/Stamp/Caption}}",
"description": "{{$:/language/Buttons/Stamp/Hint}}",
"condition": "[<targetTiddler>type[]] [<targetTiddler>get[type]prefix[text/]] [<targetTiddler>get[type]match[application/javascript]] [<targetTiddler>get[type]match[application/json]] [<targetTiddler>get[type]match[application/x-tiddler-dictionary]] [<targetTiddler>get[type]match[image/svg+xml]] +[first[]]",
"shortcuts": "((stamp))",
"dropdown": "$:/core/ui/EditorToolbar/stamp-dropdown",
"text": ""
},
"$:/core/ui/EditorToolbar/strikethrough": {
"title": "$:/core/ui/EditorToolbar/strikethrough",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/strikethrough",
"caption": "{{$:/language/Buttons/Strikethrough/Caption}}",
"description": "{{$:/language/Buttons/Strikethrough/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((strikethrough))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"~~\"\n\tsuffix=\"~~\"\n/>\n"
},
"$:/core/ui/EditorToolbar/subscript": {
"title": "$:/core/ui/EditorToolbar/subscript",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/subscript",
"caption": "{{$:/language/Buttons/Subscript/Caption}}",
"description": "{{$:/language/Buttons/Subscript/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((subscript))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\",,\"\n\tsuffix=\",,\"\n/>\n"
},
"$:/core/ui/EditorToolbar/superscript": {
"title": "$:/core/ui/EditorToolbar/superscript",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/superscript",
"caption": "{{$:/language/Buttons/Superscript/Caption}}",
"description": "{{$:/language/Buttons/Superscript/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((superscript))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"^^\"\n\tsuffix=\"^^\"\n/>\n"
},
"$:/core/ui/EditorToolbar/transcludify": {
"title": "$:/core/ui/EditorToolbar/transcludify",
"caption": "{{$:/language/Buttons/Transcludify/Caption}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"description": "{{$:/language/Buttons/Transcludify/Hint}}",
"icon": "$:/core/images/transcludify",
"list-before": "$:/core/ui/EditorToolbar/mono-block",
"shortcuts": "((transcludify))",
"tags": "$:/tags/EditorToolbar",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"{{\"\n\tsuffix=\"}}\"\n/>\n"
},
"$:/core/ui/EditorToolbar/underline": {
"title": "$:/core/ui/EditorToolbar/underline",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/core/images/underline",
"caption": "{{$:/language/Buttons/Underline/Caption}}",
"description": "{{$:/language/Buttons/Underline/Hint}}",
"condition": "[<targetTiddler>!has[type]] [<targetTiddler>type[text/vnd.tiddlywiki]]",
"shortcuts": "((underline))",
"text": "<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"wrap-selection\"\n\tprefix=\"__\"\n\tsuffix=\"__\"\n/>\n"
},
"$:/core/Filters/AllTags": {
"title": "$:/core/Filters/AllTags",
"tags": "$:/tags/Filter",
"filter": "[tags[]!is[system]sort[title]]",
"description": "{{$:/language/Filters/AllTags}}",
"text": ""
},
"$:/core/Filters/AllTiddlers": {
"title": "$:/core/Filters/AllTiddlers",
"tags": "$:/tags/Filter",
"filter": "[!is[system]sort[title]]",
"description": "{{$:/language/Filters/AllTiddlers}}",
"text": ""
},
"$:/core/Filters/Drafts": {
"title": "$:/core/Filters/Drafts",
"tags": "$:/tags/Filter",
"filter": "[has[draft.of]sort[title]]",
"description": "{{$:/language/Filters/Drafts}}",
"text": ""
},
"$:/core/Filters/Missing": {
"title": "$:/core/Filters/Missing",
"tags": "$:/tags/Filter",
"filter": "[all[missing]sort[title]]",
"description": "{{$:/language/Filters/Missing}}",
"text": ""
},
"$:/core/Filters/Orphans": {
"title": "$:/core/Filters/Orphans",
"tags": "$:/tags/Filter",
"filter": "[all[orphans]sort[title]]",
"description": "{{$:/language/Filters/Orphans}}",
"text": ""
},
"$:/core/Filters/OverriddenShadowTiddlers": {
"title": "$:/core/Filters/OverriddenShadowTiddlers",
"tags": "$:/tags/Filter",
"filter": "[is[shadow]]",
"description": "{{$:/language/Filters/OverriddenShadowTiddlers}}",
"text": ""
},
"$:/core/Filters/RecentSystemTiddlers": {
"title": "$:/core/Filters/RecentSystemTiddlers",
"tags": "$:/tags/Filter",
"filter": "[has[modified]!sort[modified]limit[50]]",
"description": "{{$:/language/Filters/RecentSystemTiddlers}}",
"text": ""
},
"$:/core/Filters/RecentTiddlers": {
"title": "$:/core/Filters/RecentTiddlers",
"tags": "$:/tags/Filter",
"filter": "[!is[system]has[modified]!sort[modified]limit[50]]",
"description": "{{$:/language/Filters/RecentTiddlers}}",
"text": ""
},
"$:/core/Filters/SessionTiddlers": {
"title": "$:/core/Filters/SessionTiddlers",
"tags": "$:/tags/Filter",
"filter": "[haschanged[]]",
"description": "{{$:/language/Filters/SessionTiddlers}}",
"text": ""
},
"$:/core/Filters/ShadowTiddlers": {
"title": "$:/core/Filters/ShadowTiddlers",
"tags": "$:/tags/Filter",
"filter": "[all[shadows]sort[title]]",
"description": "{{$:/language/Filters/ShadowTiddlers}}",
"text": ""
},
"$:/core/Filters/StoryList": {
"title": "$:/core/Filters/StoryList",
"tags": "$:/tags/Filter",
"filter": "[list[$:/StoryList]] -$:/AdvancedSearch",
"description": "{{$:/language/Filters/StoryList}}",
"text": ""
},
"$:/core/Filters/SystemTags": {
"title": "$:/core/Filters/SystemTags",
"tags": "$:/tags/Filter",
"filter": "[all[shadows+tiddlers]tags[]is[system]sort[title]]",
"description": "{{$:/language/Filters/SystemTags}}",
"text": ""
},
"$:/core/Filters/SystemTiddlers": {
"title": "$:/core/Filters/SystemTiddlers",
"tags": "$:/tags/Filter",
"filter": "[is[system]sort[title]]",
"description": "{{$:/language/Filters/SystemTiddlers}}",
"text": ""
},
"$:/core/Filters/TypedTiddlers": {
"title": "$:/core/Filters/TypedTiddlers",
"tags": "$:/tags/Filter",
"filter": "[!is[system]has[type]each[type]sort[type]] -[type[text/vnd.tiddlywiki]]",
"description": "{{$:/language/Filters/TypedTiddlers}}",
"text": ""
},
"$:/core/ui/ImportListing": {
"title": "$:/core/ui/ImportListing",
"text": "\\define lingo-base() $:/language/Import/\n\n\\define messageField() message-$(payloadTiddler)$\n\n\\define payloadTitleFilter() [<currentTiddler>get<renameField>minlength[1]else<payloadTiddler>]\n\n\\define overWriteWarning()\n<$list filter=\"[<currentTiddler>!has<suppressedField>]\">\n<$text text={{{[subfilter<payloadTitleFilter>!is[tiddler]then[]] ~[<lingo-base>addsuffix[Listing/Rename/OverwriteWarning]get[text]]}}}/>\n</$list>\n\\end\n\n\\define selectionField() selection-$(payloadTiddler)$\n\n\\define renameField() rename-$(payloadTiddler)$\n\n\\define suppressedField() suppressed-$(payloadTiddler)$\n\n\\define newImportTitleTiddler() $:/temp/NewImportTitle-$(payloadTiddler)$\n\n\\define previewPopupState() $(currentTiddler)$!!popup-$(payloadTiddler)$\n\n\\define renameFieldState() $(currentTiddler)$!!state-rename-$(payloadTiddler)$\n\n\\define select-all-actions()\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" variable=\"payloadTiddler\">\n<$action-setfield $field={{{ [<payloadTiddler>addprefix[selection-]] }}} $value={{$:/state/import/select-all}}/>\n</$list>\n\\end\n\n<table class=\"tc-import-table\">\n<tbody>\n<tr>\n<th align=\"left\">\n<$checkbox tiddler=\"$:/state/import/select-all\" field=\"text\" checked=\"checked\" unchecked=\"unchecked\" default=\"checked\" actions=<<select-all-actions>>>\n<<lingo Listing/Select/Caption>>\n</$checkbox>\n</th>\n<th>\n<<lingo Listing/Title/Caption>>\n</th>\n<th>\n<<lingo Listing/Status/Caption>>\n</th>\n</tr>\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" variable=\"payloadTiddler\">\n<tr class={{{[<currentTiddler>has<suppressedField>then[tc-row-disabled]] ~[subfilter<payloadTitleFilter>is[tiddler]then[tc-row-warning]] }}}>\n<td>\n<$checkbox field=<<selectionField>> checked=\"checked\" unchecked=\"unchecked\" default=\"checked\" disabled={{{[<currentTiddler>has<suppressedField>then[yes]else[no]]}}}/>\n</td>\n<td>\n<$reveal type=\"nomatch\" state=<<renameFieldState>> text=\"yes\" tag=\"div\">\n<$reveal type=\"nomatch\" state=<<previewPopupState>> text=\"yes\" tag=\"div\" class=\"tc-flex\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown tc-flex-grow-1 tc-word-break\" set=<<previewPopupState>> setTo=\"yes\" disabled={{{[<currentTiddler>has<suppressedField>then[yes]else[no]]}}}>\n<span class=\"tc-small-gap-right\">{{$:/core/images/right-arrow}}</span><$text text={{{[subfilter<payloadTitleFilter>]}}}/>\n</$button>\n<$list filter=\"[<currentTiddler>!has<suppressedField>]\"><$button class=\"tc-btn-invisible\" set=<<renameFieldState>> setTo=\"yes\" tooltip={{{[<lingo-base>addsuffix[Listing/Rename/Tooltip]get[text]]}}}>{{$:/core/images/edit-button}}</$button></$list>\n</$reveal>\n<$reveal type=\"match\" state=<<previewPopupState>> text=\"yes\" tag=\"div\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<previewPopupState>> setTo=\"no\">\n<span class=\"tc-small-gap-right\">{{$:/core/images/down-arrow}}</span><$text text={{{[subfilter<payloadTitleFilter>]}}}/>\n</$button>\n</$reveal>\n</$reveal>\n<$reveal type=\"match\" state=<<renameFieldState>> text=\"yes\" tag=\"div\">\n<$text text={{{[<lingo-base>addsuffix[Listing/Rename/Prompt]get[text]]}}}/>\n</$reveal>\n</td>\n<td>\n<$view field=<<messageField>>/>\n<<overWriteWarning>>\n</td>\n</tr>\n<$reveal type=\"match\" state=<<renameFieldState>> text=\"yes\" tag=\"tr\">\n<td colspan=\"3\">\n<div class=\"tc-flex\">\n<$edit-text tiddler=<<newImportTitleTiddler>> default={{{[subfilter<payloadTitleFilter>]}}} tag=\"input\" class=\"tc-import-rename tc-flex-grow-1\"/><span class=\"tc-small-gap-left\"><$button class=\"tc-btn-invisible\" set=<<renameFieldState>> setTo=\"no\" tooltip={{{[<lingo-base>addsuffix[Listing/Rename/CancelRename]get[text]]}}}>{{$:/core/images/close-button}}<$action-deletetiddler $tiddler=<<newImportTitleTiddler>>/></$button><span class=\"tc-small-gap-right\"/></span><$button class=\"tc-btn-invisible\" set=<<renameFieldState>> setTo=\"no\" tooltip={{{[<lingo-base>addsuffix[Listing/Rename/ConfirmRename]get[text]]}}}>{{$:/core/images/done-button}}<$action-setfield $field=<<renameField>> $value={{{[<newImportTitleTiddler>get[text]minlength[1]else<payloadTiddler>]}}} /><$action-deletetiddler $tiddler=<<newImportTitleTiddler>>/></$button>\n</div>\n</td>\n</$reveal>\n<tr>\n<td colspan=\"3\">\n<$reveal type=\"match\" text=\"yes\" state=<<previewPopupState>> tag=\"div\">\n<$list filter=\"[{$:/state/importpreviewtype}has[text]]\" variable=\"listItem\" emptyMessage={{$:/core/ui/ImportPreviews/Text}}>\n<$transclude tiddler={{$:/state/importpreviewtype}}/>\n</$list>\n</$reveal>\n</td>\n</tr>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ImportPreviews/Diff": {
"title": "$:/core/ui/ImportPreviews/Diff",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/Diff}}",
"text": "<$macrocall $name=\"compareTiddlerText\" sourceTiddlerTitle=<<payloadTiddler>> destTiddlerTitle=<<currentTiddler>> destSubTiddlerTitle=<<payloadTiddler>>/>\n"
},
"$:/core/ui/ImportPreviews/DiffFields": {
"title": "$:/core/ui/ImportPreviews/DiffFields",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/DiffFields}}",
"text": "<$macrocall $name=\"compareTiddlers\" sourceTiddlerTitle=<<payloadTiddler>> destTiddlerTitle=<<currentTiddler>> destSubTiddlerTitle=<<payloadTiddler>> exclude=\"text\"/>\n"
},
"$:/core/ui/ImportPreviews/Fields": {
"title": "$:/core/ui/ImportPreviews/Fields",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/Fields}}",
"text": "<table class=\"tc-view-field-table\">\n<tbody>\n<$list filter=\"[<payloadTiddler>subtiddlerfields<currentTiddler>sort[]] -text\" variable=\"fieldName\">\n<tr class=\"tc-view-field\">\n<td class=\"tc-view-field-name\">\n<$text text=<<fieldName>>/>\n</td>\n<td class=\"tc-view-field-value\">\n<$view field=<<fieldName>> tiddler=<<currentTiddler>> subtiddler=<<payloadTiddler>>/>\n</td>\n</tr>\n</$list>\n</tbody>\n</table>\n"
},
"$:/core/ui/ImportPreviews/Text": {
"title": "$:/core/ui/ImportPreviews/Text",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/Text}}",
"text": "<$transclude tiddler=<<currentTiddler>> subtiddler=<<payloadTiddler>> mode=\"block\"/>\n"
},
"$:/core/ui/ImportPreviews/TextRaw": {
"title": "$:/core/ui/ImportPreviews/TextRaw",
"tags": "$:/tags/ImportPreview",
"caption": "{{$:/language/Import/Listing/Preview/TextRaw}}",
"text": "<pre><code><$view tiddler=<<currentTiddler>> subtiddler=<<payloadTiddler>> /></code></pre>"
},
"$:/core/ui/KeyboardShortcuts/advanced-search": {
"title": "$:/core/ui/KeyboardShortcuts/advanced-search",
"tags": "$:/tags/KeyboardShortcut",
"key": "((advanced-search))",
"text": "<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\">\n<$action-navigate $to=\"$:/AdvancedSearch\"/>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=\"\"\"[data-tiddler-title=\"$:/AdvancedSearch\"] .tc-search input\"\"\" preventScroll=\"true\"/>\n</$navigator>\n"
},
"$:/core/ui/KeyboardShortcuts/change-sidebar-layout": {
"title": "$:/core/ui/KeyboardShortcuts/change-sidebar-layout",
"tags": "$:/tags/KeyboardShortcut",
"key": "((change-sidebar-layout))",
"text": "<$list filter=\"[{$:/themes/tiddlywiki/vanilla/options/sidebarlayout}match[fixed-fluid]]\" \nemptyMessage=\"\"\"<$action-setfield $tiddler=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\" text=\"fixed-fluid\"/>\"\"\">\n<$action-setfield $tiddler=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\" text=\"fluid-fixed\"/>\n</$list>\n"
},
"$:/core/ui/KeyboardShortcuts/new-image": {
"title": "$:/core/ui/KeyboardShortcuts/new-image",
"tags": "$:/tags/KeyboardShortcut",
"key": "((new-image))",
"text": "<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\" openLinkFromInsideRiver={{$:/config/Navigation/openLinkFromInsideRiver}} openLinkFromOutsideRiver={{$:/config/Navigation/openLinkFromOutsideRiver}} relinkOnRename={{$:/config/RelinkOnRename}}>\n{{$:/core/ui/Actions/new-image}}\n</$navigator>\n"
},
"$:/core/ui/KeyboardShortcuts/new-journal": {
"title": "$:/core/ui/KeyboardShortcuts/new-journal",
"tags": "$:/tags/KeyboardShortcut",
"key": "((new-journal))",
"text": "<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\" openLinkFromInsideRiver={{$:/config/Navigation/openLinkFromInsideRiver}} openLinkFromOutsideRiver={{$:/config/Navigation/openLinkFromOutsideRiver}} relinkOnRename={{$:/config/RelinkOnRename}}>\n{{$:/core/ui/Actions/new-journal}}\n</$navigator>\n"
},
"$:/core/ui/KeyboardShortcuts/new-tiddler": {
"title": "$:/core/ui/KeyboardShortcuts/new-tiddler",
"tags": "$:/tags/KeyboardShortcut",
"key": "((new-tiddler))",
"text": "<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\" openLinkFromInsideRiver={{$:/config/Navigation/openLinkFromInsideRiver}} openLinkFromOutsideRiver={{$:/config/Navigation/openLinkFromOutsideRiver}} relinkOnRename={{$:/config/RelinkOnRename}}>\n{{$:/core/ui/Actions/new-tiddler}}\n</$navigator>\n"
},
"$:/core/ui/KeyboardShortcuts/save-wiki": {
"title": "$:/core/ui/KeyboardShortcuts/save-wiki",
"tags": "$:/tags/KeyboardShortcut",
"key": "((save-wiki))",
"text": "<$wikify name=\"site-title\" text={{$:/config/SaveWikiButton/Filename}}>\n<$action-sendmessage $message=\"tm-save-wiki\" $param={{$:/config/SaveWikiButton/Template}} filename=<<site-title>>/>\n</$wikify>\n"
},
"$:/core/ui/KeyboardShortcuts/sidebar-search": {
"title": "$:/core/ui/KeyboardShortcuts/sidebar-search",
"tags": "$:/tags/KeyboardShortcut",
"key": "((sidebar-search))",
"text": "<$action-sendmessage $message=\"tm-focus-selector\" $param=\".tc-search input\"/>\n"
},
"$:/core/ui/KeyboardShortcuts/switcher": {
"title": "$:/core/ui/KeyboardShortcuts/switcher",
"tags": "$:/tags/KeyboardShortcut",
"key": "((layout-switcher))",
"text": "<$action-sendmessage $message=\"tm-show-switcher\" switch=\"layout\"/>"
},
"$:/core/ui/KeyboardShortcuts/toggle-sidebar": {
"title": "$:/core/ui/KeyboardShortcuts/toggle-sidebar",
"tags": "$:/tags/KeyboardShortcut",
"key": "((toggle-sidebar))",
"text": "<$list filter=\"[[$:/state/sidebar]is[missing]] [{$:/state/sidebar}removeprefix[yes]]\" emptyMessage=\"\"\"\n<$action-setfield $tiddler=\"$:/state/sidebar\" text=\"yes\"/>\n\"\"\">\n<$action-setfield $tiddler=\"$:/state/sidebar\" text=\"no\"/>\n</$list>\n"
},
"$:/snippets/LayoutSwitcher": {
"title": "$:/snippets/LayoutSwitcher",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ControlPanel/LayoutSwitcher/Caption}}",
"text": "<$linkcatcher to=\"$:/layout\">\n<div class=\"tc-chooser\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/Layout]] [[$:/core/ui/PageTemplate]] +[!is[draft]sort[name]]\">\n<$list filter=\"[{$:/layout}!has[text]]\" variable=\"ignore\" emptyMessage=\"\"\"\n<$set name=\"cls\" filter=\"[all[current]field:title{$:/layout}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>><$link to={{!!title}}>''<$transclude field=\"name\"/>'' - <$transclude field=\"description\"/></$link></div>\n</$set>\n\"\"\">\n<$set name=\"cls\" filter=\"[all[current]field:title[$:/core/ui/PageTemplate]]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>><$link to={{!!title}}>''<$transclude field=\"name\"/>'' - <$transclude field=\"description\"/></$link></div>\n</$set>\n</$list>\n</$list>\n</div>\n</$linkcatcher>\n"
},
"$:/core/ui/ListItemTemplate": {
"title": "$:/core/ui/ListItemTemplate",
"text": "<div class=\"tc-menu-list-item\">\n<$link />\n</div>"
},
"$:/Manager/ItemMain/Fields": {
"title": "$:/Manager/ItemMain/Fields",
"tags": "$:/tags/Manager/ItemMain",
"caption": "{{$:/language/Manager/Item/Fields}}",
"text": "<table>\n<tbody>\n<$list filter=\"[all[current]fields[]sort[title]] -text\" template=\"$:/core/ui/TiddlerFieldTemplate\" variable=\"listItem\"/>\n</tbody>\n</table>\n"
},
"$:/Manager/ItemMain/RawText": {
"title": "$:/Manager/ItemMain/RawText",
"tags": "$:/tags/Manager/ItemMain",
"caption": "{{$:/language/Manager/Item/RawText}}",
"text": "<pre><code><$view/></code></pre>\n"
},
"$:/Manager/ItemMain/WikifiedText": {
"title": "$:/Manager/ItemMain/WikifiedText",
"tags": "$:/tags/Manager/ItemMain",
"caption": "{{$:/language/Manager/Item/WikifiedText}}",
"text": "<$transclude mode=\"block\"/>\n"
},
"$:/Manager/ItemSidebar/Colour": {
"title": "$:/Manager/ItemSidebar/Colour",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Colour}}",
"text": "\\define swatch-styles()\nheight: 1em;\nbackground-color: $(colour)$\n\\end\n\n<$vars colour={{!!color}}>\n<p style=<<swatch-styles>>/>\n</$vars>\n<p>\n<$edit-text field=\"color\" tag=\"input\" type=\"color\"/> / <$edit-text field=\"color\" tag=\"input\" type=\"text\" size=\"9\"/>\n</p>\n"
},
"$:/Manager/ItemSidebar/Icon": {
"title": "$:/Manager/ItemSidebar/Icon",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Icon}}",
"text": "<p>\n<div class=\"tc-manager-icon-editor\">\n<$button popup=<<qualify \"$:/state/popup/image-picker\">> class=\"tc-btn-invisible\">\n<$transclude tiddler={{!!icon}}>\n{{$:/language/Manager/Item/Icon/None}}\n</$transclude>\n</$button>\n<div class=\"tc-block-dropdown-wrapper\" style=\"position: static;\">\n<$reveal state=<<qualify \"$:/state/popup/image-picker\">> type=\"nomatch\" text=\"\" default=\"\" tag=\"div\" class=\"tc-popup\">\n<div class=\"tc-block-dropdown tc-popup-keep\" style=\"width: 80%; left: 10%; right: 10%; padding: 0.5em;\">\n<$macrocall $name=\"image-picker-include-tagged-images\" actions=\"\"\"\n<$action-setfield $field=\"icon\" $value=<<imageTitle>>/>\n<$action-deletetiddler $tiddler=<<qualify \"$:/state/popup/image-picker\">>/>\n\"\"\"/>\n</div>\n</$reveal>\n</div>\n</div>\n</p>\n"
},
"$:/Manager/ItemSidebar/Tags": {
"title": "$:/Manager/ItemSidebar/Tags",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Tags}}",
"text": "\\whitespace trim\n\\define tag-checkbox-actions()\n<$action-listops\n\t$tiddler=\"$:/config/Manager/RecentTags\"\n\t$subfilter=\"[<tag>] [list[$:/config/Manager/RecentTags]] +[limit[12]]\"\n/>\n\\end\n\n\\define tag-picker-actions()\n<<tag-checkbox-actions>>\n\\end\n\n<p>\n<$list filter=\"[all[current]tags[]] [list[$:/config/Manager/RecentTags]] +[sort[title]] \" variable=\"tag\" storyview=\"pop\">\n<div>\n<$checkbox tiddler=<<currentTiddler>> tag=<<tag>> actions=<<tag-checkbox-actions>>>\n<$macrocall $name=\"tag-pill\" tag=<<tag>>/>\n</$checkbox>\n</div>\n</$list>\n</p>\n<p>\n<$fieldmangler>\n<$macrocall $name=\"tag-picker\" actions=<<tag-picker-actions>>/>\n</$fieldmangler>\n</p>\n"
},
"$:/Manager/ItemSidebar/Tools": {
"title": "$:/Manager/ItemSidebar/Tools",
"tags": "$:/tags/Manager/ItemSidebar",
"caption": "{{$:/language/Manager/Item/Tools}}",
"text": "<p>\n<$button to=<<currentTiddler>>>{{$:/core/images/link}} open</$button>\n</p>\n<p>\n<$button message=\"tm-edit-tiddler\" param=<<currentTiddler>>>{{$:/core/images/edit-button}} edit</$button>\n</p>\n"
},
"$:/Manager": {
"title": "$:/Manager",
"icon": "$:/core/images/list",
"color": "#bbb",
"text": "\\define lingo-base() $:/language/Manager/\n\n\\define list-item-content-item()\n<div class=\"tc-manager-list-item-content-item\">\n\t<$vars state-title=\"\"\"$:/state/popup/manager/item/$(listItem)$\"\"\">\n\t\t<$reveal state=<<state-title>> type=\"match\" text=\"show\" default=\"show\" tag=\"div\">\n\t\t\t<$button set=<<state-title>> setTo=\"hide\" class=\"tc-btn-invisible tc-manager-list-item-content-item-heading\">\n\t\t\t\t{{$:/core/images/down-arrow}} <$transclude tiddler=<<listItem>> field=\"caption\"/>\n\t\t\t</$button>\n\t\t</$reveal>\n\t\t<$reveal state=<<state-title>> type=\"nomatch\" text=\"show\" default=\"show\" tag=\"div\">\n\t\t\t<$button set=<<state-title>> setTo=\"show\" class=\"tc-btn-invisible tc-manager-list-item-content-item-heading\">\n\t\t\t\t{{$:/core/images/right-arrow}} <$transclude tiddler=<<listItem>> field=\"caption\"/>\n\t\t\t</$button>\n\t\t</$reveal>\n\t\t<$reveal state=<<state-title>> type=\"match\" text=\"show\" default=\"show\" tag=\"div\" class=\"tc-manager-list-item-content-item-body\">\n\t\t\t<$transclude tiddler=<<listItem>>/>\n\t\t</$reveal>\n\t</$vars>\n</div>\n\\end\n\n<div class=\"tc-manager-wrapper\">\n\t<div class=\"tc-manager-controls\">\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/Show/Prompt>> <$select tiddler=\"$:/config/Manager/Show\" default=\"tiddlers\">\n\t\t\t\t<option value=\"tiddlers\"><<lingo Controls/Show/Option/Tiddlers>></option>\n\t\t\t\t<option value=\"tags\"><<lingo Controls/Show/Option/Tags>></option>\n\t\t\t</$select>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/Search/Prompt>> <$edit-text tiddler=\"$:/config/Manager/Filter\" tag=\"input\" default=\"\" placeholder={{$:/language/Manager/Controls/Search/Placeholder}}/>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/FilterByTag/Prompt>> <$select tiddler=\"$:/config/Manager/Tag\" default=\"\">\n\t\t\t\t<option value=\"\"><<lingo Controls/FilterByTag/None>></option>\n\t\t\t\t<$list filter=\"[!is{$:/config/Manager/System}tags[]!is[system]sort[title]]\" variable=\"tag\">\n\t\t\t\t\t<option value=<<tag>>><$text text=<<tag>>/></option>\n\t\t\t\t</$list>\n\t\t\t</$select>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<<lingo Controls/Sort/Prompt>> <$select tiddler=\"$:/config/Manager/Sort\" default=\"title\">\n\t\t\t\t<optgroup label=\"Common\">\n\t\t\t\t\t<$list filter=\"title modified modifier created creator created\" variable=\"field\">\n\t\t\t\t\t\t<option value=<<field>>><$text text=<<field>>/></option>\n\t\t\t\t\t</$list>\n\t\t\t\t</optgroup>\n\t\t\t\t<optgroup label=\"All\">\n\t\t\t\t\t<$list filter=\"[all{$:/config/Manager/Show}!is{$:/config/Manager/System}fields[]sort[title]] -title -modified -modifier -created -creator -created\" variable=\"field\">\n\t\t\t\t\t\t<option value=<<field>>><$text text=<<field>>/></option>\n\t\t\t\t\t</$list>\n\t\t\t\t</optgroup>\n\t\t\t</$select>\n\t\t\t<$checkbox tiddler=\"$:/config/Manager/Order\" field=\"text\" checked=\"reverse\" unchecked=\"forward\" default=\"forward\">\n\t\t\t\t<<lingo Controls/Order/Prompt>>\n\t\t\t</$checkbox>\n\t\t</div>\n\t\t<div class=\"tc-manager-control\">\n\t\t\t<$checkbox tiddler=\"$:/config/Manager/System\" field=\"text\" checked=\"\" unchecked=\"system\" default=\"system\">\n\t\t\t\t{{$:/language/SystemTiddlers/Include/Prompt}}\n\t\t\t</$checkbox>\n\t\t</div>\n\t</div>\n\t<div class=\"tc-manager-list\">\n\t\t<$list filter=\"[all{$:/config/Manager/Show}!is{$:/config/Manager/System}search{$:/config/Manager/Filter}tag:strict{$:/config/Manager/Tag}sort{$:/config/Manager/Sort}order{$:/config/Manager/Order}]\">\n\t\t\t<$vars transclusion=<<currentTiddler>>>\n\t\t\t\t<div style=\"tc-manager-list-item\">\n\t\t\t\t\t<$button popup=<<qualify \"$:/state/manager/popup\">> class=\"tc-btn-invisible tc-manager-list-item-heading\" selectedClass=\"tc-manager-list-item-heading-selected\">\n\t\t\t\t\t\t<$text text=<<currentTiddler>>/>\n\t\t\t\t\t</$button>\n\t\t\t\t\t<$reveal state=<<qualify \"$:/state/manager/popup\">> type=\"nomatch\" text=\"\" default=\"\" tag=\"div\" class=\"tc-manager-list-item-content tc-popup-handle\">\n\t\t\t\t\t\t<div class=\"tc-manager-list-item-content-tiddler\">\n\t\t\t\t\t\t\t<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Manager/ItemMain]!has[draft.of]]\" variable=\"listItem\">\n\t\t\t\t\t\t\t\t<<list-item-content-item>>\n\t\t\t\t\t\t\t</$list>\n\t\t\t\t\t\t</div>\n\t\t\t\t\t\t<div class=\"tc-manager-list-item-content-sidebar\">\n\t\t\t\t\t\t\t<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Manager/ItemSidebar]!has[draft.of]]\" variable=\"listItem\">\n\t\t\t\t\t\t\t\t<<list-item-content-item>>\n\t\t\t\t\t\t\t</$list>\n\t\t\t\t\t\t</div>\n\t\t\t\t\t</$reveal>\n\t\t\t\t</div>\n\t\t\t</$vars>\n\t\t</$list>\n\t</div>\n</div>\n"
},
"$:/core/ui/MissingTemplate": {
"title": "$:/core/ui/MissingTemplate",
"text": "<div class=\"tc-tiddler-missing\">\n<$button popup=<<qualify \"$:/state/popup/missing\">> class=\"tc-btn-invisible tc-missing-tiddler-label\">\n<$view field=\"title\" format=\"text\" />\n</$button>\n<$reveal state=<<qualify \"$:/state/popup/missing\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n<hr>\n<$list filter=\"[all[current]backlinks[]sort[title]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n</div>\n</$reveal>\n</div>\n"
},
"$:/core/ui/MoreSideBar/All": {
"title": "$:/core/ui/MoreSideBar/All",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/All/Caption}}",
"text": "<$list filter={{$:/core/Filters/AllTiddlers!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Drafts": {
"title": "$:/core/ui/MoreSideBar/Drafts",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Drafts/Caption}}",
"text": "<$list filter={{$:/core/Filters/Drafts!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Explorer": {
"title": "$:/core/ui/MoreSideBar/Explorer",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Explorer/Caption}}",
"text": "<<tree \"$:/\">>\n"
},
"$:/core/ui/MoreSideBar/Missing": {
"title": "$:/core/ui/MoreSideBar/Missing",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Missing/Caption}}",
"text": "<$list filter={{$:/core/Filters/Missing!!filter}} template=\"$:/core/ui/MissingTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Orphans": {
"title": "$:/core/ui/MoreSideBar/Orphans",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Orphans/Caption}}",
"text": "<$list filter={{$:/core/Filters/Orphans!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Plugins": {
"title": "$:/core/ui/MoreSideBar/Plugins",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/ControlPanel/Plugins/Caption}}",
"text": "\n{{$:/language/ControlPanel/Plugins/Installed/Hint}}\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/MoreSideBar/Plugins]!has[draft.of]]\" default=\"$:/core/ui/MoreSideBar/Plugins/Plugins\" explicitState=\"$:/state/tab-1163638994\"/>\n"
},
"$:/core/ui/MoreSideBar/Recent": {
"title": "$:/core/ui/MoreSideBar/Recent",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Recent/Caption}}",
"text": "<$macrocall $name=\"timeline\" format={{$:/language/RecentChanges/DateFormat}}/>\n"
},
"$:/core/ui/MoreSideBar/Shadows": {
"title": "$:/core/ui/MoreSideBar/Shadows",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Shadows/Caption}}",
"text": "<$list filter={{$:/core/Filters/ShadowTiddlers!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/System": {
"title": "$:/core/ui/MoreSideBar/System",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/System/Caption}}",
"text": "<$list filter={{$:/core/Filters/SystemTiddlers!!filter}} template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/MoreSideBar/Tags": {
"title": "$:/core/ui/MoreSideBar/Tags",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Tags/Caption}}",
"text": "<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n{{$:/core/ui/Buttons/tag-manager}}\n\n</$set>\n\n</$set>\n\n</$set>\n\n<$list filter={{$:/core/Filters/AllTags!!filter}}>\n\n<$transclude tiddler=\"$:/core/ui/TagTemplate\"/>\n\n</$list>\n\n<hr class=\"tc-untagged-separator\">\n\n{{$:/core/ui/UntaggedTemplate}}\n"
},
"$:/core/ui/MoreSideBar/Types": {
"title": "$:/core/ui/MoreSideBar/Types",
"tags": "$:/tags/MoreSideBar",
"caption": "{{$:/language/SideBar/Types/Caption}}",
"text": "<$list filter={{$:/core/Filters/TypedTiddlers!!filter}}>\n<div class=\"tc-menu-list-item\">\n<$view field=\"type\"/>\n<$list filter=\"[type{!!type}!is[system]sort[title]]\">\n<div class=\"tc-menu-list-subitem\">\n<$link to={{!!title}}><$view field=\"title\"/></$link>\n</div>\n</$list>\n</div>\n</$list>\n"
},
"$:/core/ui/MoreSideBar/Plugins/Languages": {
"title": "$:/core/ui/MoreSideBar/Plugins/Languages",
"tags": "$:/tags/MoreSideBar/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Languages/Caption}}",
"text": "<$list filter=\"[!has[draft.of]plugin-type[language]sort[description]]\" template=\"$:/core/ui/PluginListItemTemplate\" emptyMessage={{$:/language/ControlPanel/Plugins/Empty/Hint}}/>\n"
},
"$:/core/ui/MoreSideBar/Plugins/Plugins": {
"title": "$:/core/ui/MoreSideBar/Plugins/Plugins",
"tags": "$:/tags/MoreSideBar/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Plugins/Caption}}",
"text": "<$list filter=\"[!has[draft.of]plugin-type[plugin]sort[description]]\" template=\"$:/core/ui/PluginListItemTemplate\" emptyMessage={{$:/language/ControlPanel/Plugins/Empty/Hint}}>>/>\n"
},
"$:/core/ui/MoreSideBar/Plugins/Theme": {
"title": "$:/core/ui/MoreSideBar/Plugins/Theme",
"tags": "$:/tags/MoreSideBar/Plugins",
"caption": "{{$:/language/ControlPanel/Plugins/Themes/Caption}}",
"text": "<$list filter=\"[!has[draft.of]plugin-type[theme]sort[description]]\" template=\"$:/core/ui/PluginListItemTemplate\" emptyMessage={{$:/language/ControlPanel/Plugins/Empty/Hint}}/>\n"
},
"$:/core/ui/Buttons/advanced-search": {
"title": "$:/core/ui/Buttons/advanced-search",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/advanced-search-button}} {{$:/language/Buttons/AdvancedSearch/Caption}}",
"description": "{{$:/language/Buttons/AdvancedSearch/Hint}}",
"text": "\\whitespace trim\n\\define advanced-search-button(class)\n<$button to=\"$:/AdvancedSearch\" tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/advanced-search-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/AdvancedSearch/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/AdvancedSearch]]\" emptyMessage=<<advanced-search-button>>>\n<<advanced-search-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/close-all": {
"title": "$:/core/ui/Buttons/close-all",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/close-all-button}} {{$:/language/Buttons/CloseAll/Caption}}",
"description": "{{$:/language/Buttons/CloseAll/Hint}}",
"text": "<$button message=\"tm-close-all-tiddlers\" tooltip={{$:/language/Buttons/CloseAll/Hint}} aria-label={{$:/language/Buttons/CloseAll/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/close-all-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/CloseAll/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/control-panel": {
"title": "$:/core/ui/Buttons/control-panel",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/options-button}} {{$:/language/Buttons/ControlPanel/Caption}}",
"description": "{{$:/language/Buttons/ControlPanel/Hint}}",
"text": "\\whitespace trim\n\\define control-panel-button(class)\n<$button to=\"$:/ControlPanel\" tooltip={{$:/language/Buttons/ControlPanel/Hint}} aria-label={{$:/language/Buttons/ControlPanel/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/options-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/ControlPanel/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/ControlPanel]]\" emptyMessage=<<control-panel-button>>>\n<<control-panel-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/encryption": {
"title": "$:/core/ui/Buttons/encryption",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/locked-padlock}} {{$:/language/Buttons/Encryption/Caption}}",
"description": "{{$:/language/Buttons/Encryption/Hint}}",
"text": "\\whitespace trim\n<$reveal type=\"match\" state=\"$:/isEncrypted\" text=\"yes\">\n<$button message=\"tm-clear-password\" tooltip={{$:/language/Buttons/Encryption/ClearPassword/Hint}} aria-label={{$:/language/Buttons/Encryption/ClearPassword/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/locked-padlock}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Encryption/ClearPassword/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>\n<$reveal type=\"nomatch\" state=\"$:/isEncrypted\" text=\"yes\">\n<$button message=\"tm-set-password\" tooltip={{$:/language/Buttons/Encryption/SetPassword/Hint}} aria-label={{$:/language/Buttons/Encryption/SetPassword/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/unlocked-padlock}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Encryption/SetPassword/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>\n"
},
"$:/core/ui/Buttons/export-page": {
"title": "$:/core/ui/Buttons/export-page",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/export-button}} {{$:/language/Buttons/ExportPage/Caption}}",
"description": "{{$:/language/Buttons/ExportPage/Hint}}",
"text": "<$macrocall $name=\"exportButton\" exportFilter=\"[!is[system]sort[title]]\" lingoBase=\"$:/language/Buttons/ExportPage/\"/>"
},
"$:/core/ui/Buttons/fold-all": {
"title": "$:/core/ui/Buttons/fold-all",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/fold-all-button}} {{$:/language/Buttons/FoldAll/Caption}}",
"description": "{{$:/language/Buttons/FoldAll/Hint}}",
"text": "<$button tooltip={{$:/language/Buttons/FoldAll/Hint}} aria-label={{$:/language/Buttons/FoldAll/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-all-tiddlers\" $param=<<currentTiddler>> foldedStatePrefix=\"$:/state/folded/\"/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\" variable=\"listItem\">\n{{$:/core/images/fold-all-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/FoldAll/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/full-screen": {
"title": "$:/core/ui/Buttons/full-screen",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/full-screen-button}} {{$:/language/Buttons/FullScreen/Caption}}",
"description": "{{$:/language/Buttons/FullScreen/Hint}}",
"text": "<$button message=\"tm-full-screen\" tooltip={{$:/language/Buttons/FullScreen/Hint}} aria-label={{$:/language/Buttons/FullScreen/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/full-screen-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/FullScreen/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/home": {
"title": "$:/core/ui/Buttons/home",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/home-button}} {{$:/language/Buttons/Home/Caption}}",
"description": "{{$:/language/Buttons/Home/Hint}}",
"text": "<$button message=\"tm-home\" tooltip={{$:/language/Buttons/Home/Hint}} aria-label={{$:/language/Buttons/Home/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/home-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Home/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/import": {
"title": "$:/core/ui/Buttons/import",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/import-button}} {{$:/language/Buttons/Import/Caption}}",
"description": "{{$:/language/Buttons/Import/Hint}}",
"text": "<div class=\"tc-file-input-wrapper\">\n<$button tooltip={{$:/language/Buttons/Import/Hint}} aria-label={{$:/language/Buttons/Import/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/import-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Import/Caption}}/></span>\n</$list>\n</$button>\n<$browse tooltip={{$:/language/Buttons/Import/Hint}}/>\n</div>"
},
"$:/core/ui/Buttons/language": {
"title": "$:/core/ui/Buttons/language",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/globe}} {{$:/language/Buttons/Language/Caption}}",
"description": "{{$:/language/Buttons/Language/Hint}}",
"text": "\\whitespace trim\n\\define flag-title()\n$(languagePluginTitle)$/icon\n\\end\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/language\">> tooltip={{$:/language/Buttons/Language/Hint}} aria-label={{$:/language/Buttons/Language/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n<span class=\"tc-image-button\">\n<$set name=\"languagePluginTitle\" value={{$:/language}}>\n<$image source=<<flag-title>>/>\n</$set>\n</span>\n</$list>\n<$text text=\" \"/>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Language/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/language\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n{{$:/snippets/languageswitcher}}\n</div>\n</$reveal>\n"
},
"$:/core/ui/Buttons/manager": {
"title": "$:/core/ui/Buttons/manager",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/list}} {{$:/language/Buttons/Manager/Caption}}",
"description": "{{$:/language/Buttons/Manager/Hint}}",
"text": "\\whitespace trim\n\\define manager-button(class)\n<$button to=\"$:/Manager\" tooltip={{$:/language/Buttons/Manager/Hint}} aria-label={{$:/language/Buttons/Manager/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/list}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Manager/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/Manager]]\" emptyMessage=<<manager-button>>>\n<<manager-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/more-page-actions": {
"title": "$:/core/ui/Buttons/more-page-actions",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/down-arrow}} {{$:/language/Buttons/More/Caption}}",
"description": "{{$:/language/Buttons/More/Hint}}",
"text": "\\define config-title()\n$:/config/PageControlButtons/Visibility/$(listItem)$\n\\end\n<$button popup=<<qualify \"$:/state/popup/more\">> tooltip={{$:/language/Buttons/More/Hint}} aria-label={{$:/language/Buttons/More/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/down-arrow}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/More/Caption}}/></span>\n</$list>\n</$button><$reveal state=<<qualify \"$:/state/popup/more\">> type=\"popup\" position=\"below\" animate=\"yes\">\n\n<div class=\"tc-drop-down\">\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"tc-btn-invisible\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageControls]!has[draft.of]] -[[$:/core/ui/Buttons/more-page-actions]]\" variable=\"listItem\">\n\n<$reveal type=\"match\" state=<<config-title>> text=\"hide\">\n\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$set>\n\n</$reveal>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</div>\n\n</$reveal>"
},
"$:/core/ui/Buttons/new-image": {
"title": "$:/core/ui/Buttons/new-image",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/new-image-button}} {{$:/language/Buttons/NewImage/Caption}}",
"description": "{{$:/language/Buttons/NewImage/Hint}}",
"text": "\\whitespace trim\n<$button tooltip={{$:/language/Buttons/NewImage/Hint}} aria-label={{$:/language/Buttons/NewImage/Caption}} class=<<tv-config-toolbar-class>> actions={{$:/core/ui/Actions/new-image}}>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/new-image-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/NewImage/Caption}}/></span>\n</$list>\n</$button>\n"
},
"$:/core/ui/Buttons/new-journal": {
"title": "$:/core/ui/Buttons/new-journal",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/new-journal-button}} {{$:/language/Buttons/NewJournal/Caption}}",
"description": "{{$:/language/Buttons/NewJournal/Hint}}",
"text": "\\whitespace trim\n\\define journalButton()\n<$button tooltip={{$:/language/Buttons/NewJournal/Hint}} aria-label={{$:/language/Buttons/NewJournal/Caption}} class=<<tv-config-toolbar-class>> actions={{$:/core/ui/Actions/new-journal}}>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/new-journal-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/NewJournal/Caption}}/></span>\n</$list>\n</$button>\n\\end\n<<journalButton>>\n"
},
"$:/core/ui/Buttons/new-tiddler": {
"title": "$:/core/ui/Buttons/new-tiddler",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/new-button}} {{$:/language/Buttons/NewTiddler/Caption}}",
"description": "{{$:/language/Buttons/NewTiddler/Hint}}",
"text": "\\whitespace trim\n<$button actions={{$:/core/ui/Actions/new-tiddler}} tooltip={{$:/language/Buttons/NewTiddler/Hint}} aria-label={{$:/language/Buttons/NewTiddler/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/new-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/NewTiddler/Caption}}/></span>\n</$list>\n</$button>\n"
},
"$:/core/ui/Buttons/palette": {
"title": "$:/core/ui/Buttons/palette",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/palette}} {{$:/language/Buttons/Palette/Caption}}",
"description": "{{$:/language/Buttons/Palette/Hint}}",
"text": "\\whitespace trim\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/palette\">> tooltip={{$:/language/Buttons/Palette/Hint}} aria-label={{$:/language/Buttons/Palette/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/palette}}\n</$list>\n<$text text=\" \"/>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Palette/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/palette\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\" style=\"font-size:0.7em;\">\n{{$:/snippets/paletteswitcher}}\n</div>\n</$reveal>\n"
},
"$:/core/ui/Buttons/print": {
"title": "$:/core/ui/Buttons/print",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/print-button}} {{$:/language/Buttons/Print/Caption}}",
"description": "{{$:/language/Buttons/Print/Hint}}",
"text": "<$button message=\"tm-print\" tooltip={{$:/language/Buttons/Print/Hint}} aria-label={{$:/language/Buttons/Print/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/print-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Print/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/refresh": {
"title": "$:/core/ui/Buttons/refresh",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/refresh-button}} {{$:/language/Buttons/Refresh/Caption}}",
"description": "{{$:/language/Buttons/Refresh/Hint}}",
"text": "<$button message=\"tm-browser-refresh\" tooltip={{$:/language/Buttons/Refresh/Hint}} aria-label={{$:/language/Buttons/Refresh/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/refresh-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Refresh/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/save-wiki": {
"title": "$:/core/ui/Buttons/save-wiki",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/save-button}} {{$:/language/Buttons/SaveWiki/Caption}}",
"description": "{{$:/language/Buttons/SaveWiki/Hint}}",
"text": "<$button tooltip={{$:/language/Buttons/SaveWiki/Hint}} aria-label={{$:/language/Buttons/SaveWiki/Caption}} class=<<tv-config-toolbar-class>>>\n<$wikify name=\"site-title\" text={{$:/config/SaveWikiButton/Filename}}>\n<$action-sendmessage $message=\"tm-save-wiki\" $param={{$:/config/SaveWikiButton/Template}} filename=<<site-title>>/>\n</$wikify>\n<span class=\"tc-dirty-indicator\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/save-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/SaveWiki/Caption}}/></span>\n</$list>\n</span>\n</$button>"
},
"$:/core/ui/Buttons/storyview": {
"title": "$:/core/ui/Buttons/storyview",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/storyview-classic}} {{$:/language/Buttons/StoryView/Caption}}",
"description": "{{$:/language/Buttons/StoryView/Hint}}",
"text": "\\whitespace trim\n\\define icon()\n$:/core/images/storyview-$(storyview)$\n\\end\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/storyview\">> tooltip={{$:/language/Buttons/StoryView/Hint}} aria-label={{$:/language/Buttons/StoryView/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n<$set name=\"storyview\" value={{$:/view}}>\n<$transclude tiddler=<<icon>>/>\n</$set>\n</$list>\n<$text text=\" \"/>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/StoryView/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/storyview\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n{{$:/snippets/viewswitcher}}\n</div>\n</$reveal>\n"
},
"$:/core/ui/Buttons/tag-manager": {
"title": "$:/core/ui/Buttons/tag-manager",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/tag-button}} {{$:/language/Buttons/TagManager/Caption}}",
"description": "{{$:/language/Buttons/TagManager/Hint}}",
"text": "\\whitespace trim\n\\define control-panel-button(class)\n<$button to=\"$:/TagManager\" tooltip={{$:/language/Buttons/TagManager/Hint}} aria-label={{$:/language/Buttons/TagManager/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/tag-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/TagManager/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/TagManager]]\" emptyMessage=<<control-panel-button>>>\n<<control-panel-button \"tc-selected\">>\n</$list>\n"
},
"$:/core/ui/Buttons/theme": {
"title": "$:/core/ui/Buttons/theme",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/theme-button}} {{$:/language/Buttons/Theme/Caption}}",
"description": "{{$:/language/Buttons/Theme/Hint}}",
"text": "\\whitespace trim\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/popup/theme\">> tooltip={{$:/language/Buttons/Theme/Hint}} aria-label={{$:/language/Buttons/Theme/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/theme-button}}\n</$list>\n<$text text=\" \"/>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Theme/Caption}}/></span>\n</$list>\n</$button>\n</span>\n<$reveal state=<<qualify \"$:/state/popup/theme\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$linkcatcher to=\"$:/theme\">\n{{$:/snippets/themeswitcher}}\n</$linkcatcher>\n</div>\n</$reveal>\n"
},
"$:/core/ui/Buttons/timestamp": {
"title": "$:/core/ui/Buttons/timestamp",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/timestamp-on}} {{$:/language/Buttons/Timestamp/Caption}}",
"description": "{{$:/language/Buttons/Timestamp/Hint}}",
"text": "\\whitespace trim\n<$reveal type=\"nomatch\" state=\"$:/config/TimestampDisable\" text=\"yes\">\n<$button tooltip={{$:/language/Buttons/Timestamp/On/Hint}} aria-label={{$:/language/Buttons/Timestamp/On/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-setfield $tiddler=\"$:/config/TimestampDisable\" $value=\"yes\"/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/timestamp-on}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Timestamp/On/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=\"$:/config/TimestampDisable\" text=\"yes\">\n<$button tooltip={{$:/language/Buttons/Timestamp/Off/Hint}} aria-label={{$:/language/Buttons/Timestamp/Off/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-setfield $tiddler=\"$:/config/TimestampDisable\" $value=\"no\"/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/timestamp-off}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Timestamp/Off/Caption}}/></span>\n</$list>\n</$button>\n</$reveal>\n"
},
"$:/core/ui/Buttons/unfold-all": {
"title": "$:/core/ui/Buttons/unfold-all",
"tags": "$:/tags/PageControls",
"caption": "{{$:/core/images/unfold-all-button}} {{$:/language/Buttons/UnfoldAll/Caption}}",
"description": "{{$:/language/Buttons/UnfoldAll/Hint}}",
"text": "<$button tooltip={{$:/language/Buttons/UnfoldAll/Hint}} aria-label={{$:/language/Buttons/UnfoldAll/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-unfold-all-tiddlers\" $param=<<currentTiddler>> foldedStatePrefix=\"$:/state/folded/\"/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\" variable=\"listItem\">\n{{$:/core/images/unfold-all-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/UnfoldAll/Caption}}/></span>\n</$list>\n</$button>"
},
"$:/core/ui/PageTemplate/pagecontrols": {
"title": "$:/core/ui/PageTemplate/pagecontrols",
"text": "\\whitespace trim\n\\define config-title()\n$:/config/PageControlButtons/Visibility/$(listItem)$\n\\end\n<div class=\"tc-page-controls\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageControls]!has[draft.of]]\" variable=\"listItem\">\n<$set name=\"hidden\" value=<<config-title>>>\n<$list filter=\"[<hidden>!text[hide]]\" storyview=\"pop\" variable=\"ignore\">\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n</$set>\n</$list>\n</$set>\n</$list>\n</div>\n"
},
"$:/core/ui/PageStylesheet": {
"title": "$:/core/ui/PageStylesheet",
"text": "\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n\n<$set name=\"currentTiddler\" value={{$:/language}}>\n\n<$set name=\"languageTitle\" value={{!!name}}>\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Stylesheet]!has[draft.of]]\">\n<$transclude mode=\"block\"/>\n</$list>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/PageTemplate/alerts": {
"title": "$:/core/ui/PageTemplate/alerts",
"tags": "$:/tags/PageTemplate",
"text": "<div class=\"tc-alerts\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Alert]!has[draft.of]]\" template=\"$:/core/ui/AlertTemplate\" storyview=\"pop\"/>\n\n</div>\n"
},
"$:/core/ui/PageTemplate/drafts": {
"title": "$:/core/ui/PageTemplate/drafts",
"tags": "$:/tags/PageTemplate",
"text": "\\whitespace trim\n<$reveal state=\"$:/status/IsReadOnly\" type=\"nomatch\" text=\"yes\" tag=\"div\" class=\"tc-drafts-list\">\n<$list filter=\"[has[draft.of]!sort[modified]] -[list[$:/StoryList]]\">\n<$link>\n{{$:/core/images/edit-button}} <$text text=<<currentTiddler>>/>\n</$link>\n</$list>\n</$reveal>\n"
},
"$:/core/ui/PageTemplate/pluginreloadwarning": {
"title": "$:/core/ui/PageTemplate/pluginreloadwarning",
"tags": "$:/tags/PageTemplate",
"text": "\\define lingo-base() $:/language/\n\n<$list filter=\"[{$:/status/RequireReloadDueToPluginChange}match[yes]]\">\n\n<$reveal type=\"nomatch\" state=\"$:/temp/HidePluginWarning\" text=\"yes\">\n\n<div class=\"tc-plugin-reload-warning\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n<<lingo PluginReloadWarning>> <$button set=\"$:/temp/HidePluginWarning\" setTo=\"yes\" class=\"tc-btn-invisible\">{{$:/core/images/close-button}}</$button>\n\n</$set>\n\n</div>\n\n</$reveal>\n\n</$list>\n"
},
"$:/core/ui/PageTemplate/sidebar": {
"title": "$:/core/ui/PageTemplate/sidebar",
"tags": "$:/tags/PageTemplate",
"text": "\\whitespace trim\n\\define config-title()\n$:/config/SideBarSegments/Visibility/$(listItem)$\n\\end\n\n<$scrollable fallthrough=\"no\" class=\"tc-sidebar-scrollable\">\n\n<div class=\"tc-sidebar-header\">\n\n<$reveal state=\"$:/state/sidebar\" type=\"match\" text=\"yes\" default=\"yes\" retain=\"yes\" animate=\"yes\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SideBarSegment]!has[draft.of]]\" variable=\"listItem\">\n\n<$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\" tag=\"div\">\n\n<$transclude tiddler=<<listItem>> mode=\"block\"/>\n\n</$reveal>\n\n</$list>\n\n</$reveal>\n\n</div>\n\n</$scrollable>\n"
},
"$:/core/ui/PageTemplate/story": {
"title": "$:/core/ui/PageTemplate/story",
"tags": "$:/tags/PageTemplate",
"text": "\\whitespace trim\n<section class=\"tc-story-river\">\n\n<section class=\"story-backdrop\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/AboveStory]!has[draft.of]]\">\n\n<$transclude/>\n\n</$list>\n\n</section>\n\n<$list filter=\"[list[$:/StoryList]]\" history=\"$:/HistoryList\" template={{$:/config/ui/ViewTemplate}} editTemplate={{$:/config/ui/EditTemplate}} storyview={{$:/view}} emptyMessage={{$:/config/EmptyStoryMessage}}/>\n\n<section class=\"story-frontdrop\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/BelowStory]!has[draft.of]]\">\n\n<$transclude/>\n\n</$list>\n\n</section>\n\n</section>\n"
},
"$:/core/ui/PageTemplate/topleftbar": {
"title": "$:/core/ui/PageTemplate/topleftbar",
"tags": "$:/tags/PageTemplate",
"text": "<span class=\"tc-topbar tc-topbar-left\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TopLeftBar]!has[draft.of]]\" variable=\"listItem\" storyview=\"pop\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$list>\n\n</span>\n"
},
"$:/core/ui/PageTemplate/toprightbar": {
"title": "$:/core/ui/PageTemplate/toprightbar",
"tags": "$:/tags/PageTemplate",
"text": "<span class=\"tc-topbar tc-topbar-right\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TopRightBar]!has[draft.of]]\" variable=\"listItem\" storyview=\"pop\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$list>\n\n</span>\n"
},
"$:/core/ui/PageTemplate": {
"title": "$:/core/ui/PageTemplate",
"name": "{{$:/language/PageTemplate/Name}}",
"description": "{{$:/language/PageTemplate/Description}}",
"text": "\\whitespace trim\n\\define containerClasses()\ntc-page-container tc-page-view-$(storyviewTitle)$ tc-language-$(languageTitle)$\n\\end\n\\import [[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\n\n<$vars\n\ttv-config-toolbar-icons={{$:/config/Toolbar/Icons}}\n\ttv-config-toolbar-text={{$:/config/Toolbar/Text}}\n\ttv-config-toolbar-class={{$:/config/Toolbar/ButtonClass}}\n\ttv-enable-drag-and-drop={{$:/config/DragAndDrop/Enable}}\n\ttv-show-missing-links={{$:/config/MissingLinks}}\n\tstoryviewTitle={{$:/view}}\n\tlanguageTitle={{{ [{$:/language}get[name]] }}}>\n\n<div class=<<containerClasses>>>\n\n<$navigator story=\"$:/StoryList\" history=\"$:/HistoryList\" openLinkFromInsideRiver={{$:/config/Navigation/openLinkFromInsideRiver}} openLinkFromOutsideRiver={{$:/config/Navigation/openLinkFromOutsideRiver}} relinkOnRename={{$:/config/RelinkOnRename}}>\n\n<$dropzone enable=<<tv-enable-drag-and-drop>>>\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageTemplate]!has[draft.of]]\" variable=\"listItem\">\n\n<$transclude tiddler=<<listItem>>/>\n\n</$list>\n\n</$dropzone>\n\n</$navigator>\n\n</div>\n\n</$vars>\n"
},
"$:/PaletteManager": {
"title": "$:/PaletteManager",
"text": "\\define lingo-base() $:/language/ControlPanel/Palette/Editor/\n\\define describePaletteColour(colour)\n<$transclude tiddler=\"$:/language/Docs/PaletteColours/$colour$\"><$text text=\"$colour$\"/></$transclude>\n\\end\n\\define edit-colour-placeholder()\n edit $(colourName)$\n\\end\n\\define colour-tooltip(showhide) $showhide$ editor for $(newColourName)$ \n\\define resolve-colour(macrocall)\n\\import $:/core/macros/utils\n\\whitespace trim\n<$wikify name=\"name\" text=\"\"\"$macrocall$\"\"\">\n<<name>>\n</$wikify>\n\\end\n\\define delete-colour-index-actions() <$action-setfield $index=<<colourName>>/>\n\\define palette-manager-colour-row-segment()\n\\whitespace trim\n<$edit-text index=<<colourName>> tag=\"input\" placeholder=<<edit-colour-placeholder>> default=\"\"/>\n<br>\n<$edit-text index=<<colourName>> type=\"color\" tag=\"input\" class=\"tc-palette-manager-colour-input\"/>\n<$list filter=\"[<currentTiddler>getindex<colourName>removeprefix[<<]removesuffix[>>]] [<currentTiddler>getindex<colourName>removeprefix[<$]removesuffix[/>]]\" variable=\"ignore\">\n<$set name=\"state\" value={{{ [[$:/state/palettemanager/]addsuffix<currentTiddler>addsuffix[/]addsuffix<colourName>] }}}>\n<$wikify name=\"newColourName\" text=\"\"\"<$macrocall $name=\"resolve-colour\" macrocall={{{ [<currentTiddler>getindex<colourName>] }}}/>\"\"\">\n<$reveal state=<<state>> type=\"nomatch\" text=\"show\">\n<$button tooltip=<<colour-tooltip show>> aria-label=<<colour-tooltip show>> class=\"tc-btn-invisible\" set=<<state>> setTo=\"show\">{{$:/core/images/down-arrow}}<$text text=<<newColourName>> class=\"tc-small-gap-left\"/></$button><br>\n</$reveal>\n<$reveal state=<<state>> type=\"match\" text=\"show\">\n<$button tooltip=<<colour-tooltip hide>> aria-label=<<colour-tooltip show>> class=\"tc-btn-invisible\" actions=\"\"\"<$action-deletetiddler $tiddler=<<state>>/>\"\"\">{{$:/core/images/up-arrow}}<$text text=<<newColourName>> class=\"tc-small-gap-left\"/></$button><br>\n</$reveal>\n<$reveal state=<<state>> type=\"match\" text=\"show\">\n<$set name=\"colourName\" value=<<newColourName>>>\n<br>\n<<palette-manager-colour-row-segment>>\n<br><br>\n</$set>\n</$reveal>\n</$wikify>\n</$set>\n</$list>\n\\end\n\\define palette-manager-colour-row()\n\\whitespace trim\n<tr>\n<td>\n<span style=\"float:right;\">\n<$button tooltip={{$:/language/ControlPanel/Palette/Editor/Delete/Hint}} aria-label={{$:/language/ControlPanel/Palette/Editor/Delete/Hint}} class=\"tc-btn-invisible\" actions=<<delete-colour-index-actions>>>\n{{$:/core/images/delete-button}}</$button>\n</span>\n''<$macrocall $name=\"describePaletteColour\" colour=<<colourName>>/>''<br/>\n<$macrocall $name=\"colourName\" $output=\"text/plain\"/>\n</td>\n<td>\n<<palette-manager-colour-row-segment>>\n</td>\n</tr>\n\\end\n\\define palette-manager-table()\n\\whitespace trim\n<table>\n<tbody>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Palette]indexes[]]\" variable=\"colourName\">\n<$list filter=\"[<currentTiddler>indexes[]removeprefix<colourName>suffix[]]\" variable=\"ignore\" emptyMessage=\"\"\"\n<$list filter=\"[{$:/state/palettemanager/showexternal}removeprefix[yes]suffix[]]\" variable=\"ignore\">\n<<palette-manager-colour-row>>\n</$list>\n\"\"\">\n<<palette-manager-colour-row>>\n</$list>\n</$list>\n</tbody>\n</table>\n\\end\n<$set name=\"currentTiddler\" value={{$:/palette}}>\n\n<<lingo Prompt>> <$link to={{$:/palette}}><$macrocall $name=\"currentTiddler\" $output=\"text/plain\"/></$link>\n\n<$list filter=\"[all[current]is[shadow]is[tiddler]]\" variable=\"listItem\">\n<<lingo Prompt/Modified>>\n<$button message=\"tm-delete-tiddler\" param={{$:/palette}}><<lingo Reset/Caption>></$button>\n</$list>\n\n<$list filter=\"[all[current]is[shadow]!is[tiddler]]\" variable=\"listItem\">\n<<lingo Clone/Prompt>>\n</$list>\n\n<$button message=\"tm-new-tiddler\" param={{$:/palette}}><<lingo Clone/Caption>></$button>\n\n<$checkbox tiddler=\"$:/state/palettemanager/showexternal\" field=\"text\" checked=\"yes\" unchecked=\"no\"><span class=\"tc-small-gap-left\"><<lingo Names/External/Show>></span></$checkbox>\n\n<<palette-manager-table>>\n"
},
"$:/core/ui/PluginInfo": {
"title": "$:/core/ui/PluginInfo",
"text": "\\define localised-info-tiddler-title()\n$(currentTiddler)$/$(languageTitle)$/$(currentTab)$\n\\end\n\\define info-tiddler-title()\n$(currentTiddler)$/$(currentTab)$\n\\end\n\\define default-tiddler-title()\n$:/core/ui/PluginInfo/Default/$(currentTab)$\n\\end\n<$transclude tiddler=<<localised-info-tiddler-title>> mode=\"block\">\n<$transclude tiddler=<<currentTiddler>> subtiddler=<<localised-info-tiddler-title>> mode=\"block\">\n<$transclude tiddler=<<currentTiddler>> subtiddler=<<info-tiddler-title>> mode=\"block\">\n<$transclude tiddler=<<default-tiddler-title>> mode=\"block\">\n{{$:/language/ControlPanel/Plugin/NoInfoFound/Hint}}\n</$transclude>\n</$transclude>\n</$transclude>\n</$transclude>\n"
},
"$:/core/ui/PluginInfo/Default/contents": {
"title": "$:/core/ui/PluginInfo/Default/contents",
"text": "\\define lingo-base() $:/language/TiddlerInfo/Advanced/PluginInfo/\n<<lingo Hint>>\n<ul>\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" emptyMessage=<<lingo Empty/Hint>>>\n<li>\n<$link />\n</li>\n</$list>\n</ul>\n"
},
"$:/core/ui/PluginListItemTemplate": {
"title": "$:/core/ui/PluginListItemTemplate",
"text": "<div class=\"tc-menu-list-item\">\n<$link to={{!!title}}><$view field=\"description\"><$view field=\"title\"/></$view></$link>\n</div>"
},
"$:/core/ui/RootTemplate": {
"title": "$:/core/ui/RootTemplate",
"text": "<$transclude tiddler={{{ [{$:/layout}has[text]] ~[[$:/core/ui/PageTemplate]] }}} mode=\"inline\"/>\n\n"
},
"$:/core/ui/SearchResults": {
"title": "$:/core/ui/SearchResults",
"text": "<div class=\"tc-search-results\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]butfirst[]limit[1]]\" emptyMessage=\"\"\"\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\">\n<$transclude mode=\"block\"/>\n</$list>\n\"\"\">\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/SearchResults]!has[draft.of]]\" default={{$:/config/SearchResults/Default}} actions=\"\"\"<$action-setfield $tiddler=\"$:/state/search/currentTab\" text=<<currentTab>>/>\"\"\" explicitState=\"$:/state/tab/search-results/sidebar\"/>\n\n</$list>\n\n</div>\n"
},
"$:/core/ui/SideBar/More": {
"title": "$:/core/ui/SideBar/More",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/More/Caption}}",
"text": "<div class=\"tc-more-sidebar\">\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/MoreSideBar]!has[draft.of]]\" default={{$:/config/DefaultMoreSidebarTab}} state=\"$:/state/tab/moresidebar\" class=\"tc-vertical tc-sidebar-tabs-more\" explicitState=\"$:/state/tab/moresidebar-1850697562\"/>\n</div>\n"
},
"$:/core/ui/SideBar/Open": {
"title": "$:/core/ui/SideBar/Open",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/Open/Caption}}",
"text": "\\whitespace trim\n\\define lingo-base() $:/language/CloseAll/\n\n\\define drop-actions()\n<$action-listops $tiddler=<<tv-story-list>> $subfilter=\"+[insertbefore:currentTiddler<actionTiddler>]\"/>\n\\end\n\n\\define placeholder()\n<div class=\"tc-droppable-placeholder\"/>\n\\end\n\n\\define droppable-item(button)\n\\whitespace trim\n<$droppable actions=<<drop-actions>> enable=<<tv-allow-drag-and-drop>>>\n<<placeholder>>\n<div>\n$button$\n</div>\n</$droppable>\n\\end\n\n<div class=\"tc-sidebar-tab-open\">\n<$list filter=\"[list<tv-story-list>]\" history=<<tv-history-list>> storyview=\"pop\">\n<div class=\"tc-sidebar-tab-open-item\">\n<$macrocall $name=\"droppable-item\" button=\"\"\"<$button message=\"tm-close-tiddler\" tooltip={{$:/language/Buttons/Close/Hint}} aria-label={{$:/language/Buttons/Close/Caption}} class=\"tc-btn-invisible tc-btn-mini tc-small-gap-right\">{{$:/core/images/close-button}}</$button><$link to={{!!title}}><$view field=\"title\"/></$link>\"\"\"/>\n</div>\n</$list>\n<$tiddler tiddler=\"\">\n<div>\n<$macrocall $name=\"droppable-item\" button=\"\"\"<$button message=\"tm-close-all-tiddlers\" class=\"tc-btn-invisible tc-btn-mini\"><<lingo Button>></$button>\"\"\"/>\n</div>\n</$tiddler>\n</div>\n"
},
"$:/core/ui/SideBar/Recent": {
"title": "$:/core/ui/SideBar/Recent",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/Recent/Caption}}",
"text": "<$macrocall $name=\"timeline\" format={{$:/language/RecentChanges/DateFormat}}/>\n"
},
"$:/core/ui/SideBar/Tools": {
"title": "$:/core/ui/SideBar/Tools",
"tags": "$:/tags/SideBar",
"caption": "{{$:/language/SideBar/Tools/Caption}}",
"text": "\\define lingo-base() $:/language/ControlPanel/\n\\define config-title()\n$:/config/PageControlButtons/Visibility/$(listItem)$\n\\end\n\n<<lingo Basics/Version/Prompt>> <<version>>\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/PageControls]!has[draft.of]]\" variable=\"listItem\">\n\n<div style=\"position:relative;\" class={{{ [<listItem>encodeuricomponent[]addprefix[tc-btn-]] }}}>\n\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <$transclude tiddler=<<listItem>>/> <i class=\"tc-muted\"><$transclude tiddler=<<listItem>> field=\"description\"/></i>\n\n</div>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/SideBarLists": {
"title": "$:/core/ui/SideBarLists",
"text": "<$transclude tiddler=\"$:/core/ui/SideBarSegments/search\"/>\n\n<$transclude tiddler=\"$:/core/ui/SideBarSegments/tabs\"/>\n\n"
},
"$:/core/ui/SideBarSegments/page-controls": {
"title": "$:/core/ui/SideBarSegments/page-controls",
"tags": "$:/tags/SideBarSegment",
"text": "{{||$:/core/ui/PageTemplate/pagecontrols}}\n"
},
"$:/core/ui/SideBarSegments/search": {
"title": "$:/core/ui/SideBarSegments/search",
"tags": "$:/tags/SideBarSegment",
"text": "\\whitespace trim\n\n\\define count-popup-button()\n\\whitespace trim\n<$button popup=<<qualify \"$:/state/popup/search-dropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n<$list filter=\"[{$(searchTiddler)$}minlength{$:/config/Search/MinLength}limit[1]]\" variable=\"listItem\">\n<$vars userInput={{{ [<searchTiddler>get[text]] }}} configTiddler={{{ [[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}] }}} replaceRegexp=\"limit\\[\\d+\\]\">\n<$vars primaryListFilter={{{ [<configTiddler>get[first-search-filter]search-replace:g:regexp<replaceRegexp>,[]] }}} secondaryListFilter={{{ [<configTiddler>get[second-search-filter]search-replace:g:regexp<replaceRegexp>,[]] }}}>\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[subfilter<primaryListFilter>] [subfilter<secondaryListFilter>]\"/>\"\"\">\n{{$:/language/Search/Matches}}\n</$set>\n</$vars>\n</$vars>\n</$list>\n</$button>\n\\end\n\n\\define search-results-list()\n\\whitespace trim\n<$vars userInput={{$(searchTiddler)$}} configTiddler={{{ [[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}] }}}>\n<$list filter=\"[<userInput>minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n\n<$tiddler tiddler=<<configTiddler>>>\n\n{{$:/core/ui/SearchResults}}\n\n</$tiddler>\n\n</$list>\n</$vars>\n\\end\n\n\\define cancel-search-actions() <$list filter=\"[<searchTiddler>get[text]!match{$:/temp/search}]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[[$:/temp/search]] [<searchTiddler>] [<searchListState>]\"/>\"\"\"><$action-setfield $tiddler=\"$:/temp/search\" text={{{ [<searchTiddler>get[text]] }}}/><$action-setfield $tiddler=\"$:/temp/search/refresh\" text=\"yes\"/></$list>\n\n\\define input-accept-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\"\"\"><$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/></$list>\n\n\\define input-accept-variant-actions() <$list filter=\"[{$:/config/Search/NavigateOnEnter/enable}match[yes]]\" emptyMessage=\"\"\"<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\"\"\"><$list filter=\"[<__tiddler__>get[text]minlength[1]]\"><$action-sendmessage $message=\"tm-edit-tiddler\" $param={{{ [<__tiddler__>get[text]] }}}/></$list></$list>\n\n\\define set-next-input-tab(beforeafter:\"after\") <$macrocall $name=\"change-input-tab\" stateTitle=\"$:/state/tab/search-results/sidebar\" tag=\"$:/tags/SearchResults\" beforeafter=\"$beforeafter$\" defaultState={{$:/config/SearchResults/Default}} actions=\"\"\"<$action-setfield $tiddler=\"$:/state/search/currentTab\" text=<<nextTab>>/>\"\"\"/>\n\n\\define advanced-search-actions() <$action-setfield $tiddler=\"$:/temp/advancedsearch\" text={{$:/temp/search/input}}/><$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text={{$:/temp/search/input}}/><<delete-state-tiddlers>><$action-navigate $to=\"$:/AdvancedSearch\"/><$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/><$action-sendmessage $message=\"tm-focus-selector\" $param=\"\"\"[data-tiddler-title=\"$:/AdvancedSearch\"] .tc-search input\"\"\" preventScroll=\"true\"/><$action-deletetiddler $filter=\"$:/temp/search $:/temp/search/input $:/temp/search/refresh [<searchListState>]\"/>\n\n<div class=\"tc-sidebar-lists tc-sidebar-search\">\n\n<$vars editTiddler=\"$:/temp/search\" searchTiddler=\"$:/temp/search/input\" searchListState=<<qualify \"$:/state/search-list/selected-item\">>>\n<div class=\"tc-search\">\n<$keyboard key=\"((input-tab-right))\" actions=<<set-next-input-tab>>>\n<$keyboard key=\"((input-tab-left))\" actions=<<set-next-input-tab \"before\">>>\n<$keyboard key=\"((advanced-search-sidebar))\" actions=<<advanced-search-actions>>>\n<$macrocall $name=\"keyboard-driven-input\" tiddler=<<editTiddler>> storeTitle=<<searchTiddler>> \n\t\tselectionStateTitle=<<searchListState>> refreshTitle=\"$:/temp/search/refresh\" type=\"search\" \n\t\ttag=\"input\" focus={{$:/config/Search/AutoFocus}} focusPopup=<<qualify \"$:/state/popup/search-dropdown\">> \n\t\tclass=\"tc-popup-handle\" filterMinLength={{$:/config/Search/MinLength}} inputCancelActions=<<cancel-search-actions>> \n\t\tinputAcceptActions=<<input-accept-actions>> inputAcceptVariantActions=<<input-accept-variant-actions>> cancelPopups=\"yes\" \n\t\tconfigTiddlerFilter=\"[[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}]\"/>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n<$reveal state=<<searchTiddler>> type=\"nomatch\" text=\"\">\n<$button tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"tc-btn-invisible\">\n<<advanced-search-actions>>\n{{$:/core/images/advanced-search-button}}\n</$button>\n<$button class=\"tc-btn-invisible\">\n<<cancel-search-actions>><$action-sendmessage $message=\"tm-focus-selector\" $param=\".tc-search input\"/>\n{{$:/core/images/close-button}}\n</$button>\n<<count-popup-button>>\n</$reveal>\n<$reveal state=<<searchTiddler>> type=\"match\" text=\"\">\n<$button to=\"$:/AdvancedSearch\" tooltip={{$:/language/Buttons/AdvancedSearch/Hint}} aria-label={{$:/language/Buttons/AdvancedSearch/Caption}} class=\"tc-btn-invisible\">\n{{$:/core/images/advanced-search-button}}\n</$button>\n</$reveal>\n</div>\n\n<$reveal tag=\"div\" class=\"tc-block-dropdown-wrapper\" state=<<searchTiddler>> type=\"nomatch\" text=\"\">\n\n<$reveal tag=\"div\" class=\"tc-block-dropdown tc-search-drop-down tc-popup-handle\" state=<<qualify \"$:/state/popup/search-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n\n<<search-results-list>>\n\n</$reveal>\n\n</$reveal>\n\n</$vars>\n\n</div>\n"
},
"$:/core/ui/SideBarSegments/site-subtitle": {
"title": "$:/core/ui/SideBarSegments/site-subtitle",
"tags": "$:/tags/SideBarSegment",
"text": "<div class=\"tc-site-subtitle\">\n\n<$transclude tiddler=\"$:/SiteSubtitle\" mode=\"inline\"/>\n\n</div>\n"
},
"$:/core/ui/SideBarSegments/site-title": {
"title": "$:/core/ui/SideBarSegments/site-title",
"tags": "$:/tags/SideBarSegment",
"text": "<h1 class=\"tc-site-title\">\n\n<$transclude tiddler=\"$:/SiteTitle\" mode=\"inline\"/>\n\n</h1>\n"
},
"$:/core/ui/SideBarSegments/tabs": {
"title": "$:/core/ui/SideBarSegments/tabs",
"tags": "$:/tags/SideBarSegment",
"text": "<div class=\"tc-sidebar-lists tc-sidebar-tabs\">\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/SideBar]!has[draft.of]]\" default={{$:/config/DefaultSidebarTab}} state=\"$:/state/tab/sidebar\" class=\"tc-sidebar-tabs-main\" explicitState=\"$:/state/tab/sidebar--595412856\"/>\n\n</div>\n"
},
"$:/core/ui/SwitcherModal": {
"title": "$:/core/ui/SwitcherModal",
"subtitle": "<$text text={{{[<switch>lookup[$:/language/Switcher/Subtitle/]]}}}/>",
"class": "tc-modal-centered",
"text": "<$tiddler tiddler={{{[<switch>lookup[$:/config/SwitcherTargets/]]}}}>\n\n\n<$transclude/>\n\n\n</$tiddler>"
},
"$:/TagManager": {
"title": "$:/TagManager",
"icon": "$:/core/images/tag-button",
"color": "#bbb",
"text": "\\define lingo-base() $:/language/TagManager/\n\\define iconEditorTab(type)\n\\whitespace trim\n<$link to=\"\"><<lingo Icons/None>></$link>\n<$list filter=\"[all[shadows+tiddlers]is[image]] [all[shadows+tiddlers]tag[$:/tags/Image]] -[type[application/pdf]] +[sort[title]] +[$type$is[system]]\">\n<$link to={{!!title}}>\n<$transclude/> <$view field=\"title\"/>\n</$link>\n</$list>\n\\end\n\\define iconEditor(title)\n\\whitespace trim\n<div class=\"tc-drop-down-wrapper\">\n<$button popupTitle={{{ [[$:/state/popup/icon/]addsuffix<__title__>] }}} class=\"tc-btn-invisible tc-btn-dropdown\">{{$:/core/images/down-arrow}}</$button>\n<$reveal stateTitle={{{ [[$:/state/popup/icon/]addsuffix<__title__>] }}} type=\"popup\" position=\"belowleft\" text=\"\" default=\"\">\n<div class=\"tc-drop-down\">\n<$linkcatcher actions=\"\"\"<$action-setfield $tiddler=<<__title__>> icon=<<navigateTo>>/>\"\"\">\n<<iconEditorTab type:\"!\">>\n<hr/>\n<<iconEditorTab type:\"\">>\n</$linkcatcher>\n</div>\n</$reveal>\n</div>\n\\end\n\\define toggleButton(state)\n\\whitespace trim\n<$reveal stateTitle=<<__state__>> type=\"match\" text=\"closed\" default=\"closed\">\n<$button setTitle=<<__state__>> setTo=\"open\" class=\"tc-btn-invisible tc-btn-dropdown\" selectedClass=\"tc-selected\">\n{{$:/core/images/info-button}}\n</$button>\n</$reveal>\n<$reveal stateTitle=<<__state__>> type=\"match\" text=\"open\" default=\"closed\">\n<$button setTitle=<<__state__>> setTo=\"closed\" class=\"tc-btn-invisible tc-btn-dropdown\" selectedClass=\"tc-selected\">\n{{$:/core/images/info-button}}\n</$button>\n</$reveal>\n\\end\n\\whitespace trim\n<table class=\"tc-tag-manager-table\">\n<tbody>\n<tr>\n<th><<lingo Colour/Heading>></th>\n<th class=\"tc-tag-manager-tag\"><<lingo Tag/Heading>></th>\n<th><<lingo Count/Heading>></th>\n<th><<lingo Icon/Heading>></th>\n<th><<lingo Info/Heading>></th>\n</tr>\n<$list filter=\"[tags[]!is[system]sort[title]]\">\n<tr>\n<td><$edit-text field=\"color\" tag=\"input\" type=\"color\"/></td>\n<td>{{||$:/core/ui/TagTemplate}}</td>\n<td><$count filter=\"[all[current]tagging[]]\"/></td>\n<td>\n<$macrocall $name=\"iconEditor\" title={{!!title}}/>\n</td>\n<td>\n<$macrocall $name=\"toggleButton\" state={{{ [[$:/state/tag-manager/]addsuffix<currentTiddler>] }}} /> \n</td>\n</tr>\n<tr>\n<td></td>\n<td colspan=\"4\">\n<$reveal stateTitle={{{ [[$:/state/tag-manager/]addsuffix<currentTiddler>] }}} type=\"match\" text=\"open\" default=\"\">\n<table>\n<tbody>\n<tr><td><<lingo Colour/Heading>></td><td><$edit-text field=\"color\" tag=\"input\" type=\"text\" size=\"9\"/></td></tr>\n<tr><td><<lingo Icon/Heading>></td><td><$edit-text field=\"icon\" tag=\"input\" size=\"45\"/></td></tr>\n</tbody>\n</table>\n</$reveal>\n</td>\n</tr>\n</$list>\n<tr>\n<td></td>\n<td style=\"position:relative;\">\n{{$:/core/ui/UntaggedTemplate}}\n</td>\n<td>\n<small class=\"tc-menu-list-count\"><$count filter=\"[untagged[]!is[system]] -[tags[]]\"/></small>\n</td>\n<td></td>\n<td></td>\n</tr>\n</tbody>\n</table>\n"
},
"$:/core/ui/TagPickerTagTemplate": {
"title": "$:/core/ui/TagPickerTagTemplate",
"text": "\\whitespace trim\n<$button class=<<button-classes>> tag=\"a\" tooltip={{$:/language/EditTemplate/Tags/Add/Button/Hint}}>\n<$list filter=\"[<saveTiddler>minlength[1]]\">\n<$action-listops $tiddler=<<saveTiddler>> $field=<<tagField>> $subfilter=\"[<tag>]\"/>\n</$list>\n<$set name=\"currentTiddlerCSSEscaped\" value={{{ [<saveTiddler>escapecss[]] }}}>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=<<get-tagpicker-focus-selector>> preventScroll=\"true\"/>\n</$set>\n<<delete-tag-state-tiddlers>>\n<$list filter=\"[<refreshTitle>minlength[1]]\">\n<$action-setfield $tiddler=<<refreshTitle>> text=\"yes\"/>\n</$list>\n<<actions>>\n<$set name=\"backgroundColor\" value={{!!color}}>\n<$wikify name=\"foregroundColor\" text=\"\"\"<$macrocall $name=\"contrastcolour\" target={{!!color}} fallbackTarget=<<fallbackTarget>> colourA=<<colourA>> colourB=<<colourB>>/>\"\"\">\n<span class=\"tc-tag-label tc-btn-invisible\" style=<<tag-pill-styles>>>\n<$transclude tiddler={{!!icon}}/><$view field=\"title\" format=\"text\"/>\n</span>\n</$wikify>\n</$set>\n</$button>\n"
},
"$:/core/ui/TagTemplate": {
"title": "$:/core/ui/TagTemplate",
"text": "\\whitespace trim\n<span class=\"tc-tag-list-item\" data-tag-title=<<currentTiddler>>>\n<$set name=\"transclusion\" value=<<currentTiddler>>>\n<$macrocall $name=\"tag-pill-body\" tag=<<currentTiddler>> icon={{!!icon}} colour={{!!color}} palette={{$:/palette}} element-tag=\"\"\"$button\"\"\" element-attributes=\"\"\"popup=<<qualify \"$:/state/popup/tag\">> dragFilter='[all[current]tagging[]]' tag='span'\"\"\"/>\n<$reveal state=<<qualify \"$:/state/popup/tag\">> type=\"popup\" position=\"below\" animate=\"yes\" class=\"tc-drop-down\">\n<$set name=\"tv-show-missing-links\" value=\"yes\">\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</$set>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TagDropdown]!has[draft.of]]\" variable=\"listItem\"> \n<$transclude tiddler=<<listItem>>/> \n</$list>\n<hr>\n<$macrocall $name=\"list-tagged-draggable\" tag=<<currentTiddler>>/>\n</$reveal>\n</$set>\n</span>\n"
},
"$:/core/ui/TiddlerFieldTemplate": {
"title": "$:/core/ui/TiddlerFieldTemplate",
"text": "<tr class=\"tc-view-field\">\n<td class=\"tc-view-field-name\">\n<$text text=<<listItem>>/>\n</td>\n<td class=\"tc-view-field-value\">\n<$view field=<<listItem>>/>\n</td>\n</tr>"
},
"$:/core/ui/TiddlerFields": {
"title": "$:/core/ui/TiddlerFields",
"text": "<table class=\"tc-view-field-table\">\n<tbody>\n<$list filter=\"[all[current]fields[]sort[title]] -text\" template=\"$:/core/ui/TiddlerFieldTemplate\" variable=\"listItem\"/>\n</tbody>\n</table>\n"
},
"$:/core/ui/TiddlerInfo/Advanced/PluginInfo": {
"title": "$:/core/ui/TiddlerInfo/Advanced/PluginInfo",
"tags": "$:/tags/TiddlerInfo/Advanced",
"text": "\\define lingo-base() $:/language/TiddlerInfo/Advanced/PluginInfo/\n<$list filter=\"[all[current]has[plugin-type]]\">\n\n! <<lingo Heading>>\n\n<<lingo Hint>>\n<ul>\n<$list filter=\"[all[current]plugintiddlers[]sort[title]]\" emptyMessage=<<lingo Empty/Hint>>>\n<li>\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</li>\n</$list>\n</ul>\n\n</$list>\n"
},
"$:/core/ui/TiddlerInfo/Advanced/ShadowInfo": {
"title": "$:/core/ui/TiddlerInfo/Advanced/ShadowInfo",
"tags": "$:/tags/TiddlerInfo/Advanced",
"text": "\\define lingo-base() $:/language/TiddlerInfo/Advanced/ShadowInfo/\n<$set name=\"infoTiddler\" value=<<currentTiddler>>>\n\n''<<lingo Heading>>''\n\n<$list filter=\"[all[current]!is[shadow]]\">\n\n<<lingo NotShadow/Hint>>\n\n</$list>\n\n<$list filter=\"[all[current]is[shadow]]\">\n\n<<lingo Shadow/Hint>>\n\n<$list filter=\"[all[current]shadowsource[]]\">\n\n<$set name=\"pluginTiddler\" value=<<currentTiddler>>>\n<<lingo Shadow/Source>>\n</$set>\n\n</$list>\n\n<$list filter=\"[all[current]is[shadow]is[tiddler]]\">\n\n<<lingo OverriddenShadow/Hint>>\n\n</$list>\n\n\n</$list>\n</$set>\n"
},
"$:/core/ui/TiddlerInfo/Advanced": {
"title": "$:/core/ui/TiddlerInfo/Advanced",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Advanced/Caption}}",
"text": "<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TiddlerInfo/Advanced]!has[draft.of]]\" variable=\"listItem\">\n\n<$transclude tiddler=<<listItem>> mode=\"block\"/>\n</$list>\n"
},
"$:/core/ui/TiddlerInfo/Fields": {
"title": "$:/core/ui/TiddlerInfo/Fields",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Fields/Caption}}",
"text": "<$transclude tiddler=\"$:/core/ui/TiddlerFields\"/>\n"
},
"$:/core/ui/TiddlerInfo/List": {
"title": "$:/core/ui/TiddlerInfo/List",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/List/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[list{!!title}]\" emptyMessage=<<lingo List/Empty>> template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/TiddlerInfo/Listed": {
"title": "$:/core/ui/TiddlerInfo/Listed",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Listed/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[all[current]listed[]!is[system]]\" emptyMessage=<<lingo Listed/Empty>> template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/TiddlerInfo/References": {
"title": "$:/core/ui/TiddlerInfo/References",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/References/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[all[current]backlinks[]sort[title]]\" emptyMessage=<<lingo References/Empty>> template=\"$:/core/ui/ListItemTemplate\">\n</$list>"
},
"$:/core/ui/TiddlerInfo/Tagging": {
"title": "$:/core/ui/TiddlerInfo/Tagging",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Tagging/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n<$list filter=\"[all[current]tagging[]]\" emptyMessage=<<lingo Tagging/Empty>> template=\"$:/core/ui/ListItemTemplate\"/>\n"
},
"$:/core/ui/TiddlerInfo/Tools": {
"title": "$:/core/ui/TiddlerInfo/Tools",
"tags": "$:/tags/TiddlerInfo",
"caption": "{{$:/language/TiddlerInfo/Tools/Caption}}",
"text": "\\define lingo-base() $:/language/TiddlerInfo/\n\\define config-title()\n$:/config/ViewToolbarButtons/Visibility/$(listItem)$\n\\end\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]]\" variable=\"listItem\">\n\n<$checkbox tiddler=<<config-title>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"show\"/> <$transclude tiddler=<<listItem>>/> <i class=\"tc-muted\"><$transclude tiddler=<<listItem>> field=\"description\"/></i>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n"
},
"$:/core/ui/TiddlerInfo": {
"title": "$:/core/ui/TiddlerInfo",
"text": "<div style=\"position:relative;\">\n<div class=\"tc-tiddler-controls\" style=\"position:absolute;right:0;\">\n<$reveal state=\"$:/config/TiddlerInfo/Mode\" type=\"match\" text=\"sticky\">\n<$button set=<<tiddlerInfoState>> setTo=\"\" tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=\"tc-btn-invisible\">\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n</div>\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]tag[$:/tags/TiddlerInfo]!has[draft.of]]\" default={{$:/config/TiddlerInfo/Default}}/>\n"
},
"$:/core/ui/TopBar/menu": {
"title": "$:/core/ui/TopBar/menu",
"tags": "$:/tags/TopRightBar",
"text": "<$list filter=\"[[$:/state/sidebar]get[text]] +[else[yes]!match[no]]\" variable=\"ignore\">\n<$button set=\"$:/state/sidebar\" setTo=\"no\" tooltip={{$:/language/Buttons/HideSideBar/Hint}} aria-label={{$:/language/Buttons/HideSideBar/Caption}} class=\"tc-btn-invisible tc-hide-sidebar-btn\">{{$:/core/images/chevron-right}}</$button>\n</$list>\n<$list filter=\"[[$:/state/sidebar]get[text]] +[else[yes]match[no]]\" variable=\"ignore\">\n<$button set=\"$:/state/sidebar\" setTo=\"yes\" tooltip={{$:/language/Buttons/ShowSideBar/Hint}} aria-label={{$:/language/Buttons/ShowSideBar/Caption}} class=\"tc-btn-invisible tc-show-sidebar-btn\">{{$:/core/images/chevron-left}}</$button>\n</$list>\n"
},
"$:/core/ui/UntaggedTemplate": {
"title": "$:/core/ui/UntaggedTemplate",
"text": "\\define lingo-base() $:/language/SideBar/\n<$button popup=<<qualify \"$:/state/popup/tag\">> class=\"tc-btn-invisible tc-untagged-label tc-tag-label\">\n<<lingo Tags/Untagged/Caption>>\n</$button>\n<$reveal state=<<qualify \"$:/state/popup/tag\">> type=\"popup\" position=\"below\">\n<div class=\"tc-drop-down\">\n<$list filter=\"[untagged[]!is[system]] -[tags[]] +[sort[title]]\" template=\"$:/core/ui/ListItemTemplate\"/>\n</div>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate/body": {
"title": "$:/core/ui/ViewTemplate/body",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal tag=\"div\" class=\"tc-tiddler-body\" type=\"nomatch\" stateTitle=<<folded-state>> text=\"hide\" retain=\"yes\" animate=\"yes\">\n\n<$list filter=\"[all[current]!has[plugin-type]!field:hide-body[yes]]\">\n\n<$transclude>\n\n<$transclude tiddler=\"$:/language/MissingTiddler/Hint\"/>\n\n</$transclude>\n\n</$list>\n\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate/classic": {
"title": "$:/core/ui/ViewTemplate/classic",
"tags": "$:/tags/ViewTemplate $:/tags/EditTemplate",
"text": "\\define lingo-base() $:/language/ClassicWarning/\n<$list filter=\"[all[current]type[text/x-tiddlywiki]]\">\n<div class=\"tc-message-box\">\n\n<<lingo Hint>>\n\n<$button set=\"!!type\" setTo=\"text/vnd.tiddlywiki\"><<lingo Upgrade/Caption>></$button>\n\n</div>\n</$list>\n"
},
"$:/core/ui/ViewTemplate/import": {
"title": "$:/core/ui/ViewTemplate/import",
"tags": "$:/tags/ViewTemplate",
"text": "\\define lingo-base() $:/language/Import/\n\n\\define buttons()\n<$button message=\"tm-delete-tiddler\" param=<<currentTiddler>>><<lingo Listing/Cancel/Caption>></$button>\n<$button message=\"tm-perform-import\" param=<<currentTiddler>>><<lingo Listing/Import/Caption>></$button>\n<<lingo Listing/Preview>> <$select tiddler=\"$:/state/importpreviewtype\" default=\"$:/core/ui/ImportPreviews/Text\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ImportPreview]!has[draft.of]]\">\n<option value=<<currentTiddler>>>{{!!caption}}</option>\n</$list>\n</$select>\n\\end\n\n<$list filter=\"[all[current]field:plugin-type[import]]\">\n\n<div class=\"tc-import\">\n\n<<lingo Listing/Hint>>\n\n<<buttons>>\n\n{{||$:/core/ui/ImportListing}}\n\n<<buttons>>\n\n</div>\n\n</$list>\n"
},
"$:/core/ui/ViewTemplate/plugin": {
"title": "$:/core/ui/ViewTemplate/plugin",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal tag=\"div\" class=\"tc-tiddler-plugin-info\" type=\"nomatch\" stateTitle=<<folded-state>> text=\"hide\" retain=\"yes\" animate=\"yes\">\n\n<$list filter=\"[all[current]has[plugin-type]] -[all[current]field:plugin-type[import]]\">\n<$set name=\"plugin-type\" value={{!!plugin-type}}>\n<$set name=\"default-popup-state\" value=\"yes\">\n<$set name=\"qualified-state\" value=<<qualify \"$:/state/plugin-info\">>>\n{{||$:/core/ui/Components/plugin-info}}\n</$set>\n</$set>\n</$set>\n</$list>\n</$reveal>"
},
"$:/core/ui/ViewTemplate/subtitle": {
"title": "$:/core/ui/ViewTemplate/subtitle",
"tags": "$:/tags/ViewTemplate",
"text": "\\whitespace trim\n<$reveal type=\"nomatch\" stateTitle=<<folded-state>> text=\"hide\" tag=\"div\" retain=\"yes\" animate=\"yes\">\n<div class=\"tc-subtitle\">\n<$link to={{!!modifier}} />\n<$view field=\"modified\" format=\"date\" template={{$:/language/Tiddler/DateFormat}}/>\n</div>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate/tags": {
"title": "$:/core/ui/ViewTemplate/tags",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal type=\"nomatch\" stateTitle=<<folded-state>> text=\"hide\" tag=\"div\" retain=\"yes\" animate=\"yes\">\n<div class=\"tc-tags-wrapper\"><$list filter=\"[all[current]tags[]sort[title]]\" template=\"$:/core/ui/TagTemplate\" storyview=\"pop\"/></div>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate/title": {
"title": "$:/core/ui/ViewTemplate/title",
"tags": "$:/tags/ViewTemplate",
"text": "\\whitespace trim\n\\define title-styles()\nfill:$(foregroundColor)$;\n\\end\n\\define config-title()\n$:/config/ViewToolbarButtons/Visibility/$(listItem)$\n\\end\n<div class=\"tc-tiddler-title\">\n<div class=\"tc-titlebar\">\n<span class=\"tc-tiddler-controls\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]]\" variable=\"listItem\"><$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\"><$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\"><$transclude tiddler=<<listItem>>/></$set></$reveal></$list>\n</span>\n<$set name=\"tv-wikilinks\" value={{$:/config/Tiddlers/TitleLinks}}>\n<$link>\n<$set name=\"foregroundColor\" value={{!!color}}>\n<$list filter=\"[all[current]has[icon]]~[[$:/config/DefaultTiddlerIcon]has[text]]\">\n<span class=\"tc-tiddler-title-icon\" style=<<title-styles>>>\n<$transclude tiddler={{!!icon}}>\n<$transclude tiddler={{$:/config/DefaultTiddlerIcon}}/>\n</$transclude>\n</span>\n</$list>\n</$set>\n<$list filter=\"[all[current]removeprefix[$:/]]\">\n<h2 class=\"tc-title\" title={{$:/language/SystemTiddler/Tooltip}}>\n<span class=\"tc-system-title-prefix\">$:/</span><$text text=<<currentTiddler>>/>\n</h2>\n</$list>\n<$list filter=\"[all[current]!prefix[$:/]]\">\n<h2 class=\"tc-title\">\n<$view field=\"title\"/>\n</h2>\n</$list>\n</$link>\n</$set>\n</div>\n\n<$reveal type=\"nomatch\" text=\"\" default=\"\" state=<<tiddlerInfoState>> class=\"tc-tiddler-info tc-popup-handle\" animate=\"yes\" retain=\"yes\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TiddlerInfoSegment]!has[draft.of]] [[$:/core/ui/TiddlerInfo]]\" variable=\"listItem\"><$transclude tiddler=<<listItem>> mode=\"block\"/></$list>\n\n</$reveal>\n</div>"
},
"$:/core/ui/ViewTemplate/unfold": {
"title": "$:/core/ui/ViewTemplate/unfold",
"tags": "$:/tags/ViewTemplate",
"text": "<$reveal tag=\"div\" type=\"nomatch\" state=\"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-bar\" text=\"hide\">\n<$reveal tag=\"div\" type=\"nomatch\" stateTitle=<<folded-state>> text=\"hide\" default=\"show\" retain=\"yes\" animate=\"yes\">\n<$button tooltip={{$:/language/Buttons/Fold/Hint}} aria-label={{$:/language/Buttons/Fold/Caption}} class=\"tc-fold-banner\">\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n{{$:/core/images/chevron-up}}\n</$button>\n</$reveal>\n<$reveal tag=\"div\" type=\"nomatch\" stateTitle=<<folded-state>> text=\"show\" default=\"show\" retain=\"yes\" animate=\"yes\">\n<$button tooltip={{$:/language/Buttons/Unfold/Hint}} aria-label={{$:/language/Buttons/Unfold/Caption}} class=\"tc-unfold-banner\">\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n{{$:/core/images/chevron-down}}\n</$button>\n</$reveal>\n</$reveal>\n"
},
"$:/core/ui/ViewTemplate": {
"title": "$:/core/ui/ViewTemplate",
"text": "\\define folded-state()\n$:/state/folded/$(currentTiddler)$\n\\end\n\\define cancel-delete-tiddler-actions(message) <$action-sendmessage $message=\"tm-$message$-tiddler\"/>\n\\import [all[shadows+tiddlers]tag[$:/tags/Macro/View]!has[draft.of]]\n<$vars storyTiddler=<<currentTiddler>> tiddlerInfoState=<<qualify \"$:/state/popup/tiddler-info\">>><div data-tiddler-title=<<currentTiddler>> data-tags={{!!tags}} class={{{ tc-tiddler-frame tc-tiddler-view-frame [<currentTiddler>is[tiddler]then[tc-tiddler-exists]] [<currentTiddler>is[missing]!is[shadow]then[tc-tiddler-missing]] [<currentTiddler>is[shadow]then[tc-tiddler-exists tc-tiddler-shadow]] [<currentTiddler>is[shadow]is[tiddler]then[tc-tiddler-overridden-shadow]] [<currentTiddler>is[system]then[tc-tiddler-system]] [{!!class}] [<currentTiddler>tags[]encodeuricomponent[]addprefix[tc-tagged-]] +[join[ ]] }}}><$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewTemplate]!has[draft.of]]\" variable=\"listItem\"><$transclude tiddler=<<listItem>>/></$list>\n</div>\n</$vars>\n"
},
"$:/core/ui/Buttons/clone": {
"title": "$:/core/ui/Buttons/clone",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/clone-button}} {{$:/language/Buttons/Clone/Caption}}",
"description": "{{$:/language/Buttons/Clone/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-new-tiddler\" param=<<currentTiddler>> tooltip={{$:/language/Buttons/Clone/Hint}} aria-label={{$:/language/Buttons/Clone/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/clone-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Clone/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/close-others": {
"title": "$:/core/ui/Buttons/close-others",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/close-others-button}} {{$:/language/Buttons/CloseOthers/Caption}}",
"description": "{{$:/language/Buttons/CloseOthers/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-close-other-tiddlers\" param=<<currentTiddler>> tooltip={{$:/language/Buttons/CloseOthers/Hint}} aria-label={{$:/language/Buttons/CloseOthers/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/close-others-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/CloseOthers/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/close": {
"title": "$:/core/ui/Buttons/close",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/close-button}} {{$:/language/Buttons/Close/Caption}}",
"description": "{{$:/language/Buttons/Close/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-close-tiddler\" tooltip={{$:/language/Buttons/Close/Hint}} aria-label={{$:/language/Buttons/Close/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/close-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/Close/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/edit": {
"title": "$:/core/ui/Buttons/edit",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/edit-button}} {{$:/language/Buttons/Edit/Caption}}",
"description": "{{$:/language/Buttons/Edit/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-edit-tiddler\" tooltip={{$:/language/Buttons/Edit/Hint}} aria-label={{$:/language/Buttons/Edit/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/edit-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Edit/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/export-tiddler": {
"title": "$:/core/ui/Buttons/export-tiddler",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/export-button}} {{$:/language/Buttons/ExportTiddler/Caption}}",
"description": "{{$:/language/Buttons/ExportTiddler/Hint}}",
"text": "\\define makeExportFilter()\n[[$(currentTiddler)$]]\n\\end\n<$macrocall $name=\"exportButton\" exportFilter=<<makeExportFilter>> lingoBase=\"$:/language/Buttons/ExportTiddler/\" baseFilename=<<currentTiddler>>/>"
},
"$:/core/ui/Buttons/fold-bar": {
"title": "$:/core/ui/Buttons/fold-bar",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/chevron-up}} {{$:/language/Buttons/Fold/FoldBar/Caption}}",
"description": "{{$:/language/Buttons/Fold/FoldBar/Hint}}",
"text": "<!-- This dummy toolbar button is here to allow visibility of the fold-bar to be controlled as if it were a toolbar button -->"
},
"$:/core/ui/Buttons/fold-others": {
"title": "$:/core/ui/Buttons/fold-others",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/fold-others-button}} {{$:/language/Buttons/FoldOthers/Caption}}",
"description": "{{$:/language/Buttons/FoldOthers/Hint}}",
"text": "\\whitespace trim\n<$button tooltip={{$:/language/Buttons/FoldOthers/Hint}} aria-label={{$:/language/Buttons/FoldOthers/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-other-tiddlers\" $param=<<currentTiddler>> foldedStatePrefix=\"$:/state/folded/\"/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\" variable=\"listItem\">\n{{$:/core/images/fold-others-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/FoldOthers/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/fold": {
"title": "$:/core/ui/Buttons/fold",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/fold-button}} {{$:/language/Buttons/Fold/Caption}}",
"description": "{{$:/language/Buttons/Fold/Hint}}",
"text": "\\whitespace trim\n<$reveal type=\"nomatch\" stateTitle=<<folded-state>> text=\"hide\" default=\"show\">\n<$button tooltip={{$:/language/Buttons/Fold/Hint}} aria-label={{$:/language/Buttons/Fold/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\" variable=\"listItem\">\n{{$:/core/images/fold-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Fold/Caption}}/>\n</span>\n</$list>\n</$button>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<folded-state>> text=\"hide\" default=\"show\">\n<$button tooltip={{$:/language/Buttons/Unfold/Hint}} aria-label={{$:/language/Buttons/Unfold/Caption}} class=<<tv-config-toolbar-class>>>\n<$action-sendmessage $message=\"tm-fold-tiddler\" $param=<<currentTiddler>> foldedState=<<folded-state>>/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\" variable=\"listItem\">\n{{$:/core/images/unfold-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Unfold/Caption}}/>\n</span>\n</$list>\n</$button>\n</$reveal>\n"
},
"$:/core/ui/Buttons/info": {
"title": "$:/core/ui/Buttons/info",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/info-button}} {{$:/language/Buttons/Info/Caption}}",
"description": "{{$:/language/Buttons/Info/Hint}}",
"text": "\\whitespace trim\n\\define button-content()\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/info-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/Info/Caption}}/>\n</span>\n</$list>\n\\end\n<$reveal state=\"$:/config/TiddlerInfo/Mode\" type=\"match\" text=\"popup\">\n<$button popup=<<tiddlerInfoState>> tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$macrocall $name=\"button-content\" mode=\"inline\"/>\n</$button>\n</$reveal>\n<$reveal state=\"$:/config/TiddlerInfo/Mode\" type=\"match\" text=\"sticky\">\n<$reveal state=<<tiddlerInfoState>> type=\"match\" text=\"\" default=\"\">\n<$button set=<<tiddlerInfoState>> setTo=\"yes\" tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$macrocall $name=\"button-content\" mode=\"inline\"/>\n</$button>\n</$reveal>\n<$reveal state=<<tiddlerInfoState>> type=\"nomatch\" text=\"\" default=\"\">\n<$button set=<<tiddlerInfoState>> setTo=\"\" tooltip={{$:/language/Buttons/Info/Hint}} aria-label={{$:/language/Buttons/Info/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$macrocall $name=\"button-content\" mode=\"inline\"/>\n</$button>\n</$reveal>\n</$reveal>"
},
"$:/core/ui/Buttons/more-tiddler-actions": {
"title": "$:/core/ui/Buttons/more-tiddler-actions",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/down-arrow}} {{$:/language/Buttons/More/Caption}}",
"description": "{{$:/language/Buttons/More/Hint}}",
"text": "\\whitespace trim\n\\define config-title()\n$:/config/ViewToolbarButtons/Visibility/$(listItem)$\n\\end\n<$button popup=<<qualify \"$:/state/popup/more\">> tooltip={{$:/language/Buttons/More/Hint}} aria-label={{$:/language/Buttons/More/Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/down-arrow}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/More/Caption}}/>\n</span>\n</$list>\n</$button>\n<$reveal state=<<qualify \"$:/state/popup/more\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n\n<div class=\"tc-drop-down\">\n\n<$set name=\"tv-config-toolbar-icons\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-text\" value=\"yes\">\n\n<$set name=\"tv-config-toolbar-class\" value=\"tc-btn-invisible\">\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/ViewToolbar]!has[draft.of]] -[[$:/core/ui/Buttons/more-tiddler-actions]]\" variable=\"listItem\">\n\n<$reveal type=\"match\" state=<<config-title>> text=\"hide\">\n\n<$set name=\"tv-config-toolbar-class\" filter=\"[<tv-config-toolbar-class>] [<listItem>encodeuricomponent[]addprefix[tc-btn-]]\">\n\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n\n</$set>\n\n</$reveal>\n\n</$list>\n\n</$set>\n\n</$set>\n\n</$set>\n\n</div>\n\n</$reveal>"
},
"$:/core/ui/Buttons/new-here": {
"title": "$:/core/ui/Buttons/new-here",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/new-here-button}} {{$:/language/Buttons/NewHere/Caption}}",
"description": "{{$:/language/Buttons/NewHere/Hint}}",
"text": "\\whitespace trim\n\\define newHereActions()\n<$set name=\"tags\" filter=\"[<currentTiddler>] [{$:/config/NewTiddler/Tags}]\">\n<$action-sendmessage $message=\"tm-new-tiddler\" tags=<<tags>>/>\n</$set>\n\\end\n\\define newHereButton()\n<$button actions=<<newHereActions>> tooltip={{$:/language/Buttons/NewHere/Hint}} aria-label={{$:/language/Buttons/NewHere/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/new-here-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/NewHere/Caption}}/>\n</span>\n</$list>\n</$button>\n\\end\n<<newHereButton>>\n"
},
"$:/core/ui/Buttons/new-journal-here": {
"title": "$:/core/ui/Buttons/new-journal-here",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/new-journal-button}} {{$:/language/Buttons/NewJournalHere/Caption}}",
"description": "{{$:/language/Buttons/NewJournalHere/Hint}}",
"text": "\\whitespace trim\n\\define journalButtonTags()\n[[$(currentTiddlerTag)$]] $(journalTags)$\n\\end\n\\define journalButton()\n<$button tooltip={{$:/language/Buttons/NewJournalHere/Hint}} aria-label={{$:/language/Buttons/NewJournalHere/Caption}} class=<<tv-config-toolbar-class>>>\n<$wikify name=\"journalTitle\" text=\"\"\"<$macrocall $name=\"now\" format=<<journalTitleTemplate>>/>\"\"\">\n<$action-sendmessage $message=\"tm-new-tiddler\" title=<<journalTitle>> tags=<<journalButtonTags>>/>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/new-journal-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text={{$:/language/Buttons/NewJournalHere/Caption}}/>\n</span>\n</$list>\n</$wikify>\n</$button>\n\\end\n<$set name=\"journalTitleTemplate\" value={{$:/config/NewJournal/Title}}>\n<$set name=\"journalTags\" value={{$:/config/NewJournal/Tags}}>\n<$set name=\"currentTiddlerTag\" value=<<currentTiddler>>>\n<<journalButton>>\n</$set>\n</$set>\n</$set>\n"
},
"$:/core/ui/Buttons/open-window": {
"title": "$:/core/ui/Buttons/open-window",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/open-window}} {{$:/language/Buttons/OpenWindow/Caption}}",
"description": "{{$:/language/Buttons/OpenWindow/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-open-window\" tooltip={{$:/language/Buttons/OpenWindow/Hint}} aria-label={{$:/language/Buttons/OpenWindow/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/open-window}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/OpenWindow/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/permalink": {
"title": "$:/core/ui/Buttons/permalink",
"tags": "$:/tags/ViewToolbar",
"caption": "{{$:/core/images/permalink-button}} {{$:/language/Buttons/Permalink/Caption}}",
"description": "{{$:/language/Buttons/Permalink/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-permalink\" tooltip={{$:/language/Buttons/Permalink/Hint}} aria-label={{$:/language/Buttons/Permalink/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/permalink-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Permalink/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/core/ui/Buttons/permaview": {
"title": "$:/core/ui/Buttons/permaview",
"tags": "$:/tags/ViewToolbar $:/tags/PageControls",
"caption": "{{$:/core/images/permaview-button}} {{$:/language/Buttons/Permaview/Caption}}",
"description": "{{$:/language/Buttons/Permaview/Hint}}",
"text": "\\whitespace trim\n<$button message=\"tm-permaview\" tooltip={{$:/language/Buttons/Permaview/Hint}} aria-label={{$:/language/Buttons/Permaview/Caption}} class=<<tv-config-toolbar-class>>>\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/permaview-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\">\n<$text text=\" \"/>\n<$text text={{$:/language/Buttons/Permaview/Caption}}/>\n</span>\n</$list>\n</$button>"
},
"$:/DefaultTiddlers": {
"title": "$:/DefaultTiddlers",
"text": "GettingStarted\n"
},
"$:/temp/advancedsearch": {
"title": "$:/temp/advancedsearch",
"text": ""
},
"$:/snippets/allfields": {
"title": "$:/snippets/allfields",
"text": "\\define renderfield(title)\n<tr class=\"tc-view-field\"><td class=\"tc-view-field-name\">''$title$'':</td><td class=\"tc-view-field-value\">//{{$:/language/Docs/Fields/$title$}}//</td></tr>\n\\end\n<table class=\"tc-view-field-table\"><tbody><$list filter=\"[fields[]sort[title]]\" variable=\"listItem\"><$macrocall $name=\"renderfield\" title=<<listItem>>/></$list>\n</tbody></table>\n"
},
"$:/config/AnimationDuration": {
"title": "$:/config/AnimationDuration",
"text": "400"
},
"$:/config/AutoFocus": {
"title": "$:/config/AutoFocus",
"text": "title"
},
"$:/config/AutoSave": {
"title": "$:/config/AutoSave",
"text": "yes"
},
"$:/config/BitmapEditor/Colour": {
"title": "$:/config/BitmapEditor/Colour",
"text": "#444"
},
"$:/config/BitmapEditor/ImageSizes": {
"title": "$:/config/BitmapEditor/ImageSizes",
"text": "[[62px 100px]] [[100px 62px]] [[124px 200px]] [[200px 124px]] [[248px 400px]] [[371px 600px]] [[400px 248px]] [[556px 900px]] [[600px 371px]] [[742px 1200px]] [[900px 556px]] [[1200px 742px]]"
},
"$:/config/BitmapEditor/LineWidth": {
"title": "$:/config/BitmapEditor/LineWidth",
"text": "3px"
},
"$:/config/BitmapEditor/LineWidths": {
"title": "$:/config/BitmapEditor/LineWidths",
"text": "0.25px 0.5px 1px 2px 3px 4px 6px 8px 10px 16px 20px 28px 40px 56px 80px"
},
"$:/config/BitmapEditor/Opacities": {
"title": "$:/config/BitmapEditor/Opacities",
"text": "0.01 0.025 0.05 0.075 0.1 0.15 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0"
},
"$:/config/BitmapEditor/Opacity": {
"title": "$:/config/BitmapEditor/Opacity",
"text": "1.0"
},
"$:/config/DefaultMoreSidebarTab": {
"title": "$:/config/DefaultMoreSidebarTab",
"text": "$:/core/ui/MoreSideBar/Tags"
},
"$:/config/DefaultSidebarTab": {
"title": "$:/config/DefaultSidebarTab",
"text": "$:/core/ui/SideBar/Open"
},
"$:/config/DownloadSaver/AutoSave": {
"title": "$:/config/DownloadSaver/AutoSave",
"text": "no"
},
"$:/config/Drafts/TypingTimeout": {
"title": "$:/config/Drafts/TypingTimeout",
"text": "400"
},
"$:/config/EditMode/fieldname-filter": {
"title": "$:/config/EditMode/fieldname-filter",
"first-search-filter": "[!is[shadow]!is[system]fields[]search:title<userInput>sort[]] -created -creator -draft.of -draft.title -modified -modifier -tags -text -title -type",
"second-search-filter": "[fields[]search:title<userInput>sort[]] -[!is[shadow]!is[system]fields[]]"
},
"$:/config/EditTabIndex": {
"title": "$:/config/EditTabIndex",
"text": "1\n"
},
"$:/config/EditTemplateFields/Visibility/title": {
"title": "$:/config/EditTemplateFields/Visibility/title",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/tags": {
"title": "$:/config/EditTemplateFields/Visibility/tags",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/text": {
"title": "$:/config/EditTemplateFields/Visibility/text",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/creator": {
"title": "$:/config/EditTemplateFields/Visibility/creator",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/created": {
"title": "$:/config/EditTemplateFields/Visibility/created",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/modified": {
"title": "$:/config/EditTemplateFields/Visibility/modified",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/modifier": {
"title": "$:/config/EditTemplateFields/Visibility/modifier",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/type": {
"title": "$:/config/EditTemplateFields/Visibility/type",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/draft.title": {
"title": "$:/config/EditTemplateFields/Visibility/draft.title",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/draft.of": {
"title": "$:/config/EditTemplateFields/Visibility/draft.of",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/revision": {
"title": "$:/config/EditTemplateFields/Visibility/revision",
"text": "hide"
},
"$:/config/EditTemplateFields/Visibility/bag": {
"title": "$:/config/EditTemplateFields/Visibility/bag",
"text": "hide"
},
"$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-4": {
"title": "$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-4",
"text": "hide"
},
"$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-5": {
"title": "$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-5",
"text": "hide"
},
"$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-6": {
"title": "$:/config/EditorToolbarButtons/Visibility/$:/core/ui/EditorToolbar/heading-6",
"text": "hide"
},
"$:/config/EditorTypeMappings/image/gif": {
"title": "$:/config/EditorTypeMappings/image/gif",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/webp": {
"title": "$:/config/EditorTypeMappings/image/webp",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/heic": {
"title": "$:/config/EditorTypeMappings/image/heic",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/heif": {
"title": "$:/config/EditorTypeMappings/image/heif",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/jpeg": {
"title": "$:/config/EditorTypeMappings/image/jpeg",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/jpg": {
"title": "$:/config/EditorTypeMappings/image/jpg",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/png": {
"title": "$:/config/EditorTypeMappings/image/png",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/image/x-icon": {
"title": "$:/config/EditorTypeMappings/image/x-icon",
"text": "bitmap"
},
"$:/config/EditorTypeMappings/text/vnd.tiddlywiki": {
"title": "$:/config/EditorTypeMappings/text/vnd.tiddlywiki",
"text": "text"
},
"$:/config/Manager/Show": {
"title": "$:/config/Manager/Show",
"text": "tiddlers"
},
"$:/config/Manager/Filter": {
"title": "$:/config/Manager/Filter",
"text": ""
},
"$:/config/Manager/Order": {
"title": "$:/config/Manager/Order",
"text": "forward"
},
"$:/config/Manager/Sort": {
"title": "$:/config/Manager/Sort",
"text": "title"
},
"$:/config/Manager/System": {
"title": "$:/config/Manager/System",
"text": "system"
},
"$:/config/Manager/Tag": {
"title": "$:/config/Manager/Tag",
"text": ""
},
"$:/state/popup/manager/item/$:/Manager/ItemMain/RawText": {
"title": "$:/state/popup/manager/item/$:/Manager/ItemMain/RawText",
"text": "hide"
},
"$:/config/MissingLinks": {
"title": "$:/config/MissingLinks",
"text": "yes"
},
"$:/config/Navigation/UpdateAddressBar": {
"title": "$:/config/Navigation/UpdateAddressBar",
"text": "no"
},
"$:/config/Navigation/UpdateHistory": {
"title": "$:/config/Navigation/UpdateHistory",
"text": "no"
},
"$:/config/NewImageType": {
"title": "$:/config/NewImageType",
"text": "jpeg"
},
"$:/config/OfficialPluginLibrary": {
"title": "$:/config/OfficialPluginLibrary",
"tags": "$:/tags/PluginLibrary",
"url": "https://tiddlywiki.com/library/v5.1.24/index.html",
"caption": "{{$:/language/OfficialPluginLibrary}}",
"text": "{{$:/language/OfficialPluginLibrary/Hint}}\n"
},
"$:/config/Navigation/openLinkFromInsideRiver": {
"title": "$:/config/Navigation/openLinkFromInsideRiver",
"text": "below"
},
"$:/config/Navigation/openLinkFromOutsideRiver": {
"title": "$:/config/Navigation/openLinkFromOutsideRiver",
"text": "top"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/advanced-search": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/advanced-search",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/close-all": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/close-all",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/encryption": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/encryption",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/export-page": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/export-page",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/fold-all": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/fold-all",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/full-screen": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/full-screen",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/home": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/home",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/refresh": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/refresh",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/import": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/import",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/language": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/language",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/tag-manager": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/tag-manager",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/manager": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/manager",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/more-page-actions": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/more-page-actions",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-journal": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-journal",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-image": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/new-image",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/palette": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/palette",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/permaview": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/permaview",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/print": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/print",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/storyview": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/storyview",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/timestamp": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/timestamp",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/theme": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/theme",
"text": "hide"
},
"$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/unfold-all": {
"title": "$:/config/PageControlButtons/Visibility/$:/core/ui/Buttons/unfold-all",
"text": "hide"
},
"$:/config/Performance/Instrumentation": {
"title": "$:/config/Performance/Instrumentation",
"text": "no"
},
"$:/config/RegisterPluginType/plugin": {
"title": "$:/config/RegisterPluginType/plugin",
"text": "yes"
},
"$:/config/RegisterPluginType/theme": {
"title": "$:/config/RegisterPluginType/theme",
"text": "no"
},
"$:/config/RegisterPluginType/language": {
"title": "$:/config/RegisterPluginType/language",
"text": "no"
},
"$:/config/RegisterPluginType/info": {
"title": "$:/config/RegisterPluginType/info",
"text": "yes"
},
"$:/config/RegisterPluginType/import": {
"title": "$:/config/RegisterPluginType/import",
"text": "no"
},
"$:/config/SaveWikiButton/Template": {
"title": "$:/config/SaveWikiButton/Template",
"text": "$:/core/save/all"
},
"$:/config/SaverFilter": {
"title": "$:/config/SaverFilter",
"text": "[all[]] -[prefix[$:/HistoryList]] -[prefix[$:/StoryList]] -[status[pending]plugin-type[import]] -[[$:/isEncrypted]] -[[$:/UploadName]] -[prefix[$:/state/]] -[prefix[$:/temp/]]\n"
},
"$:/config/Search/AutoFocus": {
"title": "$:/config/Search/AutoFocus",
"text": "true"
},
"$:/config/Search/MinLength": {
"title": "$:/config/Search/MinLength",
"text": "3"
},
"$:/config/SearchResults/Default": {
"title": "$:/config/SearchResults/Default",
"text": "$:/core/ui/DefaultSearchResultList"
},
"$:/config/Server/ExternalFilters/[all[tiddlers]!is[system]sort[title]]": {
"title": "$:/config/Server/ExternalFilters/[all[tiddlers]!is[system]sort[title]]",
"text": "yes"
},
"$:/config/ShortcutInfo/add-field": {
"title": "$:/config/ShortcutInfo/add-field",
"text": "{{$:/language/EditTemplate/Fields/Add/Button/Hint}}"
},
"$:/config/ShortcutInfo/advanced-search": {
"title": "$:/config/ShortcutInfo/advanced-search",
"text": "{{$:/language/Buttons/AdvancedSearch/Hint}}"
},
"$:/config/ShortcutInfo/advanced-search-sidebar": {
"title": "$:/config/ShortcutInfo/advanced-search-sidebar",
"text": "{{$:/language/Shortcuts/Input/AdvancedSearch/Hint}}"
},
"$:/config/ShortcutInfo/bold": {
"title": "$:/config/ShortcutInfo/bold",
"text": "{{$:/language/Buttons/Bold/Hint}}"
},
"$:/config/ShortcutInfo/cancel-edit-tiddler": {
"title": "$:/config/ShortcutInfo/cancel-edit-tiddler",
"text": "{{$:/language/Buttons/Cancel/Hint}}"
},
"$:/config/ShortcutInfo/change-sidebar-layout": {
"title": "$:/config/ShortcutInfo/change-sidebar-layout",
"text": "{{$:/language/Shortcuts/SidebarLayout/Hint}}"
},
"$:/config/ShortcutInfo/delete-field": {
"title": "$:/config/ShortcutInfo/delete-field",
"text": "{{$:/language/EditTemplate/Field/Remove/Hint}}"
},
"$:/config/ShortcutInfo/excise": {
"title": "$:/config/ShortcutInfo/excise",
"text": "{{$:/language/Buttons/Excise/Hint}}"
},
"$:/config/ShortcutInfo/heading-1": {
"title": "$:/config/ShortcutInfo/heading-1",
"text": "{{$:/language/Buttons/Heading1/Hint}}"
},
"$:/config/ShortcutInfo/heading-2": {
"title": "$:/config/ShortcutInfo/heading-2",
"text": "{{$:/language/Buttons/Heading2/Hint}}"
},
"$:/config/ShortcutInfo/heading-3": {
"title": "$:/config/ShortcutInfo/heading-3",
"text": "{{$:/language/Buttons/Heading3/Hint}}"
},
"$:/config/ShortcutInfo/heading-4": {
"title": "$:/config/ShortcutInfo/heading-4",
"text": "{{$:/language/Buttons/Heading4/Hint}}"
},
"$:/config/ShortcutInfo/heading-5": {
"title": "$:/config/ShortcutInfo/heading-5",
"text": "{{$:/language/Buttons/Heading5/Hint}}"
},
"$:/config/ShortcutInfo/heading-6": {
"title": "$:/config/ShortcutInfo/heading-6",
"text": "{{$:/language/Buttons/Heading6/Hint}}"
},
"$:/config/ShortcutInfo/input-accept": {
"title": "$:/config/ShortcutInfo/input-accept",
"text": "{{$:/language/Shortcuts/Input/Accept/Hint}}"
},
"$:/config/ShortcutInfo/input-accept-variant": {
"title": "$:/config/ShortcutInfo/input-accept-variant",
"text": "{{$:/language/Shortcuts/Input/AcceptVariant/Hint}}"
},
"$:/config/ShortcutInfo/input-cancel": {
"title": "$:/config/ShortcutInfo/input-cancel",
"text": "{{$:/language/Shortcuts/Input/Cancel/Hint}}"
},
"$:/config/ShortcutInfo/input-down": {
"title": "$:/config/ShortcutInfo/input-down",
"text": "{{$:/language/Shortcuts/Input/Down/Hint}}"
},
"$:/config/ShortcutInfo/input-tab-left": {
"title": "$:/config/ShortcutInfo/input-tab-left",
"text": "{{$:/language/Shortcuts/Input/Tab-Left/Hint}}"
},
"$:/config/ShortcutInfo/input-tab-right": {
"title": "$:/config/ShortcutInfo/input-tab-right",
"text": "{{$:/language/Shortcuts/Input/Tab-Right/Hint}}"
},
"$:/config/ShortcutInfo/input-up": {
"title": "$:/config/ShortcutInfo/input-up",
"text": "{{$:/language/Shortcuts/Input/Up/Hint}}"
},
"$:/config/ShortcutInfo/italic": {
"title": "$:/config/ShortcutInfo/italic",
"text": "{{$:/language/Buttons/Italic/Hint}}"
},
"$:/config/ShortcutInfo/layout-switcher": {
"title": "$:/config/ShortcutInfo/layout-switcher",
"text": "{{$:/language/LayoutSwitcher/Description}}"
},
"$:/config/ShortcutInfo/link": {
"title": "$:/config/ShortcutInfo/link",
"text": "{{$:/language/Buttons/Link/Hint}}"
},
"$:/config/ShortcutInfo/linkify": {
"title": "$:/config/ShortcutInfo/linkify",
"text": "{{$:/language/Buttons/Linkify/Hint}}"
},
"$:/config/ShortcutInfo/list-bullet": {
"title": "$:/config/ShortcutInfo/list-bullet",
"text": "{{$:/language/Buttons/ListBullet/Hint}}"
},
"$:/config/ShortcutInfo/list-number": {
"title": "$:/config/ShortcutInfo/list-number",
"text": "{{$:/language/Buttons/ListNumber/Hint}}"
},
"$:/config/ShortcutInfo/mono-block": {
"title": "$:/config/ShortcutInfo/mono-block",
"text": "{{$:/language/Buttons/MonoBlock/Hint}}"
},
"$:/config/ShortcutInfo/mono-line": {
"title": "$:/config/ShortcutInfo/mono-line",
"text": "{{$:/language/Buttons/MonoLine/Hint}}"
},
"$:/config/ShortcutInfo/new-image": {
"title": "$:/config/ShortcutInfo/new-image",
"text": "{{$:/language/Buttons/NewImage/Hint}}"
},
"$:/config/ShortcutInfo/new-journal": {
"title": "$:/config/ShortcutInfo/new-journal",
"text": "{{$:/language/Buttons/NewJournal/Hint}}"
},
"$:/config/ShortcutInfo/new-tiddler": {
"title": "$:/config/ShortcutInfo/new-tiddler",
"text": "{{$:/language/Buttons/NewTiddler/Hint}}"
},
"$:/config/ShortcutInfo/picture": {
"title": "$:/config/ShortcutInfo/picture",
"text": "{{$:/language/Buttons/Picture/Hint}}"
},
"$:/config/ShortcutInfo/preview": {
"title": "$:/config/ShortcutInfo/preview",
"text": "{{$:/language/Buttons/Preview/Hint}}"
},
"$:/config/ShortcutInfo/quote": {
"title": "$:/config/ShortcutInfo/quote",
"text": "{{$:/language/Buttons/Quote/Hint}}"
},
"$:/config/ShortcutInfo/save-tiddler": {
"title": "$:/config/ShortcutInfo/save-tiddler",
"text": "{{$:/language/Buttons/Save/Hint}}"
},
"$:/config/ShortcutInfo/save-wiki": {
"title": "$:/config/ShortcutInfo/save-wiki",
"text": "{{$:/language/Buttons/SaveWiki/Hint}}"
},
"$:/config/ShortcutInfo/sidebar-search": {
"title": "$:/config/ShortcutInfo/sidebar-search",
"text": "{{$:/language/Buttons/SidebarSearch/Hint}}"
},
"$:/config/ShortcutInfo/stamp": {
"title": "$:/config/ShortcutInfo/stamp",
"text": "{{$:/language/Buttons/Stamp/Hint}}"
},
"$:/config/ShortcutInfo/strikethrough": {
"title": "$:/config/ShortcutInfo/strikethrough",
"text": "{{$:/language/Buttons/Strikethrough/Hint}}"
},
"$:/config/ShortcutInfo/subscript": {
"title": "$:/config/ShortcutInfo/subscript",
"text": "{{$:/language/Buttons/Subscript/Hint}}"
},
"$:/config/ShortcutInfo/superscript": {
"title": "$:/config/ShortcutInfo/superscript",
"text": "{{$:/language/Buttons/Superscript/Hint}}"
},
"$:/config/ShortcutInfo/toggle-sidebar": {
"title": "$:/config/ShortcutInfo/toggle-sidebar",
"text": "{{$:/language/Buttons/ToggleSidebar/Hint}}"
},
"$:/config/ShortcutInfo/transcludify": {
"title": "$:/config/ShortcutInfo/transcludify",
"text": "{{$:/language/Buttons/Transcludify/Hint}}"
},
"$:/config/ShortcutInfo/underline": {
"title": "$:/config/ShortcutInfo/underline",
"text": "{{$:/language/Buttons/Underline/Hint}}"
},
"$:/config/SwitcherTargets/layout": {
"title": "$:/config/SwitcherTargets/layout",
"text": "$:/snippets/LayoutSwitcher"
},
"$:/config/SwitcherTargets/language": {
"title": "$:/config/SwitcherTargets/language",
"text": "$:/snippets/languageswitcher"
},
"$:/config/SwitcherTargets/palette": {
"title": "$:/config/SwitcherTargets/palette",
"text": "$:/core/ui/ControlPanel/Palette"
},
"$:/config/SwitcherTargets/theme": {
"title": "$:/config/SwitcherTargets/theme",
"text": "$:/core/ui/ControlPanel/Theme"
},
"$:/config/SyncFilter": {
"title": "$:/config/SyncFilter",
"text": "[is[tiddler]] -[[$:/core]] -[[$:/library/sjcl.js]] -[prefix[$:/boot/]] -[prefix[$:/HistoryList]] -[status[pending]plugin-type[import]] -[[$:/isEncrypted]] -[prefix[$:/status/]] -[prefix[$:/state/]] -[prefix[$:/temp/]]\n"
},
"$:/config/SyncSystemTiddlersFromServer": {
"title": "$:/config/SyncSystemTiddlersFromServer",
"text": "no"
},
"$:/config/Tags/MinLength": {
"title": "$:/config/Tags/MinLength",
"text": "0"
},
"$:/config/TextEditor/EditorHeight/Height": {
"title": "$:/config/TextEditor/EditorHeight/Height",
"text": "400px"
},
"$:/config/TextEditor/EditorHeight/Mode": {
"title": "$:/config/TextEditor/EditorHeight/Mode",
"text": "auto"
},
"$:/config/TiddlerInfo/Default": {
"title": "$:/config/TiddlerInfo/Default",
"text": "$:/core/ui/TiddlerInfo/Fields"
},
"$:/config/TiddlerInfo/Mode": {
"title": "$:/config/TiddlerInfo/Mode",
"text": "popup"
},
"$:/config/Tiddlers/TitleLinks": {
"title": "$:/config/Tiddlers/TitleLinks",
"text": "no"
},
"$:/config/Toolbar/ButtonClass": {
"title": "$:/config/Toolbar/ButtonClass",
"text": "tc-btn-invisible"
},
"$:/config/Toolbar/Icons": {
"title": "$:/config/Toolbar/Icons",
"text": "yes"
},
"$:/config/Toolbar/Text": {
"title": "$:/config/Toolbar/Text",
"text": "no"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/clone": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/clone",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/close-others": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/close-others",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/export-tiddler": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/export-tiddler",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/info": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/info",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/more-tiddler-actions": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/more-tiddler-actions",
"text": "show"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-here": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-here",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-journal-here": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/new-journal-here",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/open-window": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/open-window",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permalink": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permalink",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permaview": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/permaview",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/delete": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/delete",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-bar": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-bar",
"text": "hide"
},
"$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-others": {
"title": "$:/config/ViewToolbarButtons/Visibility/$:/core/ui/Buttons/fold-others",
"text": "hide"
},
"$:/config/shortcuts-mac/bold": {
"title": "$:/config/shortcuts-mac/bold",
"text": "meta-B"
},
"$:/config/shortcuts-mac/input-tab-left": {
"title": "$:/config/shortcuts-mac/input-tab-left",
"text": "ctrl-Left"
},
"$:/config/shortcuts-mac/input-tab-right": {
"title": "$:/config/shortcuts-mac/input-tab-right",
"text": "ctrl-Right"
},
"$:/config/shortcuts-mac/italic": {
"title": "$:/config/shortcuts-mac/italic",
"text": "meta-I"
},
"$:/config/shortcuts-mac/underline": {
"title": "$:/config/shortcuts-mac/underline",
"text": "meta-U"
},
"$:/config/shortcuts-mac/new-image": {
"title": "$:/config/shortcuts-mac/new-image",
"text": "ctrl-I"
},
"$:/config/shortcuts-mac/new-journal": {
"title": "$:/config/shortcuts-mac/new-journal",
"text": "ctrl-J"
},
"$:/config/shortcuts-mac/new-tiddler": {
"title": "$:/config/shortcuts-mac/new-tiddler",
"text": "ctrl-N"
},
"$:/config/shortcuts-mac/save-wiki": {
"title": "$:/config/shortcuts-mac/save-wiki",
"text": "meta-S"
},
"$:/config/shortcuts-not-mac/bold": {
"title": "$:/config/shortcuts-not-mac/bold",
"text": "ctrl-B"
},
"$:/config/shortcuts-not-mac/italic": {
"title": "$:/config/shortcuts-not-mac/italic",
"text": "ctrl-I"
},
"$:/config/shortcuts-not-mac/underline": {
"title": "$:/config/shortcuts-not-mac/underline",
"text": "ctrl-U"
},
"$:/config/shortcuts-not-mac/new-image": {
"title": "$:/config/shortcuts-not-mac/new-image",
"text": "alt-I"
},
"$:/config/shortcuts-not-mac/new-journal": {
"title": "$:/config/shortcuts-not-mac/new-journal",
"text": "alt-J"
},
"$:/config/shortcuts-not-mac/new-tiddler": {
"title": "$:/config/shortcuts-not-mac/new-tiddler",
"text": "alt-N"
},
"$:/config/shortcuts/add-field": {
"title": "$:/config/shortcuts/add-field",
"text": "enter"
},
"$:/config/shortcuts/advanced-search": {
"title": "$:/config/shortcuts/advanced-search",
"text": "ctrl-shift-A"
},
"$:/config/shortcuts/advanced-search-sidebar": {
"title": "$:/config/shortcuts/advanced-search-sidebar",
"text": "alt-Enter"
},
"$:/config/shortcuts/cancel-edit-tiddler": {
"title": "$:/config/shortcuts/cancel-edit-tiddler",
"text": "escape"
},
"$:/config/shortcuts/change-sidebar-layout": {
"title": "$:/config/shortcuts/change-sidebar-layout",
"text": "shift-alt-Down"
},
"$:/config/shortcuts/delete-field": {
"title": "$:/config/shortcuts/delete-field",
"text": "shift-alt-D"
},
"$:/config/shortcuts/excise": {
"title": "$:/config/shortcuts/excise",
"text": "ctrl-E"
},
"$:/config/shortcuts/sidebar-search": {
"title": "$:/config/shortcuts/sidebar-search",
"text": "ctrl-shift-F"
},
"$:/config/shortcuts/heading-1": {
"title": "$:/config/shortcuts/heading-1",
"text": "ctrl-1"
},
"$:/config/shortcuts/heading-2": {
"title": "$:/config/shortcuts/heading-2",
"text": "ctrl-2"
},
"$:/config/shortcuts/heading-3": {
"title": "$:/config/shortcuts/heading-3",
"text": "ctrl-3"
},
"$:/config/shortcuts/heading-4": {
"title": "$:/config/shortcuts/heading-4",
"text": "ctrl-4"
},
"$:/config/shortcuts/heading-5": {
"title": "$:/config/shortcuts/heading-5",
"text": "ctrl-5"
},
"$:/config/shortcuts/heading-6": {
"title": "$:/config/shortcuts/heading-6",
"text": "ctrl-6"
},
"$:/config/shortcuts/input-accept": {
"title": "$:/config/shortcuts/input-accept",
"text": "Enter"
},
"$:/config/shortcuts/input-accept-variant": {
"title": "$:/config/shortcuts/input-accept-variant",
"text": "ctrl-Enter"
},
"$:/config/shortcuts/input-cancel": {
"title": "$:/config/shortcuts/input-cancel",
"text": "Escape"
},
"$:/config/shortcuts/input-down": {
"title": "$:/config/shortcuts/input-down",
"text": "Down"
},
"$:/config/shortcuts/input-tab-left": {
"title": "$:/config/shortcuts/input-tab-left",
"text": "alt-Left"
},
"$:/config/shortcuts/input-tab-right": {
"title": "$:/config/shortcuts/input-tab-right",
"text": "alt-Right"
},
"$:/config/shortcuts/input-up": {
"title": "$:/config/shortcuts/input-up",
"text": "Up"
},
"$:/config/shortcuts/layout-switcher": {
"title": "$:/config/shortcuts/layout-switcher",
"text": "ctrl-shift-L"
},
"$:/config/shortcuts/link": {
"title": "$:/config/shortcuts/link",
"text": "ctrl-L"
},
"$:/config/shortcuts/linkify": {
"title": "$:/config/shortcuts/linkify",
"text": "alt-shift-L"
},
"$:/config/shortcuts/list-bullet": {
"title": "$:/config/shortcuts/list-bullet",
"text": "ctrl-shift-L"
},
"$:/config/shortcuts/list-number": {
"title": "$:/config/shortcuts/list-number",
"text": "ctrl-shift-N"
},
"$:/config/shortcuts/mono-block": {
"title": "$:/config/shortcuts/mono-block",
"text": "ctrl-shift-M"
},
"$:/config/shortcuts/mono-line": {
"title": "$:/config/shortcuts/mono-line",
"text": "ctrl-M"
},
"$:/config/shortcuts/picture": {
"title": "$:/config/shortcuts/picture",
"text": "ctrl-shift-I"
},
"$:/config/shortcuts/preview": {
"title": "$:/config/shortcuts/preview",
"text": "alt-P"
},
"$:/config/shortcuts/quote": {
"title": "$:/config/shortcuts/quote",
"text": "ctrl-Q"
},
"$:/config/shortcuts/save-tiddler": {
"title": "$:/config/shortcuts/save-tiddler",
"text": "ctrl+enter"
},
"$:/config/shortcuts/save-wiki": {
"title": "$:/config/shortcuts/save-wiki",
"text": "ctrl-S"
},
"$:/config/shortcuts/stamp": {
"title": "$:/config/shortcuts/stamp",
"text": "ctrl-S"
},
"$:/config/shortcuts/strikethrough": {
"title": "$:/config/shortcuts/strikethrough",
"text": "ctrl-T"
},
"$:/config/shortcuts/subscript": {
"title": "$:/config/shortcuts/subscript",
"text": "ctrl-shift-B"
},
"$:/config/shortcuts/superscript": {
"title": "$:/config/shortcuts/superscript",
"text": "ctrl-shift-P"
},
"$:/config/shortcuts/toggle-sidebar": {
"title": "$:/config/shortcuts/toggle-sidebar",
"text": "alt-shift-S"
},
"$:/config/shortcuts/transcludify": {
"title": "$:/config/shortcuts/transcludify",
"text": "alt-shift-T"
},
"$:/config/ui/EditTemplate": {
"title": "$:/config/ui/EditTemplate",
"text": "$:/core/ui/EditTemplate"
},
"$:/config/ui/ViewTemplate": {
"title": "$:/config/ui/ViewTemplate",
"text": "$:/core/ui/ViewTemplate"
},
"$:/config/WikiParserRules/Inline/wikilink": {
"title": "$:/config/WikiParserRules/Inline/wikilink",
"text": "enable"
},
"$:/snippets/currpalettepreview": {
"title": "$:/snippets/currpalettepreview",
"text": "\\define resolve-colour(macrocall)\n\\import $:/core/macros/utils\n\\whitespace trim\n<$wikify name=\"name\" text=\"\"\"$macrocall$\"\"\">\n<<name>>\n</$wikify>\n\\end\n\\define swatchStyle()\nbackground-color: $(swatchColour)$;\n\\end\n\\define swatch-inner()\n<$set name=\"swatchColour\" value={{##$(colourResolved)$}}>\n<$list filter=\"[<swatchColour>!prefix[<<colour ]!suffix[>>]]\" variable=\"ignore\">\n<div class=\"tc-swatch\" style=<<swatchStyle>> title=<<swatchTitle>>/>\n</$list>\n<$list filter=\"[<swatchColour>prefix[<<colour ]suffix[>>]]\" variable=\"ignore\">\n<$wikify name=\"colourResolved\" text=\"\"\"<$macrocall $name=\"resolve-colour\" macrocall=<<swatchColour>>/>\"\"\">\n<<swatch-inner>>\n</$wikify>\n</$list>\n</$set>\n\\end\n\\define swatch()\n<$set name=\"swatchColour\" value={{##$(colour)$}}>\n<$set name=\"swatchTitle\" value=<<colour>>>\n<$list filter=\"[<swatchColour>!prefix[<<colour ]!suffix[>>]]\" variable=\"ignore\">\n<div class=\"tc-swatch\" style=<<swatchStyle>> title=<<swatchTitle>>/>\n</$list>\n<$list filter=\"[<swatchColour>prefix[<<colour ]suffix[>>]]\" variable=\"ignore\">\n<$wikify name=\"colourResolved\" text=\"\"\"<$macrocall $name=\"resolve-colour\" macrocall=<<swatchColour>>/>\"\"\">\n<<swatch-inner>>\n</$wikify>\n</$list>\n</$set>\n</$set>\n\\end\n<div class=\"tc-swatches-horiz\"><$list filter=\"\nforeground\nbackground\nmuted-foreground\nprimary\npage-background\ntab-background\ntiddler-info-background\n\" variable=\"colour\"><<swatch>></$list></div>\n"
},
"$:/snippets/download-wiki-button": {
"title": "$:/snippets/download-wiki-button",
"text": "\\define lingo-base() $:/language/ControlPanel/Tools/Download/\n<$button class=\"tc-btn-big-green\">\n<$action-sendmessage $message=\"tm-download-file\" $param=\"$:/core/save/all\" filename=\"index.html\"/>\n<<lingo Full/Caption>> {{$:/core/images/save-button}}\n</$button>"
},
"$:/language": {
"title": "$:/language",
"text": "$:/languages/en-GB"
},
"$:/snippets/languageswitcher": {
"title": "$:/snippets/languageswitcher",
"text": "\\define flag-title()\n$(languagePluginTitle)$/icon\n\\end\n\n<$linkcatcher to=\"$:/language\">\n<div class=\"tc-chooser tc-language-chooser\">\n<$list filter=\"[[$:/languages/en-GB]] [plugin-type[language]sort[description]]\">\n<$set name=\"cls\" filter=\"[all[current]field:title{$:/language}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>>\n<$link>\n<span class=\"tc-image-button\">\n<$set name=\"languagePluginTitle\" value=<<currentTiddler>>>\n<$transclude subtiddler=<<flag-title>>>\n<$list filter=\"[all[current]field:title[$:/languages/en-GB]]\">\n<$transclude tiddler=\"$:/languages/en-GB/icon\"/>\n</$list>\n</$transclude>\n</$set>\n</span>\n<$view field=\"description\">\n<$view field=\"name\">\n<$view field=\"title\"/>\n</$view>\n</$view>\n</$link>\n</div>\n</$set>\n</$list>\n</div>\n</$linkcatcher>"
},
"$:/core/macros/CSS": {
"title": "$:/core/macros/CSS",
"tags": "$:/tags/Macro",
"text": "\\define colour(name)\n<$transclude tiddler={{$:/palette}} index=\"$name$\"><$transclude tiddler=\"$:/palettes/Vanilla\" index=\"$name$\"><$transclude tiddler=\"$:/config/DefaultColourMappings/$name$\"/></$transclude></$transclude>\n\\end\n\n\\define color(name)\n<<colour $name$>>\n\\end\n\n\\define box-shadow(shadow)\n``\n -webkit-box-shadow: $shadow$;\n -moz-box-shadow: $shadow$;\n box-shadow: $shadow$;\n``\n\\end\n\n\\define filter(filter)\n``\n -webkit-filter: $filter$;\n -moz-filter: $filter$;\n filter: $filter$;\n``\n\\end\n\n\\define transition(transition)\n``\n -webkit-transition: $transition$;\n -moz-transition: $transition$;\n transition: $transition$;\n``\n\\end\n\n\\define transform-origin(origin)\n``\n -webkit-transform-origin: $origin$;\n -moz-transform-origin: $origin$;\n transform-origin: $origin$;\n``\n\\end\n\n\\define background-linear-gradient(gradient)\n``\nbackground-image: linear-gradient($gradient$);\nbackground-image: -o-linear-gradient($gradient$);\nbackground-image: -moz-linear-gradient($gradient$);\nbackground-image: -webkit-linear-gradient($gradient$);\nbackground-image: -ms-linear-gradient($gradient$);\n``\n\\end\n\n\\define column-count(columns)\n``\n-moz-column-count: $columns$;\n-webkit-column-count: $columns$;\ncolumn-count: $columns$;\n``\n\\end\n\n\\define datauri(title)\n<$macrocall $name=\"makedatauri\" type={{$title$!!type}} text={{$title$}} _canonical_uri={{$title$!!_canonical_uri}}/>\n\\end\n\n\\define if-sidebar(text)\n<$reveal state=\"$:/state/sidebar\" type=\"match\" text=\"yes\" default=\"yes\">$text$</$reveal>\n\\end\n\n\\define if-no-sidebar(text)\n<$reveal state=\"$:/state/sidebar\" type=\"nomatch\" text=\"yes\" default=\"yes\">$text$</$reveal>\n\\end\n\n\\define if-background-attachment(text)\n<$reveal state=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\" type=\"nomatch\" text=\"\">$text$</$reveal>\n\\end\n"
},
"$:/core/macros/colour-picker": {
"title": "$:/core/macros/colour-picker",
"tags": "$:/tags/Macro",
"text": "\\define colour-picker-update-recent()\n<$action-listops\n\t$tiddler=\"$:/config/ColourPicker/Recent\"\n\t$subfilter=\"$(colour-picker-value)$ [list[$:/config/ColourPicker/Recent]remove[$(colour-picker-value)$]] +[limit[8]]\"\n/>\n\\end\n\n\\define colour-picker-inner(actions)\n<$button tag=\"a\" tooltip=\"\"\"$(colour-picker-value)$\"\"\">\n\n$(colour-picker-update-recent)$\n\n$actions$\n\n<span style=\"display:inline-block; background-color: $(colour-picker-value)$; width: 100%; height: 100%; border-radius: 50%;\"/>\n\n</$button>\n\\end\n\n\\define colour-picker-recent-inner(actions)\n<$set name=\"colour-picker-value\" value=\"$(recentColour)$\">\n<$macrocall $name=\"colour-picker-inner\" actions=\"\"\"$actions$\"\"\"/>\n</$set>\n\\end\n\n\\define colour-picker-recent(actions)\n{{$:/language/ColourPicker/Recent}} <$list filter=\"[list[$:/config/ColourPicker/Recent]]\" variable=\"recentColour\">\n<$macrocall $name=\"colour-picker-recent-inner\" actions=\"\"\"$actions$\"\"\"/></$list>\n\\end\n\n\\define colour-picker(actions)\n<div class=\"tc-colour-chooser\">\n\n<$macrocall $name=\"colour-picker-recent\" actions=\"\"\"$actions$\"\"\"/>\n\n---\n\n<$list filter=\"LightPink Pink Crimson LavenderBlush PaleVioletRed HotPink DeepPink MediumVioletRed Orchid Thistle Plum Violet Magenta Fuchsia DarkMagenta Purple MediumOrchid DarkViolet DarkOrchid Indigo BlueViolet MediumPurple MediumSlateBlue SlateBlue DarkSlateBlue Lavender GhostWhite Blue MediumBlue MidnightBlue DarkBlue Navy RoyalBlue CornflowerBlue LightSteelBlue LightSlateGrey SlateGrey DodgerBlue AliceBlue SteelBlue LightSkyBlue SkyBlue DeepSkyBlue LightBlue PowderBlue CadetBlue Azure LightCyan PaleTurquoise Cyan Aqua DarkTurquoise DarkSlateGrey DarkCyan Teal MediumTurquoise LightSeaGreen Turquoise Aquamarine MediumAquamarine MediumSpringGreen MintCream SpringGreen MediumSeaGreen SeaGreen Honeydew LightGreen PaleGreen DarkSeaGreen LimeGreen Lime ForestGreen Green DarkGreen Chartreuse LawnGreen GreenYellow DarkOliveGreen YellowGreen OliveDrab Beige LightGoldenrodYellow Ivory LightYellow Yellow Olive DarkKhaki LemonChiffon PaleGoldenrod Khaki Gold Cornsilk Goldenrod DarkGoldenrod FloralWhite OldLace Wheat Moccasin Orange PapayaWhip BlanchedAlmond NavajoWhite AntiqueWhite Tan BurlyWood Bisque DarkOrange Linen Peru PeachPuff SandyBrown Chocolate SaddleBrown Seashell Sienna LightSalmon Coral OrangeRed DarkSalmon Tomato MistyRose Salmon Snow LightCoral RosyBrown IndianRed Red Brown FireBrick DarkRed Maroon White WhiteSmoke Gainsboro LightGrey Silver DarkGrey Grey DimGrey Black\" variable=\"colour-picker-value\">\n<$macrocall $name=\"colour-picker-inner\" actions=\"\"\"$actions$\"\"\"/>\n</$list>\n\n---\n\n<$edit-text tiddler=\"$:/config/ColourPicker/New\" tag=\"input\" default=\"\" placeholder=\"\"/>\n<$edit-text tiddler=\"$:/config/ColourPicker/New\" type=\"color\" tag=\"input\"/>\n<$set name=\"colour-picker-value\" value={{$:/config/ColourPicker/New}}>\n<$macrocall $name=\"colour-picker-inner\" actions=\"\"\"$actions$\"\"\"/>\n</$set>\n\n</div>\n\n\\end\n"
},
"$:/core/macros/copy-to-clipboard": {
"title": "$:/core/macros/copy-to-clipboard",
"tags": "$:/tags/Macro",
"text": "\\define copy-to-clipboard(src,class:\"tc-btn-invisible\",style)\n<$button class=<<__class__>> style=<<__style__>> message=\"tm-copy-to-clipboard\" param=<<__src__>> tooltip={{$:/language/Buttons/CopyToClipboard/Hint}}>\n{{$:/core/images/copy-clipboard}} <$text text={{$:/language/Buttons/CopyToClipboard/Caption}}/>\n</$button>\n\\end\n\n\\define copy-to-clipboard-above-right(src,class:\"tc-btn-invisible\",style)\n<div style=\"position: relative;\">\n<div style=\"position: absolute; bottom: 0; right: 0;\">\n<$macrocall $name=\"copy-to-clipboard\" src=<<__src__>> class=<<__class__>> style=<<__style__>>/>\n</div>\n</div>\n\\end\n\n"
},
"$:/core/macros/diff": {
"title": "$:/core/macros/diff",
"tags": "$:/tags/Macro",
"text": "\\define compareTiddlerText(sourceTiddlerTitle,sourceSubTiddlerTitle,destTiddlerTitle,destSubTiddlerTitle)\n<$set name=\"source\" tiddler=<<__sourceTiddlerTitle__>> subtiddler=<<__sourceSubTiddlerTitle__>>>\n<$set name=\"dest\" tiddler=<<__destTiddlerTitle__>> subtiddler=<<__destSubTiddlerTitle__>>>\n<$diff-text source=<<source>> dest=<<dest>>/>\n</$set>\n</$set>\n\\end\n\n\\define compareTiddlers(sourceTiddlerTitle,sourceSubTiddlerTitle,destTiddlerTitle,destSubTiddlerTitle,exclude)\n<table class=\"tc-diff-tiddlers\">\n<tbody>\n<$set name=\"sourceFields\" filter=\"[<__sourceTiddlerTitle__>fields[]sort[]]\">\n<$set name=\"destFields\" filter=\"[<__destSubTiddlerTitle__>subtiddlerfields<__destTiddlerTitle__>sort[]]\">\n<$list filter=\"[enlist<sourceFields>] [enlist<destFields>] -[enlist<__exclude__>] +[sort[]]\" variable=\"fieldName\">\n<tr>\n<th>\n<$text text=<<fieldName>>/> \n</th>\n<td>\n<$set name=\"source\" tiddler=<<__sourceTiddlerTitle__>> subtiddler=<<__sourceSubTiddlerTitle__>> field=<<fieldName>>>\n<$set name=\"dest\" tiddler=<<__destTiddlerTitle__>> subtiddler=<<__destSubTiddlerTitle__>> field=<<fieldName>>>\n<$diff-text source=<<source>> dest=<<dest>>>\n</$diff-text>\n</$set>\n</$set>\n</td>\n</tr>\n</$list>\n</$set>\n</$set>\n</tbody>\n</table>\n\\end\n"
},
"$:/core/macros/dumpvariables": {
"title": "$:/core/macros/dumpvariables",
"tags": "$:/tags/Macro",
"text": "\\define dumpvariables()\n<ul>\n<$list filter=\"[variables[]]\" variable=\"varname\">\n<li>\n<strong><code><$text text=<<varname>>/></code></strong>:<br/>\n<$codeblock code={{{ [<varname>getvariable[]] }}}/>\n</li>\n</$list>\n</ul>\n\\end\n"
},
"$:/core/macros/export": {
"title": "$:/core/macros/export",
"tags": "$:/tags/Macro",
"text": "\\define exportButtonFilename(baseFilename)\n$baseFilename$$(extension)$\n\\end\n\n\\define exportButton(exportFilter:\"[!is[system]sort[title]]\",lingoBase,baseFilename:\"tiddlers\")\n<$vars hint={{{ [<__lingoBase__>addsuffix[Hint]get[text]] }}} caption={{{ [<__lingoBase__>addsuffix[Caption]get[text]] }}}>\n<span class=\"tc-popup-keep\"><$button popup=<<qualify \"$:/state/popup/export\">> tooltip=<<hint>> aria-label=<<caption>> class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/export-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text=<<caption>>/></span>\n</$list>\n</$button></span></$vars><$reveal state=<<qualify \"$:/state/popup/export\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$set name=\"count\" value={{{ [subfilter<__exportFilter__>count[]] }}}>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Exporter]]\">\n<$list filter=\"[<currentTiddler>has[condition]subfilter{!!condition}limit[1]] ~[<currentTiddler>!has[condition]then[true]]\" variable=\"ignore\">\n<$button class=\"tc-btn-invisible\">\n<$action-sendmessage $message=\"tm-download-file\" $param=<<currentTiddler>> exportFilter=<<__exportFilter__>> filename={{{ [<__baseFilename__>addsuffix{!!extension}] }}}/>\n<$action-deletetiddler $tiddler=<<qualify \"$:/state/popup/export\">>/>\n<$transclude field=\"description\"/>\n</$button>\n</$list>\n</$list>\n</$set>\n</div>\n</$reveal>\n\\end\n"
},
"$:/core/macros/image-picker": {
"title": "$:/core/macros/image-picker",
"created": "20170715180840889",
"modified": "20170715180914005",
"tags": "$:/tags/Macro",
"type": "text/vnd.tiddlywiki",
"text": "\\define image-picker-thumbnail(actions)\n<$button tag=\"a\" tooltip=\"\"\"$(imageTitle)$\"\"\">\n$actions$\n<$transclude tiddler=<<imageTitle>>/>\n</$button>\n\\end\n\n\\define image-picker-list(filter,actions)\n<$list filter=\"\"\"$filter$\"\"\" variable=\"imageTitle\">\n<$macrocall $name=\"image-picker-thumbnail\" actions=\"\"\"$actions$\"\"\"/>\n</$list>\n\\end\n\n\\define image-picker(actions,filter:\"[all[shadows+tiddlers]is[image]] -[type[application/pdf]] +[!has[draft.of]$subfilter$sort[title]]\",subfilter:\"\")\n<div class=\"tc-image-chooser\">\n<$vars state-system=<<qualify \"$:/state/image-picker/system\">>>\n<$checkbox tiddler=<<state-system>> field=\"text\" checked=\"show\" unchecked=\"hide\" default=\"hide\">\n{{$:/language/SystemTiddlers/Include/Prompt}}\n</$checkbox>\n<$reveal state=<<state-system>> type=\"match\" text=\"hide\" default=\"hide\" tag=\"div\">\n<$macrocall $name=\"image-picker-list\" filter=\"\"\"$filter$ +[!is[system]]\"\"\" actions=\"\"\"$actions$\"\"\"/>\n</$reveal>\n<$reveal state=<<state-system>> type=\"nomatch\" text=\"hide\" default=\"hide\" tag=\"div\">\n<$macrocall $name=\"image-picker-list\" filter=\"\"\"$filter$\"\"\" actions=\"\"\"$actions$\"\"\"/>\n</$reveal>\n</$vars>\n</div>\n\\end\n\n\\define image-picker-include-tagged-images(actions)\n<$macrocall $name=\"image-picker\" filter=\"[all[shadows+tiddlers]is[image]] [all[shadows+tiddlers]tag[$:/tags/Image]] -[type[application/pdf]] +[!has[draft.of]sort[title]]\" actions=\"\"\"$actions$\"\"\"/>\n\\end\n"
},
"$:/core/macros/keyboard-driven-input": {
"title": "$:/core/macros/keyboard-driven-input",
"tags": "$:/tags/Macro",
"text": "\\define change-input-tab(stateTitle,tag,beforeafter,defaultState,actions)\n<$set name=\"tabsList\" filter=\"[all[shadows+tiddlers]tag<__tag__>!has[draft.of]]\">\n<$vars currentState={{{ [<__stateTitle__>!is[missing]get[text]] ~[<__defaultState__>] }}} firstTab={{{ [enlist<tabsList>nth[1]] }}} lastTab={{{ [enlist<tabsList>last[]] }}}>\n<$set name=\"nextTab\" value={{{ [all[shadows+tiddlers]tag<__tag__>!has[draft.of]$beforeafter$<currentState>] ~[[$beforeafter$]removeprefix[after]suffix[]addprefix<firstTab>] ~[[$beforeafter$]removeprefix[before]suffix[]addprefix<lastTab>] }}}>\n<$action-setfield $tiddler=<<__stateTitle__>> text=<<nextTab>>/>\n$actions$\n</$set>\n</$vars>\n</$set>\n\\end\n\n\\define keyboard-input-actions()\n<$list filter=\"[<__index__>match[]]\">\n<$action-setfield $tiddler=<<__storeTitle__>> text={{{ [<__tiddler__>get<__field__>] }}}/>\n</$list>\n<$list filter=\"[<__index__>!match[]]\">\n<$action-setfield $tiddler=<<__storeTitle__>> text={{{ [<__tiddler__>getindex<__index__>] }}}/>\n</$list>\n\\end\n\n\\define input-next-actions-inner()\n<$list filter=\"[<nextItem>minlength[1]]\" variable=\"ignore\">\n<$action-setfield $tiddler=<<__selectionStateTitle__>> text=<<nextItem>>/>\n<$list filter=\"[<__index__>match[]]\">\n<$action-setfield $tiddler=<<__tiddler__>> $field=<<__field__>> $value={{{ [<nextItem>] +[splitregexp[(?:.(?!-))+$]] }}}/>\n</$list>\n<$list filter=\"[<__index__>!match[]]\">\n<$action-setfield $tiddler=<<__tiddler__>> $index=<<__index__>> $value={{{ [<nextItem>] +[splitregexp[(?:.(?!-))+$]] }}}/>\n</$list>\n<$action-setfield $tiddler=<<__refreshTitle__>> text=\"yes\"/>\n</$list>\n\\end\n\n\\define input-next-actions(afterOrBefore:\"after\",reverse:\"\")\n<$list filter=\"[<__storeTitle__>get[text]minlength<__filterMinLength__>] [<__filterMinLength__>match[0]] +[limit[1]]\" variable=\"ignore\">\n<$vars userInput={{{ [<__storeTitle__>get[text]] }}} selectedItem={{{ [<__selectionStateTitle__>get[text]] }}}>\n<$set name=\"configTiddler\" value={{{ [subfilter<__configTiddlerFilter__>] }}}>\n<$vars primaryListFilter={{{ [<configTiddler>get<__firstSearchFilterField__>] }}} secondaryListFilter={{{ [<configTiddler>get<__secondSearchFilterField__>] }}}>\n<$set name=\"filteredList\" filter=\"[subfilter<primaryListFilter>addsuffix[-primaryList]] =[subfilter<secondaryListFilter>addsuffix[-secondaryList]]\">\n<$vars nextItem={{{ [enlist<filteredList>$afterOrBefore$<selectedItem>] ~[enlist<filteredList>$reverse$nth[1]] }}} firstItem={{{ [enlist<filteredList>nth[1]] }}} lastItem={{{ [enlist<filteredList>last[]] }}}>\n<$list filter=\"[<selectedItem>match<firstItem>!match<lastItem>]\" variable=\"ignore\">\n<$set name=\"nextItem\" value={{{ [[$afterOrBefore$]match[before]then<userInput>addsuffix[-userInput]] ~[<nextItem>] }}}>\n<<input-next-actions-inner>>\n</$set>\n</$list>\n<$list filter=\"[<selectedItem>match<lastItem>!match<firstItem>]\" variable=\"ignore\">\n<$set name=\"nextItem\" value={{{ [[$afterOrBefore$]match[after]then<userInput>addsuffix[-userInput]] ~[<nextItem>] }}}>\n<<input-next-actions-inner>>\n</$set>\n</$list>\n<$list filter=\"[<selectedItem>match<firstItem>match<lastItem>]\" variable=\"ignore\">\n<$set name=\"nextItem\" value={{{ [<userInput>addsuffix[-userInput]] }}}>\n<<input-next-actions-inner>>\n</$set>\n</$list>\n<$list filter=\"[<selectedItem>!match<firstItem>!match<lastItem>]\" variable=\"ignore\">\n<<input-next-actions-inner>>\n</$list>\n</$vars>\n</$set>\n</$vars>\n</$set>\n</$vars>\n</$list>\n\\end\n\n\\define keyboard-driven-input(tiddler,storeTitle,field:\"text\",index:\"\",tag:\"input\",type,focus:\"\",inputAcceptActions,inputAcceptVariantActions,inputCancelActions,placeholder:\"\",default:\"\",class,focusPopup,rows,minHeight,tabindex,size,autoHeight,filterMinLength:\"0\",refreshTitle,selectionStateTitle,cancelPopups:\"\",configTiddlerFilter,firstSearchFilterField:\"first-search-filter\",secondSearchFilterField:\"second-search-filter\")\n\\whitespace trim\n<$keyboard key=\"((input-accept))\" actions=<<__inputAcceptActions__>>>\n<$keyboard key=\"((input-accept-variant))\" actions=<<__inputAcceptVariantActions__>>>\n<$keyboard key=\"((input-up))\" actions=<<input-next-actions \"before\" \"reverse[]\">>>\n<$keyboard key=\"((input-down))\" actions=<<input-next-actions>>>\n<$keyboard key=\"((input-cancel))\" actions=<<__inputCancelActions__>>>\n<$edit-text tiddler=<<__tiddler__>> field=<<__field__>> index=<<__index__>> \n\t\tinputActions=<<keyboard-input-actions>> tag=<<__tag__>> class=<<__class__>> \n\t\tplaceholder=<<__placeholder__>> default=<<__default__>> focusPopup=<<__focusPopup__>> \n\t\tfocus=<<__focus__>> type=<<__type__>> rows=<<__rows__>> minHeight=<<__minHeight__>> \n\t\ttabindex=<<__tabindex__>> size=<<__size__>> autoHeight=<<__autoHeight__>> \n\t\trefreshTitle=<<__refreshTitle__>> cancelPopups=<<__cancelPopups__>>/>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n\\end\n"
},
"$:/core/macros/lingo": {
"title": "$:/core/macros/lingo",
"tags": "$:/tags/Macro",
"text": "\\define lingo-base()\n$:/language/\n\\end\n\n\\define lingo(title)\n{{$(lingo-base)$$title$}}\n\\end\n"
},
"$:/core/macros/list": {
"title": "$:/core/macros/list",
"tags": "$:/tags/Macro",
"text": "\\define list-links(filter,type:\"ul\",subtype:\"li\",class:\"\",emptyMessage)\n\\whitespace trim\n<$type$ class=\"$class$\">\n<$list filter=\"$filter$\" emptyMessage=<<__emptyMessage__>>>\n<$subtype$>\n<$link to={{!!title}}>\n<$transclude field=\"caption\">\n<$view field=\"title\"/>\n</$transclude>\n</$link>\n</$subtype$>\n</$list>\n</$type$>\n\\end\n\n\\define list-links-draggable-drop-actions()\n<$action-listops $tiddler=<<targetTiddler>> $field=<<targetField>> $subfilter=\"+[insertbefore:currentTiddler<actionTiddler>]\"/>\n\\end\n\n\\define list-links-draggable(tiddler,field:\"list\",type:\"ul\",subtype:\"li\",class:\"\",itemTemplate)\n\\whitespace trim\n<span class=\"tc-links-draggable-list\">\n<$vars targetTiddler=\"\"\"$tiddler$\"\"\" targetField=\"\"\"$field$\"\"\">\n<$type$ class=\"$class$\">\n<$list filter=\"[list[$tiddler$!!$field$]]\">\n<$droppable actions=<<list-links-draggable-drop-actions>> tag=\"\"\"$subtype$\"\"\" enable=<<tv-enable-drag-and-drop>>>\n<div class=\"tc-droppable-placeholder\"/>\n<div>\n<$transclude tiddler=\"\"\"$itemTemplate$\"\"\">\n<$link to={{!!title}}>\n<$transclude field=\"caption\">\n<$view field=\"title\"/>\n</$transclude>\n</$link>\n</$transclude>\n</div>\n</$droppable>\n</$list>\n<$tiddler tiddler=\"\">\n<$droppable actions=<<list-links-draggable-drop-actions>> tag=\"div\" enable=<<tv-enable-drag-and-drop>>>\n<div class=\"tc-droppable-placeholder\">\n{{$:/core/images/blank}}\n</div>\n<div style=\"height:0.5em;\"/>\n</$droppable>\n</$tiddler>\n</$type$>\n</$vars>\n</span>\n\\end\n\n\\define list-tagged-draggable-drop-actions(tag)\n<!-- Save the current ordering of the tiddlers with this tag -->\n<$set name=\"order\" filter=\"[<__tag__>tagging[]]\">\n<!-- Remove any list-after or list-before fields from the tiddlers with this tag -->\n<$list filter=\"[<__tag__>tagging[]]\">\n<$action-deletefield $field=\"list-before\"/>\n<$action-deletefield $field=\"list-after\"/>\n</$list>\n<!-- Save the new order to the Tag Tiddler -->\n<$action-listops $tiddler=<<__tag__>> $field=\"list\" $filter=\"+[enlist<order>] +[insertbefore:currentTiddler<actionTiddler>]\"/>\n<!-- Make sure the newly added item has the right tag -->\n<!-- Removing this line makes dragging tags within the dropdown work as intended -->\n<!--<$action-listops $tiddler=<<actionTiddler>> $tags=<<__tag__>>/>-->\n<!-- Using the following 5 lines as replacement makes dragging titles from outside into the dropdown apply the tag -->\n<$list filter=\"[<actionTiddler>!contains:tags<__tag__>]\">\n<$fieldmangler tiddler=<<actionTiddler>>>\n<$action-sendmessage $message=\"tm-add-tag\" $param=<<__tag__>>/>\n</$fieldmangler>\n</$list>\n</$set>\n\\end\n\n\\define list-tagged-draggable(tag,subFilter,emptyMessage,itemTemplate,elementTag:\"div\",storyview:\"\")\n\\whitespace trim\n<span class=\"tc-tagged-draggable-list\">\n<$set name=\"tag\" value=<<__tag__>>>\n<$list filter=\"[<__tag__>tagging[]$subFilter$]\" emptyMessage=<<__emptyMessage__>> storyview=<<__storyview__>>>\n<$elementTag$ class=\"tc-menu-list-item\">\n<$droppable actions=\"\"\"<$macrocall $name=\"list-tagged-draggable-drop-actions\" tag=<<__tag__>>/>\"\"\" enable=<<tv-enable-drag-and-drop>>>\n<$elementTag$ class=\"tc-droppable-placeholder\"/>\n<$elementTag$>\n<$transclude tiddler=\"\"\"$itemTemplate$\"\"\">\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n</$link>\n</$transclude>\n</$elementTag$>\n</$droppable>\n</$elementTag$>\n</$list>\n<$tiddler tiddler=\"\">\n<$droppable actions=\"\"\"<$macrocall $name=\"list-tagged-draggable-drop-actions\" tag=<<__tag__>>/>\"\"\" enable=<<tv-enable-drag-and-drop>>>\n<$elementTag$ class=\"tc-droppable-placeholder\"/>\n<$elementTag$ style=\"height:0.5em;\">\n</$elementTag$>\n</$droppable>\n</$tiddler>\n</$set>\n</span>\n\\end\n"
},
"$:/core/macros/tabs": {
"title": "$:/core/macros/tabs",
"tags": "$:/tags/Macro",
"text": "\\define tabs(tabsList,default,state:\"$:/state/tab\",class,template,buttonTemplate,retain,actions,explicitState)\n<$set name=\"qualifiedState\" value=<<qualify \"$state$\">>>\n<$vars tabsState={{{ [<__explicitState__>minlength[1]] ~[<qualifiedState>] }}}>\n<div class=\"tc-tab-set $class$\">\n<div class=\"tc-tab-buttons $class$\">\n<$list filter=\"$tabsList$\" variable=\"currentTab\" storyview=\"pop\"><$set name=\"save-currentTiddler\" value=<<currentTiddler>>><$tiddler tiddler=<<currentTab>>><$button set=<<tabsState>> setTo=<<currentTab>> default=\"$default$\" selectedClass=\"tc-tab-selected\" tooltip={{!!tooltip}}>\n<$tiddler tiddler=<<save-currentTiddler>>>\n<$set name=\"tv-wikilinks\" value=\"no\">\n<$transclude tiddler=\"$buttonTemplate$\" mode=\"inline\">\n<$transclude tiddler=<<currentTab>> field=\"caption\">\n<$macrocall $name=\"currentTab\" $type=\"text/plain\" $output=\"text/plain\"/>\n</$transclude>\n</$transclude>\n</$set></$tiddler>$actions$</$button></$tiddler></$set></$list>\n</div>\n<div class=\"tc-tab-divider $class$\"/>\n<div class=\"tc-tab-content $class$\">\n<$list filter=\"$tabsList$\" variable=\"currentTab\">\n\n<$reveal type=\"match\" state=<<tabsState>> text=<<currentTab>> default=\"$default$\" retain=\"\"\"$retain$\"\"\">\n\n<$transclude tiddler=\"$template$\" mode=\"block\">\n\n<$transclude tiddler=<<currentTab>> mode=\"block\"/>\n\n</$transclude>\n\n</$reveal>\n\n</$list>\n</div>\n</div>\n</$vars>\n</$set>\n\\end\n"
},
"$:/core/macros/tag-picker": {
"title": "$:/core/macros/tag-picker",
"tags": "$:/tags/Macro",
"first-search-filter": "[tags[]!is[system]search:title<userInput>sort[]]",
"second-search-filter": "[tags[]is[system]search:title<userInput>sort[]]",
"text": "\\define get-tagpicker-focus-selector() [data-tiddler-title=\"$(currentTiddlerCSSEscaped)$\"] .tc-add-tag-name input\n\n\\define delete-tag-state-tiddlers() <$action-deletetiddler $filter=\"[<newTagNameTiddler>] [<storeTitle>] [<tagSelectionState>]\"/>\n\n\\define add-tag-actions(actions,tagField:\"tags\")\n<$set name=\"tag\" value={{{ [<__tiddler__>get[text]] }}}>\n<$list filter=\"[<saveTiddler>!contains:$tagField$<tag>!match[]]\" variable=\"ignore\" emptyMessage=\"\"\"\n<$action-listops $tiddler=<<saveTiddler>> $field=<<__tagField__>> $subfilter=\"-[<tag>]\"/>\n\"\"\">\n<$action-listops $tiddler=<<saveTiddler>> $field=<<__tagField__>> $subfilter=\"[<tag>]\"/>\n$actions$\n</$list>\n</$set>\n<<delete-tag-state-tiddlers>>\n<$action-setfield $tiddler=<<refreshTitle>> text=\"yes\"/>\n\\end\n\n\\define clear-tags-actions-inner()\n<$list filter=\"[<storeTitle>has[text]] [<newTagNameTiddler>has[text]]\" variable=\"ignore\" emptyMessage=\"\"\"<<cancel-delete-tiddler-actions \"cancel\">>\"\"\">\n<<delete-tag-state-tiddlers>>\n</$list>\n\\end\n\n\\define clear-tags-actions()\n<$set name=\"userInput\" value={{{ [<storeTitle>get[text]] }}}>\n<$list filter=\"[<newTagNameTiddler>get[text]!match<userInput>]\" emptyMessage=\"\"\"<<clear-tags-actions-inner>>\"\"\">\n<$action-setfield $tiddler=<<newTagNameTiddler>> text=<<userInput>>/><$action-setfield $tiddler=<<refreshTitle>> text=\"yes\"/>\n</$list>\n</$set>\n\\end\n\n\\define tag-picker-inner(actions,tagField:\"tags\")\n\\whitespace trim\n<$vars newTagNameInputTiddlerQualified=<<qualify \"$:/temp/NewTagName/input\">> newTagNameSelectionTiddlerQualified=<<qualify \"$:/temp/NewTagName/selected-item\">> fallbackTarget={{$(palette)$##tag-background}} colourA={{$(palette)$##foreground}} colourB={{$(palette)$##background}}>\n<$vars storeTitle={{{ [<newTagNameInputTiddler>!match[]] ~[<newTagNameInputTiddlerQualified>] }}} tagSelectionState={{{ [<newTagNameSelectionTiddler>!match[]] ~[<newTagNameSelectionTiddlerQualified>] }}}>\n<$vars refreshTitle=<<qualify \"$:/temp/NewTagName/refresh\">> nonSystemTagsFilter=\"[tags[]!is[system]search:title<userInput>sort[]]\" systemTagsFilter=\"[tags[]is[system]search:title<userInput>sort[]]\">\n<div class=\"tc-edit-add-tag\">\n<div>\n<span class=\"tc-add-tag-name tc-small-gap-right\">\n<$macrocall $name=\"keyboard-driven-input\" tiddler=<<newTagNameTiddler>> storeTitle=<<storeTitle>> refreshTitle=<<refreshTitle>>\n\t\tselectionStateTitle=<<tagSelectionState>> inputAcceptActions=\"\"\"<$macrocall $name=\"add-tag-actions\" actions=<<__actions__>> tagField=<<__tagField__>>/>\"\"\"\n\t\tinputCancelActions=<<clear-tags-actions>> tag=\"input\" placeholder={{$:/language/EditTemplate/Tags/Add/Placeholder}}\n\t\tfocusPopup=<<qualify \"$:/state/popup/tags-auto-complete\">> class=\"tc-edit-texteditor tc-popup-handle\" tabindex=<<tabIndex>> \n\t\tfocus={{{ [{$:/config/AutoFocus}match[tags]then[true]] ~[[false]] }}} filterMinLength={{$:/config/Tags/MinLength}} \n\t\tcancelPopups=<<cancelPopups>> configTiddlerFilter=\"[[$:/core/macros/tag-picker]]\"/>\n</span><$button popup=<<qualify \"$:/state/popup/tags-auto-complete\">> class=\"tc-btn-invisible tc-btn-dropdown\" tooltip={{$:/language/EditTemplate/Tags/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Tags/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button><$reveal state=<<storeTitle>> type=\"nomatch\" text=\"\"><$button class=\"tc-btn-invisible tc-small-gap tc-btn-dropdown\" tooltip={{$:/language/EditTemplate/Tags/ClearInput/Hint}} aria-label={{$:/language/EditTemplate/Tags/ClearInput/Caption}}>{{$:/core/images/close-button}}<<delete-tag-state-tiddlers>></$button></$reveal><span class=\"tc-add-tag-button tc-small-gap-left\">\n<$set name=\"tag\" value={{{ [<newTagNameTiddler>get[text]] }}}>\n<$button set=<<newTagNameTiddler>> setTo=\"\" class=\"\">\n<$action-listops $tiddler=<<saveTiddler>> $field=<<__tagField__>> $subfilter=\"[<tag>]\"/>\n$actions$\n<$set name=\"currentTiddlerCSSEscaped\" value={{{ [<saveTiddler>escapecss[]] }}}>\n<<delete-tag-state-tiddlers>><$action-sendmessage $message=\"tm-focus-selector\" $param=<<get-tagpicker-focus-selector>>/>\n</$set>\n{{$:/language/EditTemplate/Tags/Add/Button}}\n</$button>\n</$set>\n</span>\n</div>\n<div class=\"tc-block-dropdown-wrapper\">\n<$reveal state=<<qualify \"$:/state/popup/tags-auto-complete\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-block-tags-dropdown\">\n<$set name=\"userInput\" value={{{ [<storeTitle>get[text]] }}}>\n<$list filter=\"[<userInput>minlength{$:/config/Tags/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n<$list filter=<<nonSystemTagsFilter>> variable=\"tag\">\n<$list filter=\"[<tag>addsuffix[-primaryList]] -[<tagSelectionState>get[text]]\" emptyMessage=\"\"\"<$vars button-classes=\"tc-btn-invisible tc-tag-button-selected\" actions=<<__actions__>> tagField=<<__tagField__>> currentTiddler=<<tag>>>{{||$:/core/ui/TagPickerTagTemplate}}</$vars>\"\"\">\n<$vars button-classes=\"tc-btn-invisible\" actions=<<__actions__>> tagField=<<__tagField__>> currentTiddler=<<tag>>>{{||$:/core/ui/TagPickerTagTemplate}}</$vars>\n</$list>\n</$list></$list>\n<hr>\n<$list filter=\"[<userInput>minlength{$:/config/Tags/MinLength}limit[1]]\" emptyMessage=\"\"\"<div class=\"tc-search-results\">{{$:/language/Search/Search/TooShort}}</div>\"\"\" variable=\"listItem\">\n<$list filter=<<systemTagsFilter>> variable=\"tag\">\n<$list filter=\"[<tag>addsuffix[-secondaryList]] -[<tagSelectionState>get[text]]\" emptyMessage=\"\"\"<$vars button-classes=\"tc-btn-invisible tc-tag-button-selected\" actions=<<__actions__>> tagField=<<__tagField__>> currentTiddler=<<tag>>>{{||$:/core/ui/TagPickerTagTemplate}}</$vars>\"\"\">\n<$vars button-classes=\"tc-btn-invisible\" actions=<<__actions__>> tagField=<<__tagField__>> currentTiddler=<<tag>>>{{||$:/core/ui/TagPickerTagTemplate}}</$vars>\n</$list>\n</$list></$list>\n</$set>\n</div>\n</$reveal>\n</div>\n</div>\n</$vars>\n</$vars>\n</$vars>\n\\end\n\\define tag-picker(actions,tagField:\"tags\")\n\\whitespace trim\n<$vars saveTiddler=<<currentTiddler>> palette={{$:/palette}}>\n<$list filter=\"[<newTagNameTiddler>match[]]\" emptyMessage=\"\"\"<$macrocall $name=\"tag-picker-inner\" actions=<<__actions__>> tagField=<<__tagField__>>/>\"\"\">\n<$set name=\"newTagNameTiddler\" value=<<qualify \"$:/temp/NewTagName\">>>\n<$macrocall $name=\"tag-picker-inner\" actions=<<__actions__>> tagField=<<__tagField__>>/>\n</$set>\n</$list>\n</$vars>\n\\end\n"
},
"$:/core/macros/tag": {
"title": "$:/core/macros/tag",
"tags": "$:/tags/Macro",
"text": "\\define tag-pill-styles()\nbackground-color:$(backgroundColor)$;\nfill:$(foregroundColor)$;\ncolor:$(foregroundColor)$;\n\\end\n\n\\define tag-pill-inner(tag,icon,colour,fallbackTarget,colourA,colourB,element-tag,element-attributes,actions)\n<$vars foregroundColor=<<contrastcolour target:\"\"\"$colour$\"\"\" fallbackTarget:\"\"\"$fallbackTarget$\"\"\" colourA:\"\"\"$colourA$\"\"\" colourB:\"\"\"$colourB$\"\"\">> backgroundColor=\"\"\"$colour$\"\"\">\n<$element-tag$ $element-attributes$ class=\"tc-tag-label tc-btn-invisible\" style=<<tag-pill-styles>>>\n$actions$<$transclude tiddler=\"\"\"$icon$\"\"\"/><$view tiddler=<<__tag__>> field=\"title\" format=\"text\" />\n</$element-tag$>\n</$vars>\n\\end\n\n\\define tag-pill-body(tag,icon,colour,palette,element-tag,element-attributes,actions)\n<$macrocall $name=\"tag-pill-inner\" tag=<<__tag__>> icon=\"\"\"$icon$\"\"\" colour=\"\"\"$colour$\"\"\" fallbackTarget={{$palette$##tag-background}} colourA={{$palette$##foreground}} colourB={{$palette$##background}} element-tag=\"\"\"$element-tag$\"\"\" element-attributes=\"\"\"$element-attributes$\"\"\" actions=\"\"\"$actions$\"\"\"/>\n\\end\n\n\\define tag-pill(tag,element-tag:\"span\",element-attributes:\"\",actions:\"\")\n<span class=\"tc-tag-list-item\" data-tag-title=<<__tag__>>>\n<$macrocall $name=\"tag-pill-body\" tag=<<__tag__>> icon={{{ [<__tag__>get[icon]] }}} colour={{{ [<__tag__>get[color]] }}} palette={{$:/palette}} element-tag=\"\"\"$element-tag$\"\"\" element-attributes=\"\"\"$element-attributes$\"\"\" actions=\"\"\"$actions$\"\"\"/>\n</span>\n\\end\n\n\\define tag(tag)\n{{$tag$||$:/core/ui/TagTemplate}}\n\\end\n"
},
"$:/core/macros/thumbnails": {
"title": "$:/core/macros/thumbnails",
"tags": "$:/tags/Macro",
"text": "\\define thumbnail(link,icon,color,background-color,image,caption,width:\"280\",height:\"157\")\n<$link to=\"\"\"$link$\"\"\"><div class=\"tc-thumbnail-wrapper\">\n<div class=\"tc-thumbnail-image\" style=\"width:$width$px;height:$height$px;\"><$reveal type=\"nomatch\" text=\"\" default=\"\"\"$image$\"\"\" tag=\"div\" style=\"width:$width$px;height:$height$px;\">\n[img[$image$]]\n</$reveal><$reveal type=\"match\" text=\"\" default=\"\"\"$image$\"\"\" tag=\"div\" class=\"tc-thumbnail-background\" style=\"width:$width$px;height:$height$px;background-color:$background-color$;\"></$reveal></div><div class=\"tc-thumbnail-icon\" style=\"fill:$color$;color:$color$;\">\n$icon$\n</div><div class=\"tc-thumbnail-caption\">\n$caption$\n</div>\n</div></$link>\n\\end\n\n\\define thumbnail-right(link,icon,color,background-color,image,caption,width:\"280\",height:\"157\")\n<div class=\"tc-thumbnail-right-wrapper\"><<thumbnail \"\"\"$link$\"\"\" \"\"\"$icon$\"\"\" \"\"\"$color$\"\"\" \"\"\"$background-color$\"\"\" \"\"\"$image$\"\"\" \"\"\"$caption$\"\"\" \"\"\"$width$\"\"\" \"\"\"$height$\"\"\">></div>\n\\end\n\n\\define list-thumbnails(filter,width:\"280\",height:\"157\")\n<$list filter=\"\"\"$filter$\"\"\"><$macrocall $name=\"thumbnail\" link={{!!link}} icon={{!!icon}} color={{!!color}} background-color={{!!background-color}} image={{!!image}} caption={{!!caption}} width=\"\"\"$width$\"\"\" height=\"\"\"$height$\"\"\"/></$list>\n\\end\n"
},
"$:/core/macros/timeline": {
"title": "$:/core/macros/timeline",
"created": "20141212105914482",
"modified": "20141212110330815",
"tags": "$:/tags/Macro",
"text": "\\define timeline-title()\n\\whitespace trim\n<!-- Override this macro with a global macro \n of the same name if you need to change \n how titles are displayed on the timeline \n -->\n<$view field=\"title\"/>\n\\end\n\\define timeline(limit:\"100\",format:\"DDth MMM YYYY\",subfilter:\"\",dateField:\"modified\")\n<div class=\"tc-timeline\">\n<$list filter=\"[!is[system]$subfilter$has[$dateField$]!sort[$dateField$]limit[$limit$]eachday[$dateField$]]\">\n<div class=\"tc-menu-list-item\">\n<$view field=\"$dateField$\" format=\"date\" template=\"$format$\"/>\n<$list filter=\"[sameday:$dateField${!!$dateField$}!is[system]$subfilter$!sort[$dateField$]]\">\n<div class=\"tc-menu-list-subitem\">\n<$link to={{!!title}}><<timeline-title>></$link>\n</div>\n</$list>\n</div>\n</$list>\n</div>\n\\end\n"
},
"$:/core/macros/toc": {
"title": "$:/core/macros/toc",
"tags": "$:/tags/Macro",
"text": "\\define toc-caption()\n<$set name=\"tv-wikilinks\" value=\"no\">\n <$transclude field=\"caption\">\n <$view field=\"title\"/>\n </$transclude>\n</$set>\n\\end\n\n\\define toc-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<ol class=\"tc-toc\">\n <$list filter=\"\"\"[all[shadows+tiddlers]tag<__tag__>!has[draft.of]$sort$] -[<__tag__>] -[enlist<__exclude__>]\"\"\">\n <$vars item=<<currentTiddler>> path={{{ [<__path__>addsuffix[/]addsuffix<__tag__>] }}}>\n <$set name=\"excluded\" filter=\"\"\"[enlist<__exclude__>] [<__tag__>]\"\"\">\n <$set name=\"toc-item-class\" filter=<<__itemClassFilter__>> emptyValue=\"toc-item-selected\" value=\"toc-item\">\n <li class=<<toc-item-class>>>\n <$list filter=\"[all[current]toc-link[no]]\" emptyMessage=\"<$link to={{{ [<currentTiddler>get[target]else<currentTiddler>] }}}><$view field='caption'><$view field='title'/></$view></$link>\">\n <<toc-caption>>\n </$list>\n <$macrocall $name=\"toc-body\" tag=<<item>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> exclude=<<excluded>> path=<<path>>/>\n </li>\n </$set>\n </$set>\n </$vars>\n </$list>\n</ol>\n\\end\n\n\\define toc(tag,sort:\"\",itemClassFilter:\"\")\n<$macrocall $name=\"toc-body\" tag=<<__tag__>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> />\n\\end\n\n\\define toc-linked-expandable-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<!-- helper function -->\n<$qualify name=\"toc-state\" title={{{ [[$:/state/toc]addsuffix<__path__>addsuffix[-]addsuffix<currentTiddler>] }}}>\n <$set name=\"toc-item-class\" filter=<<__itemClassFilter__>> emptyValue=\"toc-item-selected\" value=\"toc-item\">\n <li class=<<toc-item-class>>>\n <$link to={{{ [<currentTiddler>get[target]else<currentTiddler>] }}}>\n <$reveal type=\"nomatch\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n </$button>\n </$reveal>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n </$button>\n </$reveal>\n <<toc-caption>>\n </$link>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-expandable\" tag=<<currentTiddler>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> exclude=<<__exclude__>> path=<<__path__>>/>\n </$reveal>\n </li>\n </$set>\n</$qualify>\n\\end\n\n\\define toc-unlinked-expandable-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<!-- helper function -->\n<$qualify name=\"toc-state\" title={{{ [[$:/state/toc]addsuffix<__path__>addsuffix[-]addsuffix<currentTiddler>] }}}>\n <$set name=\"toc-item-class\" filter=<<__itemClassFilter__>> emptyValue=\"toc-item-selected\" value=\"toc-item\">\n <li class=<<toc-item-class>>>\n <$reveal type=\"nomatch\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-expandable\" tag=<<currentTiddler>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> exclude=<<__exclude__>> path=<<__path__>>/>\n </$reveal>\n </li>\n </$set>\n</$qualify>\n\\end\n\n\\define toc-expandable-empty-message()\n<$macrocall $name=\"toc-linked-expandable-body\" tag=<<tag>> sort=<<sort>> itemClassFilter=<<itemClassFilter>> exclude=<<excluded>> path=<<path>>/>\n\\end\n\n\\define toc-expandable(tag,sort:\"\",itemClassFilter:\"\",exclude,path)\n<$vars tag=<<__tag__>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> path={{{ [<__path__>addsuffix[/]addsuffix<__tag__>] }}}>\n <$set name=\"excluded\" filter=\"\"\"[enlist<__exclude__>] [<__tag__>]\"\"\">\n <ol class=\"tc-toc toc-expandable\">\n <$list filter=\"\"\"[all[shadows+tiddlers]tag<__tag__>!has[draft.of]$sort$] -[<__tag__>] -[enlist<__exclude__>]\"\"\">\n <$list filter=\"[all[current]toc-link[no]]\" emptyMessage=<<toc-expandable-empty-message>> >\n <$macrocall $name=\"toc-unlinked-expandable-body\" tag=<<__tag__>> sort=<<__sort__>> itemClassFilter=\"\"\"itemClassFilter\"\"\" exclude=<<excluded>> path=<<path>> />\n </$list>\n </$list>\n </ol>\n </$set>\n</$vars>\n\\end\n\n\\define toc-linked-selective-expandable-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<$qualify name=\"toc-state\" title={{{ [[$:/state/toc]addsuffix<__path__>addsuffix[-]addsuffix<currentTiddler>] }}}>\n <$set name=\"toc-item-class\" filter=<<__itemClassFilter__>> emptyValue=\"toc-item-selected\" value=\"toc-item\" >\n <li class=<<toc-item-class>>>\n <$link to={{{ [<currentTiddler>get[target]else<currentTiddler>] }}}>\n <$list filter=\"[all[current]tagging[]$sort$limit[1]]\" variable=\"ignore\" emptyMessage=\"<$button class='tc-btn-invisible'>{{$:/core/images/blank}}</$button>\">\n <$reveal type=\"nomatch\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n </$button>\n </$reveal>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n </$button>\n </$reveal>\n </$list>\n <<toc-caption>>\n </$link>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-selective-expandable\" tag=<<currentTiddler>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> exclude=<<__exclude__>> path=<<__path__>>/>\n </$reveal>\n </li>\n </$set>\n</$qualify>\n\\end\n\n\\define toc-unlinked-selective-expandable-body(tag,sort:\"\",itemClassFilter,exclude,path)\n<$qualify name=\"toc-state\" title={{{ [[$:/state/toc]addsuffix<__path__>addsuffix[-]addsuffix<currentTiddler>] }}}>\n <$set name=\"toc-item-class\" filter=<<__itemClassFilter__>> emptyValue=\"toc-item-selected\" value=\"toc-item\">\n <li class=<<toc-item-class>>>\n <$list filter=\"[all[current]tagging[]$sort$limit[1]]\" variable=\"ignore\" emptyMessage=\"<$button class='tc-btn-invisible'>{{$:/core/images/blank}}</$button> <$view field='caption'><$view field='title'/></$view>\">\n <$reveal type=\"nomatch\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"open\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/right-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$button setTitle=<<toc-state>> setTo=\"close\" class=\"tc-btn-invisible tc-popup-keep\">\n {{$:/core/images/down-arrow}}\n <<toc-caption>>\n </$button>\n </$reveal>\n </$list>\n <$reveal type=\"match\" stateTitle=<<toc-state>> text=\"open\">\n <$macrocall $name=\"toc-selective-expandable\" tag=<<currentTiddler>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> exclude=<<__exclude__>> path=<<__path__>>/>\n </$reveal>\n </li>\n </$set>\n</$qualify>\n\\end\n\n\\define toc-selective-expandable-empty-message()\n<$macrocall $name=\"toc-linked-selective-expandable-body\" tag=<<tag>> sort=<<sort>> itemClassFilter=<<itemClassFilter>> exclude=<<excluded>> path=<<path>>/>\n\\end\n\n\\define toc-selective-expandable(tag,sort:\"\",itemClassFilter,exclude,path)\n<$vars tag=<<__tag__>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> path={{{ [<__path__>addsuffix[/]addsuffix<__tag__>] }}}>\n <$set name=\"excluded\" filter=\"\"\"[enlist<__exclude__>] [<__tag__>]\"\"\">\n <ol class=\"tc-toc toc-selective-expandable\">\n <$list filter=\"\"\"[all[shadows+tiddlers]tag<__tag__>!has[draft.of]$sort$] -[<__tag__>] -[enlist<__exclude__>]\"\"\">\n <$list filter=\"[all[current]toc-link[no]]\" variable=\"ignore\" emptyMessage=<<toc-selective-expandable-empty-message>> >\n <$macrocall $name=\"toc-unlinked-selective-expandable-body\" tag=<<__tag__>> sort=<<__sort__>> itemClassFilter=<<__itemClassFilter__>> exclude=<<excluded>> path=<<path>>/>\n </$list>\n </$list>\n </ol>\n </$set>\n</$vars>\n\\end\n\n\\define toc-tabbed-external-nav(tag,sort:\"\",selectedTiddler:\"$:/temp/toc/selectedTiddler\",unselectedText,missingText,template:\"\")\n<$tiddler tiddler={{{ [<__selectedTiddler__>get[text]] }}}>\n <div class=\"tc-tabbed-table-of-contents\">\n <$linkcatcher to=<<__selectedTiddler__>>>\n <div class=\"tc-table-of-contents\">\n <$macrocall $name=\"toc-selective-expandable\" tag=<<__tag__>> sort=<<__sort__>> itemClassFilter=\"[all[current]] -[<__selectedTiddler__>get[text]]\"/>\n </div>\n </$linkcatcher>\n <div class=\"tc-tabbed-table-of-contents-content\">\n <$reveal stateTitle=<<__selectedTiddler__>> type=\"nomatch\" text=\"\">\n <$transclude mode=\"block\" tiddler=<<__template__>>>\n <h1><<toc-caption>></h1>\n <$transclude mode=\"block\">$missingText$</$transclude>\n </$transclude>\n </$reveal>\n <$reveal stateTitle=<<__selectedTiddler__>> type=\"match\" text=\"\">\n $unselectedText$\n </$reveal>\n </div>\n </div>\n</$tiddler>\n\\end\n\n\\define toc-tabbed-internal-nav(tag,sort:\"\",selectedTiddler:\"$:/temp/toc/selectedTiddler\",unselectedText,missingText,template:\"\")\n<$linkcatcher to=<<__selectedTiddler__>>>\n <$macrocall $name=\"toc-tabbed-external-nav\" tag=<<__tag__>> sort=<<__sort__>> selectedTiddler=<<__selectedTiddler__>> unselectedText=<<__unselectedText__>> missingText=<<__missingText__>> template=<<__template__>>/>\n</$linkcatcher>\n\\end\n\n"
},
"$:/core/macros/translink": {
"title": "$:/core/macros/translink",
"tags": "$:/tags/Macro",
"text": "\\define translink(title,mode:\"block\")\n<div style=\"border:1px solid #ccc; padding: 0.5em; background: black; foreground; white;\">\n<$link to=\"\"\"$title$\"\"\">\n<$text text=\"\"\"$title$\"\"\"/>\n</$link>\n<div style=\"border:1px solid #ccc; padding: 0.5em; background: white; foreground; black;\">\n<$transclude tiddler=\"\"\"$title$\"\"\" mode=\"$mode$\">\n\"<$text text=\"\"\"$title$\"\"\"/>\" is missing\n</$transclude>\n</div>\n</div>\n\\end\n"
},
"$:/core/macros/tree": {
"title": "$:/core/macros/tree",
"tags": "$:/tags/Macro",
"text": "\\define leaf-link(full-title,chunk,separator: \"/\")\n<$link to=<<__full-title__>>><$text text=<<__chunk__>>/></$link>\n\\end\n\n\\define leaf-node(prefix,chunk)\n<li>\n<$list filter=\"[<__prefix__>addsuffix<__chunk__>is[shadow]] [<__prefix__>addsuffix<__chunk__>is[tiddler]]\" variable=\"full-title\">\n<$list filter=\"[<full-title>removeprefix<__prefix__>]\" variable=\"chunk\">\n<span>{{$:/core/images/file}}</span> <$macrocall $name=\"leaf-link\" full-title=<<full-title>> chunk=<<chunk>>/>\n</$list>\n</$list>\n</li>\n\\end\n\n\\define branch-node(prefix,chunk,separator: \"/\")\n<li>\n<$set name=\"reveal-state\" value={{{ [[$:/state/tree/]addsuffix<__prefix__>addsuffix<__chunk__>] }}}>\n<$reveal type=\"nomatch\" stateTitle=<<reveal-state>> text=\"show\">\n<$button setTitle=<<reveal-state>> setTo=\"show\" class=\"tc-btn-invisible\">\n{{$:/core/images/folder}} <$text text=<<__chunk__>>/>\n</$button>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<reveal-state>> text=\"show\">\n<$button setTitle=<<reveal-state>> setTo=\"hide\" class=\"tc-btn-invisible\">\n{{$:/core/images/folder}} <$text text=<<__chunk__>>/>\n</$button>\n</$reveal>\n<span>(<$count filter=\"[all[shadows+tiddlers]removeprefix<__prefix__>removeprefix<__chunk__>] -[<__prefix__>addsuffix<__chunk__>]\"/>)</span>\n<$reveal type=\"match\" stateTitle=<<reveal-state>> text=\"show\">\n<$macrocall $name=\"tree-node\" prefix={{{ [<__prefix__>addsuffix<__chunk__>] }}} separator=<<__separator__>>/>\n</$reveal>\n</$set>\n</li>\n\\end\n\n\\define tree-node(prefix,separator: \"/\")\n<ol>\n<$list filter=\"[all[shadows+tiddlers]removeprefix<__prefix__>splitbefore<__separator__>sort[]!suffix<__separator__>]\" variable=\"chunk\">\n<$macrocall $name=\"leaf-node\" prefix=<<__prefix__>> chunk=<<chunk>> separator=<<__separator__>>/>\n</$list>\n<$list filter=\"[all[shadows+tiddlers]removeprefix<__prefix__>splitbefore<__separator__>sort[]suffix<__separator__>]\" variable=\"chunk\">\n<$macrocall $name=\"branch-node\" prefix=<<__prefix__>> chunk=<<chunk>> separator=<<__separator__>>/>\n</$list>\n</ol>\n\\end\n\n\\define tree(prefix: \"$:/\",separator: \"/\")\n<div class=\"tc-tree\">\n<span><$text text=<<__prefix__>>/></span>\n<div>\n<$macrocall $name=\"tree-node\" prefix=<<__prefix__>> separator=<<__separator__>>/>\n</div>\n</div>\n\\end\n"
},
"$:/core/macros/utils": {
"title": "$:/core/macros/utils",
"text": "\\define colour(colour)\n$colour$\n\\end\n"
},
"$:/snippets/minifocusswitcher": {
"title": "$:/snippets/minifocusswitcher",
"text": "<$select tiddler=\"$:/config/AutoFocus\">\n<$list filter=\"title tags text type fields\">\n<option value=<<currentTiddler>>><<currentTiddler>></option>\n</$list>\n</$select>\n"
},
"$:/snippets/minilanguageswitcher": {
"title": "$:/snippets/minilanguageswitcher",
"text": "<$select tiddler=\"$:/language\">\n<$list filter=\"[[$:/languages/en-GB]] [plugin-type[language]sort[title]]\">\n<option value=<<currentTiddler>>><$view field=\"description\"><$view field=\"name\"><$view field=\"title\"/></$view></$view></option>\n</$list>\n</$select>"
},
"$:/snippets/minithemeswitcher": {
"title": "$:/snippets/minithemeswitcher",
"text": "\\define lingo-base() $:/language/ControlPanel/Theme/\n<<lingo Prompt>> <$select tiddler=\"$:/theme\">\n<$list filter=\"[plugin-type[theme]sort[title]]\">\n<option value=<<currentTiddler>>><$view field=\"name\"><$view field=\"title\"/></$view></option>\n</$list>\n</$select>"
},
"$:/snippets/modules": {
"title": "$:/snippets/modules",
"text": "\\define describeModuleType(type)\n{{$:/language/Docs/ModuleTypes/$type$}}\n\\end\n<$list filter=\"[moduletypes[]]\">\n\n!! <$macrocall $name=\"currentTiddler\" $type=\"text/plain\" $output=\"text/plain\"/>\n\n<$macrocall $name=\"describeModuleType\" type=<<currentTiddler>>/>\n\n<ul><$list filter=\"[all[current]modules[]]\"><li><$link><<currentTiddler>></$link>\n</li>\n</$list>\n</ul>\n</$list>\n"
},
"$:/palette": {
"title": "$:/palette",
"text": "$:/palettes/Vanilla"
},
"$:/snippets/paletteeditor": {
"title": "$:/snippets/paletteeditor",
"text": "<$transclude tiddler=\"$:/PaletteManager\"/>\n"
},
"$:/snippets/palettepreview": {
"title": "$:/snippets/palettepreview",
"text": "<$set name=\"currentTiddler\" value={{$:/palette}}>\n{{||$:/snippets/currpalettepreview}}\n</$set>\n"
},
"$:/snippets/paletteswitcher": {
"title": "$:/snippets/paletteswitcher",
"text": "<$linkcatcher to=\"$:/palette\">\n<div class=\"tc-chooser\"><$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Palette]sort[name]]\"><$set name=\"cls\" filter=\"[all[current]prefix{$:/palette}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>><$link to={{!!title}}>''<$view field=\"name\" format=\"text\"/>'' - <$view field=\"description\" format=\"text\"/>{{||$:/snippets/currpalettepreview}}</$link>\n</div></$set>\n</$list>\n</div>\n</$linkcatcher>\n"
},
"$:/snippets/peek-stylesheets": {
"title": "$:/snippets/peek-stylesheets",
"text": "\\define expandable-stylesheets-list()\n<ol>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Stylesheet]!has[draft.of]]\">\n<$vars state=<<qualify \"$:/state/peek-stylesheets/open/\">>>\n<$set name=\"state\" value={{{ [<state>addsuffix<currentTiddler>] }}}>\n<li>\n<$reveal type=\"match\" state=<<state>> text=\"yes\" tag=\"span\">\n<$button set=<<state>> setTo=\"no\" class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n</$reveal>\n<$reveal type=\"nomatch\" state=<<state>> text=\"yes\" tag=\"span\">\n<$button set=<<state>> setTo=\"yes\" class=\"tc-btn-invisible\">\n{{$:/core/images/right-arrow}}\n</$button>\n</$reveal>\n<$link>\n<$view field=\"title\"/>\n</$link>\n<$reveal type=\"match\" state=<<state>> text=\"yes\" tag=\"div\">\n<$set name=\"source\" tiddler=<<currentTiddler>>>\n<$wikify name=\"styles\" text=<<source>>>\n<pre>\n<code>\n<$text text=<<styles>>/>\n</code>\n</pre>\n</$wikify>\n</$set>\n</$reveal>\n</li>\n</$set>\n</$vars>\n</$list>\n</ol>\n\\end\n\n\\define stylesheets-list()\n<ol>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Stylesheet]!has[draft.of]]\">\n<li>\n<$link>\n<$view field=\"title\"/>\n</$link>\n<$set name=\"source\" tiddler=<<currentTiddler>>>\n<$wikify name=\"styles\" text=<<source>>>\n<pre>\n<code>\n<$text text=<<styles>>/>\n</code>\n</pre>\n</$wikify>\n</$set>\n</li>\n</$list>\n</ol>\n\\end\n\n<$vars modeState=<<qualify \"$:/state/peek-stylesheets/mode/\">>>\n\n<$reveal type=\"nomatch\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<$button set=<<modeState>> setTo=\"expanded\" class=\"tc-btn-invisible\">{{$:/core/images/chevron-right}} {{$:/language/ControlPanel/Stylesheets/Expand/Caption}}</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<$button set=<<modeState>> setTo=\"restored\" class=\"tc-btn-invisible\">{{$:/core/images/chevron-down}} {{$:/language/ControlPanel/Stylesheets/Restore/Caption}}</$button>\n</$reveal>\n\n<$reveal type=\"nomatch\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<<expandable-stylesheets-list>>\n</$reveal>\n<$reveal type=\"match\" state=<<modeState>> text=\"expanded\" tag=\"div\">\n<<stylesheets-list>>\n</$reveal>\n\n</$vars>\n"
},
"$:/temp/search": {
"title": "$:/temp/search",
"text": ""
},
"$:/tags/AdvancedSearch": {
"title": "$:/tags/AdvancedSearch",
"list": "[[$:/core/ui/AdvancedSearch/Standard]] [[$:/core/ui/AdvancedSearch/System]] [[$:/core/ui/AdvancedSearch/Shadows]] [[$:/core/ui/AdvancedSearch/Filter]]"
},
"$:/tags/AdvancedSearch/FilterButton": {
"title": "$:/tags/AdvancedSearch/FilterButton",
"list": "$:/core/ui/AdvancedSearch/Filter/FilterButtons/dropdown $:/core/ui/AdvancedSearch/Filter/FilterButtons/clear $:/core/ui/AdvancedSearch/Filter/FilterButtons/export $:/core/ui/AdvancedSearch/Filter/FilterButtons/delete"
},
"$:/tags/ControlPanel": {
"title": "$:/tags/ControlPanel",
"list": "$:/core/ui/ControlPanel/Info $:/core/ui/ControlPanel/Appearance $:/core/ui/ControlPanel/Settings $:/core/ui/ControlPanel/Saving $:/core/ui/ControlPanel/Plugins $:/core/ui/ControlPanel/Tools $:/core/ui/ControlPanel/Internals"
},
"$:/tags/ControlPanel/Info": {
"title": "$:/tags/ControlPanel/Info",
"list": "$:/core/ui/ControlPanel/Basics $:/core/ui/ControlPanel/Advanced"
},
"$:/tags/ControlPanel/Plugins": {
"title": "$:/tags/ControlPanel/Plugins",
"list": "[[$:/core/ui/ControlPanel/Plugins/Installed]] [[$:/core/ui/ControlPanel/Plugins/Add]]"
},
"$:/tags/EditTemplate": {
"title": "$:/tags/EditTemplate",
"list": "[[$:/core/ui/EditTemplate/controls]] [[$:/core/ui/EditTemplate/title]] [[$:/core/ui/EditTemplate/tags]] [[$:/core/ui/EditTemplate/shadow]] [[$:/core/ui/ViewTemplate/classic]] [[$:/core/ui/EditTemplate/body]] [[$:/core/ui/EditTemplate/type]] [[$:/core/ui/EditTemplate/fields]]"
},
"$:/tags/EditToolbar": {
"title": "$:/tags/EditToolbar",
"list": "[[$:/core/ui/Buttons/delete]] [[$:/core/ui/Buttons/cancel]] [[$:/core/ui/Buttons/save]]"
},
"$:/tags/EditorToolbar": {
"title": "$:/tags/EditorToolbar",
"list": "$:/core/ui/EditorToolbar/paint $:/core/ui/EditorToolbar/opacity $:/core/ui/EditorToolbar/line-width $:/core/ui/EditorToolbar/rotate-left $:/core/ui/EditorToolbar/clear $:/core/ui/EditorToolbar/bold $:/core/ui/EditorToolbar/italic $:/core/ui/EditorToolbar/strikethrough $:/core/ui/EditorToolbar/underline $:/core/ui/EditorToolbar/superscript $:/core/ui/EditorToolbar/subscript $:/core/ui/EditorToolbar/mono-line $:/core/ui/EditorToolbar/mono-block $:/core/ui/EditorToolbar/quote $:/core/ui/EditorToolbar/list-bullet $:/core/ui/EditorToolbar/list-number $:/core/ui/EditorToolbar/heading-1 $:/core/ui/EditorToolbar/heading-2 $:/core/ui/EditorToolbar/heading-3 $:/core/ui/EditorToolbar/heading-4 $:/core/ui/EditorToolbar/heading-5 $:/core/ui/EditorToolbar/heading-6 $:/core/ui/EditorToolbar/link $:/core/ui/EditorToolbar/excise $:/core/ui/EditorToolbar/picture $:/core/ui/EditorToolbar/stamp $:/core/ui/EditorToolbar/size $:/core/ui/EditorToolbar/editor-height $:/core/ui/EditorToolbar/more $:/core/ui/EditorToolbar/preview $:/core/ui/EditorToolbar/preview-type"
},
"$:/tags/Manager/ItemMain": {
"title": "$:/tags/Manager/ItemMain",
"list": "$:/Manager/ItemMain/WikifiedText $:/Manager/ItemMain/RawText $:/Manager/ItemMain/Fields"
},
"$:/tags/Manager/ItemSidebar": {
"title": "$:/tags/Manager/ItemSidebar",
"list": "$:/Manager/ItemSidebar/Tags $:/Manager/ItemSidebar/Colour $:/Manager/ItemSidebar/Icon $:/Manager/ItemSidebar/Tools"
},
"$:/tags/MoreSideBar": {
"title": "$:/tags/MoreSideBar",
"list": "[[$:/core/ui/MoreSideBar/All]] [[$:/core/ui/MoreSideBar/Recent]] [[$:/core/ui/MoreSideBar/Tags]] [[$:/core/ui/MoreSideBar/Missing]] [[$:/core/ui/MoreSideBar/Drafts]] [[$:/core/ui/MoreSideBar/Orphans]] [[$:/core/ui/MoreSideBar/Types]] [[$:/core/ui/MoreSideBar/System]] [[$:/core/ui/MoreSideBar/Shadows]] [[$:/core/ui/MoreSideBar/Explorer]] [[$:/core/ui/MoreSideBar/Plugins]]",
"text": ""
},
"$:/tags/PageControls": {
"title": "$:/tags/PageControls",
"list": "[[$:/core/ui/Buttons/home]] [[$:/core/ui/Buttons/close-all]] [[$:/core/ui/Buttons/fold-all]] [[$:/core/ui/Buttons/unfold-all]] [[$:/core/ui/Buttons/permaview]] [[$:/core/ui/Buttons/new-tiddler]] [[$:/core/ui/Buttons/new-journal]] [[$:/core/ui/Buttons/new-image]] [[$:/core/ui/Buttons/import]] [[$:/core/ui/Buttons/export-page]] [[$:/core/ui/Buttons/control-panel]] [[$:/core/ui/Buttons/advanced-search]] [[$:/core/ui/Buttons/manager]] [[$:/core/ui/Buttons/tag-manager]] [[$:/core/ui/Buttons/language]] [[$:/core/ui/Buttons/palette]] [[$:/core/ui/Buttons/theme]] [[$:/core/ui/Buttons/storyview]] [[$:/core/ui/Buttons/encryption]] [[$:/core/ui/Buttons/timestamp]] [[$:/core/ui/Buttons/full-screen]] [[$:/core/ui/Buttons/print]] [[$:/core/ui/Buttons/save-wiki]] [[$:/core/ui/Buttons/refresh]] [[$:/core/ui/Buttons/more-page-actions]]"
},
"$:/tags/PageTemplate": {
"title": "$:/tags/PageTemplate",
"list": "[[$:/core/ui/PageTemplate/topleftbar]] [[$:/core/ui/PageTemplate/toprightbar]] [[$:/core/ui/PageTemplate/sidebar]] [[$:/core/ui/PageTemplate/story]] [[$:/core/ui/PageTemplate/alerts]]",
"text": ""
},
"$:/tags/PluginLibrary": {
"title": "$:/tags/PluginLibrary",
"list": "$:/config/OfficialPluginLibrary"
},
"$:/tags/SideBar": {
"title": "$:/tags/SideBar",
"list": "[[$:/core/ui/SideBar/Open]] [[$:/core/ui/SideBar/Recent]] [[$:/core/ui/SideBar/Tools]] [[$:/core/ui/SideBar/More]]",
"text": ""
},
"$:/tags/SideBarSegment": {
"title": "$:/tags/SideBarSegment",
"list": "[[$:/core/ui/SideBarSegments/site-title]] [[$:/core/ui/SideBarSegments/site-subtitle]] [[$:/core/ui/SideBarSegments/page-controls]] [[$:/core/ui/SideBarSegments/search]] [[$:/core/ui/SideBarSegments/tabs]]"
},
"$:/tags/TiddlerInfo": {
"title": "$:/tags/TiddlerInfo",
"list": "[[$:/core/ui/TiddlerInfo/Tools]] [[$:/core/ui/TiddlerInfo/References]] [[$:/core/ui/TiddlerInfo/Tagging]] [[$:/core/ui/TiddlerInfo/List]] [[$:/core/ui/TiddlerInfo/Listed]] [[$:/core/ui/TiddlerInfo/Fields]]",
"text": ""
},
"$:/tags/TiddlerInfo/Advanced": {
"title": "$:/tags/TiddlerInfo/Advanced",
"list": "[[$:/core/ui/TiddlerInfo/Advanced/ShadowInfo]] [[$:/core/ui/TiddlerInfo/Advanced/PluginInfo]]"
},
"$:/tags/ViewTemplate": {
"title": "$:/tags/ViewTemplate",
"list": "[[$:/core/ui/ViewTemplate/title]] [[$:/core/ui/ViewTemplate/unfold]] [[$:/core/ui/ViewTemplate/subtitle]] [[$:/core/ui/ViewTemplate/tags]] [[$:/core/ui/ViewTemplate/classic]] [[$:/core/ui/ViewTemplate/body]]"
},
"$:/tags/ViewToolbar": {
"title": "$:/tags/ViewToolbar",
"list": "[[$:/core/ui/Buttons/more-tiddler-actions]] [[$:/core/ui/Buttons/info]] [[$:/core/ui/Buttons/new-here]] [[$:/core/ui/Buttons/new-journal-here]] [[$:/core/ui/Buttons/clone]] [[$:/core/ui/Buttons/export-tiddler]] [[$:/core/ui/Buttons/edit]] [[$:/core/ui/Buttons/delete]] [[$:/core/ui/Buttons/permalink]] [[$:/core/ui/Buttons/permaview]] [[$:/core/ui/Buttons/open-window]] [[$:/core/ui/Buttons/close-others]] [[$:/core/ui/Buttons/close]] [[$:/core/ui/Buttons/fold-others]] [[$:/core/ui/Buttons/fold]]"
},
"$:/snippets/themeswitcher": {
"title": "$:/snippets/themeswitcher",
"text": "<$linkcatcher to=\"$:/theme\">\n<div class=\"tc-chooser\"><$list filter=\"[plugin-type[theme]sort[title]]\"><$set name=\"cls\" filter=\"[all[current]field:title{$:/theme}] [[$:/theme]!has[text]addsuffix[s/tiddlywiki/vanilla]field:title<currentTiddler>] +[limit[1]]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>><$link to={{!!title}}>''<$view field=\"name\" format=\"text\"/>'' <$view field=\"description\" format=\"text\"/></$link></div>\n</$set>\n</$list>\n</div>\n</$linkcatcher>"
},
"$:/core/wiki/title": {
"title": "$:/core/wiki/title",
"text": "{{$:/SiteTitle}}\n<$list filter=\"[{$:/SiteSubtitle}trim[]minlength[1]]\" variable=\"ignore\">\n--- {{$:/SiteSubtitle}}\n</$list>"
},
"$:/view": {
"title": "$:/view",
"text": "classic"
},
"$:/snippets/viewswitcher": {
"title": "$:/snippets/viewswitcher",
"text": "\\define icon()\n$:/core/images/storyview-$(storyview)$\n\\end\n<$linkcatcher to=\"$:/view\">\n<div class=\"tc-chooser tc-viewswitcher\">\n<$list filter=\"[storyviews[]]\" variable=\"storyview\">\n<$set name=\"cls\" filter=\"[<storyview>prefix{$:/view}]\" value=\"tc-chooser-item tc-chosen\" emptyValue=\"tc-chooser-item\"><div class=<<cls>>>\n<$link to=<<storyview>>><$transclude tiddler=<<icon>>/><$text text=<<storyview>>/></$link>\n</div>\n</$set>\n</$list>\n</div>\n</$linkcatcher>"
}
}
}
/* Dracula Theme v1.2.5
*
* https://github.com/dracula/highlightjs
*
* Copyright 2016-present, All rights reserved
*
* Code licensed under the MIT license
*
* @author Denis Ciccale <dciccale@gmail.com>
* @author Zeno Rocha <hi@zenorocha.com>
*/
.hljs {
display: block;
overflow-x: auto;
padding: 0.5em;
background: #282a36;
}
.hljs-built_in,
.hljs-selector-tag,
.hljs-section,
.hljs-link {
color: #8be9fd;
}
.hljs-keyword {
color: #ff79c6;
}
.hljs,
.hljs-subst {
color: #f8f8f2;
}
.hljs-title {
color: #50fa7b;
}
.hljs-string,
.hljs-meta,
.hljs-name,
.hljs-type,
.hljs-attr,
.hljs-symbol,
.hljs-bullet,
.hljs-addition,
.hljs-variable,
.hljs-template-tag,
.hljs-template-variable {
color: #f1fa8c;
}
.hljs-comment,
.hljs-quote,
.hljs-deletion {
color: #6272a4;
}
.hljs-keyword,
.hljs-selector-tag,
.hljs-literal,
.hljs-title,
.hljs-section,
.hljs-doctag,
.hljs-type,
.hljs-name,
.hljs-strong {
font-weight: bold;
}
.hljs-literal,
.hljs-number {
color: #bd93f9;
}
.hljs-emphasis {
font-style: italic;
}
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
De volgende tiddlers werden geïmporteerd:
# [[2023-07-06 08_21_22-Subqueries in FROM _ SQL en nog 1 andere pagina - Profiel 1 - Microsoft Edge.png]]
{
"tiddlers": {
"$:/language/Buttons/AdvancedSearch/Caption": {
"title": "$:/language/Buttons/AdvancedSearch/Caption",
"text": "geavanceerd zoeken"
},
"$:/language/Buttons/AdvancedSearch/Hint": {
"title": "$:/language/Buttons/AdvancedSearch/Hint",
"text": "Geavanceerd zoeken"
},
"$:/language/Buttons/Bold/Caption": {
"title": "$:/language/Buttons/Bold/Caption",
"text": "vet"
},
"$:/language/Buttons/Bold/Hint": {
"title": "$:/language/Buttons/Bold/Hint",
"text": "Maak selectie vet"
},
"$:/language/Buttons/Cancel/Caption": {
"title": "$:/language/Buttons/Cancel/Caption",
"text": "annuleer"
},
"$:/language/Buttons/Cancel/Hint": {
"title": "$:/language/Buttons/Cancel/Hint",
"text": "Annuleer wijzigingen"
},
"$:/language/Buttons/Clear/Caption": {
"title": "$:/language/Buttons/Clear/Caption",
"text": "maak schoon"
},
"$:/language/Buttons/Clear/Hint": {
"title": "$:/language/Buttons/Clear/Hint",
"text": "Maak schoon en kleur"
},
"$:/language/Buttons/Clone/Caption": {
"title": "$:/language/Buttons/Clone/Caption",
"text": "kloon"
},
"$:/language/Buttons/Clone/Hint": {
"title": "$:/language/Buttons/Clone/Hint",
"text": "Kloon deze tiddler"
},
"$:/language/Buttons/Close/Caption": {
"title": "$:/language/Buttons/Close/Caption",
"text": "sluit"
},
"$:/language/Buttons/Close/Hint": {
"title": "$:/language/Buttons/Close/Hint",
"text": "Sluit deze tiddler"
},
"$:/language/Buttons/CloseAll/Caption": {
"title": "$:/language/Buttons/CloseAll/Caption",
"text": "sluit alle"
},
"$:/language/Buttons/CloseAll/Hint": {
"title": "$:/language/Buttons/CloseAll/Hint",
"text": "Sluit alle tiddlers"
},
"$:/language/Buttons/CloseOthers/Caption": {
"title": "$:/language/Buttons/CloseOthers/Caption",
"text": "sluit andere"
},
"$:/language/Buttons/CloseOthers/Hint": {
"title": "$:/language/Buttons/CloseOthers/Hint",
"text": "Sluit alle andere tiddlers"
},
"$:/language/Buttons/ControlPanel/Caption": {
"title": "$:/language/Buttons/ControlPanel/Caption",
"text": "bedieningspaneel"
},
"$:/language/Buttons/ControlPanel/Hint": {
"title": "$:/language/Buttons/ControlPanel/Hint",
"text": "Open bedieningspaneel"
},
"$:/language/Buttons/CopyToClipboard/Caption": {
"title": "$:/language/Buttons/CopyToClipboard/Caption",
"text": "kopieer naar klembord"
},
"$:/language/Buttons/CopyToClipboard/Hint": {
"title": "$:/language/Buttons/CopyToClipboard/Hint",
"text": "Kopieer deze tekst naar het klembord"
},
"$:/language/Buttons/Delete/Caption": {
"title": "$:/language/Buttons/Delete/Caption",
"text": "verwijder"
},
"$:/language/Buttons/Delete/Hint": {
"title": "$:/language/Buttons/Delete/Hint",
"text": "Verwijder deze tiddler"
},
"$:/language/Buttons/Edit/Caption": {
"title": "$:/language/Buttons/Edit/Caption",
"text": "wijzig"
},
"$:/language/Buttons/Edit/Hint": {
"title": "$:/language/Buttons/Edit/Hint",
"text": "Wijzig deze tiddler"
},
"$:/language/Buttons/EditorHeight/Caption": {
"title": "$:/language/Buttons/EditorHeight/Caption",
"text": "hoogte van de editor"
},
"$:/language/Buttons/EditorHeight/Caption/Auto": {
"title": "$:/language/Buttons/EditorHeight/Caption/Auto",
"text": "Pas automatisch hoogte aan zodat inhoud past"
},
"$:/language/Buttons/EditorHeight/Caption/Fixed": {
"title": "$:/language/Buttons/EditorHeight/Caption/Fixed",
"text": "Vaste hoogte:"
},
"$:/language/Buttons/EditorHeight/Hint": {
"title": "$:/language/Buttons/EditorHeight/Hint",
"text": "Kies de hoogte van de teksteditor"
},
"$:/language/Buttons/Encryption/Caption": {
"title": "$:/language/Buttons/Encryption/Caption",
"text": "versleuteling"
},
"$:/language/Buttons/Encryption/ClearPassword/Caption": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Caption",
"text": "verwijder wachtwoord"
},
"$:/language/Buttons/Encryption/ClearPassword/Hint": {
"title": "$:/language/Buttons/Encryption/ClearPassword/Hint",
"text": "Verwijder het wachtwoord en sla de wiki onversleuteld op"
},
"$:/language/Buttons/Encryption/Hint": {
"title": "$:/language/Buttons/Encryption/Hint",
"text": "Vul in / verwijder wachtwoord om deze wiki op te slaan"
},
"$:/language/Buttons/Encryption/SetPassword/Caption": {
"title": "$:/language/Buttons/Encryption/SetPassword/Caption",
"text": "vul wachtwoord in"
},
"$:/language/Buttons/Encryption/SetPassword/Hint": {
"title": "$:/language/Buttons/Encryption/SetPassword/Hint",
"text": "Vul een wachtwoord in om deze wiki versleuteld op te slaan"
},
"$:/language/Buttons/Excise/Caption": {
"title": "$:/language/Buttons/Excise/Caption",
"text": "snij uit"
},
"$:/language/Buttons/Excise/Caption/Excise": {
"title": "$:/language/Buttons/Excise/Caption/Excise",
"text": "Snij uit"
},
"$:/language/Buttons/Excise/Caption/MacroName": {
"title": "$:/language/Buttons/Excise/Caption/MacroName",
"text": "Macro naam:"
},
"$:/language/Buttons/Excise/Caption/NewTitle": {
"title": "$:/language/Buttons/Excise/Caption/NewTitle",
"text": "Titel van de nieuwe tiddler"
},
"$:/language/Buttons/Excise/Caption/Replace": {
"title": "$:/language/Buttons/Excise/Caption/Replace",
"text": "Vervang uitgesneden tekst door:"
},
"$:/language/Buttons/Excise/Caption/Replace/Transclusion": {
"title": "$:/language/Buttons/Excise/Caption/Replace/Transclusion",
"text": "transclusie"
},
"$:/language/Buttons/Excise/Caption/Tag": {
"title": "$:/language/Buttons/Excise/Caption/Tag",
"text": "Label de nieuwe tiddler met de titel van deze tiddler"
},
"$:/language/Buttons/Excise/Caption/TiddlerExists": {
"title": "$:/language/Buttons/Excise/Caption/TiddlerExists",
"text": "Waarschuwing: deze tiddler bestaat al"
},
"$:/language/Buttons/Excise/Hint": {
"title": "$:/language/Buttons/Excise/Hint",
"text": "Knip en plak de geselecteerde tekst in een nieuwe tiddler"
},
"$:/language/Buttons/ExportPage/Caption": {
"title": "$:/language/Buttons/ExportPage/Caption",
"text": "exporteer alle"
},
"$:/language/Buttons/ExportPage/Hint": {
"title": "$:/language/Buttons/ExportPage/Hint",
"text": "Exporteer alle tiddlers"
},
"$:/language/Buttons/ExportTiddler/Caption": {
"title": "$:/language/Buttons/ExportTiddler/Caption",
"text": "exporteer tiddler"
},
"$:/language/Buttons/ExportTiddler/Hint": {
"title": "$:/language/Buttons/ExportTiddler/Hint",
"text": "Exporteer tiddler"
},
"$:/language/Buttons/ExportTiddlers/Caption": {
"title": "$:/language/Buttons/ExportTiddlers/Caption",
"text": "exporteer tiddlers"
},
"$:/language/Buttons/ExportTiddlers/Hint": {
"title": "$:/language/Buttons/ExportTiddlers/Hint",
"text": "Exporteer tiddlers"
},
"$:/language/Buttons/Fold/Caption": {
"title": "$:/language/Buttons/Fold/Caption",
"text": "klap tiddler in"
},
"$:/language/Buttons/Fold/FoldBar/Caption": {
"title": "$:/language/Buttons/Fold/FoldBar/Caption",
"text": "in- / uitklap balk"
},
"$:/language/Buttons/Fold/FoldBar/Hint": {
"title": "$:/language/Buttons/Fold/FoldBar/Hint",
"text": "Optionele in- / uitklapbalken"
},
"$:/language/Buttons/Fold/Hint": {
"title": "$:/language/Buttons/Fold/Hint",
"text": "Klap de tekst van deze tiddler in"
},
"$:/language/Buttons/FoldAll/Caption": {
"title": "$:/language/Buttons/FoldAll/Caption",
"text": "klap alle tiddlers in"
},
"$:/language/Buttons/FoldAll/Hint": {
"title": "$:/language/Buttons/FoldAll/Hint",
"text": "Klap de tekst van alle open tiddlers in"
},
"$:/language/Buttons/FoldOthers/Caption": {
"title": "$:/language/Buttons/FoldOthers/Caption",
"text": "klap andere tiddlers in"
},
"$:/language/Buttons/FoldOthers/Hint": {
"title": "$:/language/Buttons/FoldOthers/Hint",
"text": "Klap de tekst van alle andere open tiddlers in"
},
"$:/language/Buttons/FullScreen/Caption": {
"title": "$:/language/Buttons/FullScreen/Caption",
"text": "volledig scherm"
},
"$:/language/Buttons/FullScreen/Hint": {
"title": "$:/language/Buttons/FullScreen/Hint",
"text": "Start of verlaat 'volledig scherm'"
},
"$:/language/Buttons/Heading1/Caption": {
"title": "$:/language/Buttons/Heading1/Caption",
"text": "kop 1"
},
"$:/language/Buttons/Heading1/Hint": {
"title": "$:/language/Buttons/Heading1/Hint",
"text": "Formatteer gekozen regel als kop 1"
},
"$:/language/Buttons/Heading2/Caption": {
"title": "$:/language/Buttons/Heading2/Caption",
"text": "kop 2"
},
"$:/language/Buttons/Heading2/Hint": {
"title": "$:/language/Buttons/Heading2/Hint",
"text": "Formatteer gekozen regel als kop 2"
},
"$:/language/Buttons/Heading3/Caption": {
"title": "$:/language/Buttons/Heading3/Caption",
"text": "kop 3"
},
"$:/language/Buttons/Heading3/Hint": {
"title": "$:/language/Buttons/Heading3/Hint",
"text": "Formatteer gekozen regel als kop 3"
},
"$:/language/Buttons/Heading4/Caption": {
"title": "$:/language/Buttons/Heading4/Caption",
"text": "kop 4"
},
"$:/language/Buttons/Heading4/Hint": {
"title": "$:/language/Buttons/Heading4/Hint",
"text": "Formatteer gekozen regel als kop 4"
},
"$:/language/Buttons/Heading5/Caption": {
"title": "$:/language/Buttons/Heading5/Caption",
"text": "kop 5"
},
"$:/language/Buttons/Heading5/Hint": {
"title": "$:/language/Buttons/Heading5/Hint",
"text": "Formatteer gekozen regel als kop 5"
},
"$:/language/Buttons/Heading6/Caption": {
"title": "$:/language/Buttons/Heading6/Caption",
"text": "kop 6"
},
"$:/language/Buttons/Heading6/Hint": {
"title": "$:/language/Buttons/Heading6/Hint",
"text": "Formatteer gekozen regel als kop 6"
},
"$:/language/Buttons/Help/Caption": {
"title": "$:/language/Buttons/Help/Caption",
"text": "hulp"
},
"$:/language/Buttons/Help/Hint": {
"title": "$:/language/Buttons/Help/Hint",
"text": "Toon hulppaneel"
},
"$:/language/Buttons/HideSideBar/Caption": {
"title": "$:/language/Buttons/HideSideBar/Caption",
"text": "verberg zijpaneel"
},
"$:/language/Buttons/HideSideBar/Hint": {
"title": "$:/language/Buttons/HideSideBar/Hint",
"text": "Verberg zijpaneel"
},
"$:/language/Buttons/Home/Caption": {
"title": "$:/language/Buttons/Home/Caption",
"text": "home"
},
"$:/language/Buttons/Home/Hint": {
"title": "$:/language/Buttons/Home/Hint",
"text": "Open de standaard tiddlers"
},
"$:/language/Buttons/Import/Caption": {
"title": "$:/language/Buttons/Import/Caption",
"text": "importeer"
},
"$:/language/Buttons/Import/Hint": {
"title": "$:/language/Buttons/Import/Hint",
"text": "Importeer bestanden"
},
"$:/language/Buttons/Info/Caption": {
"title": "$:/language/Buttons/Info/Caption",
"text": "info"
},
"$:/language/Buttons/Info/Hint": {
"title": "$:/language/Buttons/Info/Hint",
"text": "Toon informatie over deze tiddler"
},
"$:/language/Buttons/Italic/Caption": {
"title": "$:/language/Buttons/Italic/Caption",
"text": "cursief"
},
"$:/language/Buttons/Italic/Hint": {
"title": "$:/language/Buttons/Italic/Hint",
"text": "Maak selectie cursief"
},
"$:/language/Buttons/Language/Caption": {
"title": "$:/language/Buttons/Language/Caption",
"text": "taal"
},
"$:/language/Buttons/Language/Hint": {
"title": "$:/language/Buttons/Language/Hint",
"text": "Kies de taal voor de gebruikersomgeving"
},
"$:/language/Buttons/LineWidth/Caption": {
"title": "$:/language/Buttons/LineWidth/Caption",
"text": "lijnbreedte"
},
"$:/language/Buttons/LineWidth/Hint": {
"title": "$:/language/Buttons/LineWidth/Hint",
"text": "Stel lijnbreedte in"
},
"$:/language/Buttons/Link/Hint": {
"title": "$:/language/Buttons/Link/Hint",
"text": "Maak een wikitext link"
},
"$:/language/Buttons/Linkify/Hint": {
"title": "$:/language/Buttons/Linkify/Hint",
"text": "Zet selectie tussen vierkante haakjes"
},
"$:/language/Buttons/ListBullet/Caption": {
"title": "$:/language/Buttons/ListBullet/Caption",
"text": "lijst met opsommingstekens"
},
"$:/language/Buttons/ListBullet/Hint": {
"title": "$:/language/Buttons/ListBullet/Hint",
"text": "Maak van selectie een lijst met opsommingstekens"
},
"$:/language/Buttons/ListNumber/Caption": {
"title": "$:/language/Buttons/ListNumber/Caption",
"text": "genummerde lijst"
},
"$:/language/Buttons/ListNumber/Hint": {
"title": "$:/language/Buttons/ListNumber/Hint",
"text": "Maak van selectie een genummerde lijst"
},
"$:/language/Buttons/MonoBlock/Caption": {
"title": "$:/language/Buttons/MonoBlock/Caption",
"text": "blok in 'monospace'"
},
"$:/language/Buttons/MonoBlock/Hint": {
"title": "$:/language/Buttons/MonoBlock/Hint",
"text": "Maak van selectie een 'monospace' blokformattering"
},
"$:/language/Buttons/MonoLine/Caption": {
"title": "$:/language/Buttons/MonoLine/Caption",
"text": "in 'monospace'"
},
"$:/language/Buttons/MonoLine/Hint": {
"title": "$:/language/Buttons/MonoLine/Hint",
"text": "Maak van selectie een 'monospace' karakterformattering"
},
"$:/language/Buttons/More/Caption": {
"title": "$:/language/Buttons/More/Caption",
"text": "meer"
},
"$:/language/Buttons/More/Hint": {
"title": "$:/language/Buttons/More/Hint",
"text": "Meer mogelijkheden"
},
"$:/language/Buttons/NewHere/Caption": {
"title": "$:/language/Buttons/NewHere/Caption",
"text": "nieuw hier"
},
"$:/language/Buttons/NewHere/Hint": {
"title": "$:/language/Buttons/NewHere/Hint",
"text": "Maak een nieuwe tiddler gelabeld met deze tiddler"
},
"$:/language/Buttons/NewImage/Caption": {
"title": "$:/language/Buttons/NewImage/Caption",
"text": "nieuwe afbeelding"
},
"$:/language/Buttons/NewImage/Hint": {
"title": "$:/language/Buttons/NewImage/Hint",
"text": "Maak een nieuwe afbeelding"
},
"$:/language/Buttons/NewJournal/Caption": {
"title": "$:/language/Buttons/NewJournal/Caption",
"text": "nieuw journaal"
},
"$:/language/Buttons/NewJournal/Hint": {
"title": "$:/language/Buttons/NewJournal/Hint",
"text": "Maak een nieuwe journaaltiddler"
},
"$:/language/Buttons/NewJournalHere/Caption": {
"title": "$:/language/Buttons/NewJournalHere/Caption",
"text": "nieuw journaal hier"
},
"$:/language/Buttons/NewJournalHere/Hint": {
"title": "$:/language/Buttons/NewJournalHere/Hint",
"text": "Maak een nieuwe journaaltiddler gelabeld met deze tiddler"
},
"$:/language/Buttons/NewMarkdown/Caption": {
"title": "$:/language/Buttons/NewMarkdown/Caption",
"text": "nieuwe 'Markdown' tiddler"
},
"$:/language/Buttons/NewMarkdown/Hint": {
"title": "$:/language/Buttons/NewMarkdown/Hint",
"text": "Maak een nieuwe 'Markdown' tiddler"
},
"$:/language/Buttons/NewTiddler/Caption": {
"title": "$:/language/Buttons/NewTiddler/Caption",
"text": "nieuwe tiddler"
},
"$:/language/Buttons/NewTiddler/Hint": {
"title": "$:/language/Buttons/NewTiddler/Hint",
"text": "Maak een nieuwe tiddler"
},
"$:/language/Buttons/Opacity/Caption": {
"title": "$:/language/Buttons/Opacity/Caption",
"text": "ondoorzichtigheid"
},
"$:/language/Buttons/Opacity/Hint": {
"title": "$:/language/Buttons/Opacity/Hint",
"text": "Stel de ondoorzichtigheid in"
},
"$:/language/Buttons/OpenWindow/Caption": {
"title": "$:/language/Buttons/OpenWindow/Caption",
"text": "open in een nieuw venster"
},
"$:/language/Buttons/OpenWindow/Hint": {
"title": "$:/language/Buttons/OpenWindow/Hint",
"text": "Open tiddler in nieuw venster"
},
"$:/language/Buttons/Paint/Caption": {
"title": "$:/language/Buttons/Paint/Caption",
"text": "kleur om te tekenen"
},
"$:/language/Buttons/Paint/Hint": {
"title": "$:/language/Buttons/Paint/Hint",
"text": "Kies kleur om te tekenen"
},
"$:/language/Buttons/Palette/Caption": {
"title": "$:/language/Buttons/Palette/Caption",
"text": "palet"
},
"$:/language/Buttons/Palette/Hint": {
"title": "$:/language/Buttons/Palette/Hint",
"text": "Kies het kleurpalet"
},
"$:/language/Buttons/Permalink/Caption": {
"title": "$:/language/Buttons/Permalink/Caption",
"text": "permalink"
},
"$:/language/Buttons/Permalink/Hint": {
"title": "$:/language/Buttons/Permalink/Hint",
"text": "Zet browseradres als directe link naar deze tiddler"
},
"$:/language/Buttons/Permaview/Caption": {
"title": "$:/language/Buttons/Permaview/Caption",
"text": "permaview"
},
"$:/language/Buttons/Permaview/Hint": {
"title": "$:/language/Buttons/Permaview/Hint",
"text": "Zet browseradres als directe link naar alle open tiddlers"
},
"$:/language/Buttons/Picture/Caption": {
"title": "$:/language/Buttons/Picture/Caption",
"text": "afbeelding"
},
"$:/language/Buttons/Picture/Hint": {
"title": "$:/language/Buttons/Picture/Hint",
"text": "Voeg afbeelding in"
},
"$:/language/Buttons/Preview/Caption": {
"title": "$:/language/Buttons/Preview/Caption",
"text": "voorbeeldweergave"
},
"$:/language/Buttons/Preview/Hint": {
"title": "$:/language/Buttons/Preview/Hint",
"text": "Toon voorbeeldweergavepaneel"
},
"$:/language/Buttons/PreviewType/Caption": {
"title": "$:/language/Buttons/PreviewType/Caption",
"text": "type voorbeeldweergave"
},
"$:/language/Buttons/PreviewType/Hint": {
"title": "$:/language/Buttons/PreviewType/Hint",
"text": "Kies type voorbeeldweergave"
},
"$:/language/Buttons/Print/Caption": {
"title": "$:/language/Buttons/Print/Caption",
"text": "druk pagina af"
},
"$:/language/Buttons/Print/Hint": {
"title": "$:/language/Buttons/Print/Hint",
"text": "Druk the actuele pagina af"
},
"$:/language/Buttons/Quote/Caption": {
"title": "$:/language/Buttons/Quote/Caption",
"text": "'blockquote'"
},
"$:/language/Buttons/Quote/Hint": {
"title": "$:/language/Buttons/Quote/Hint",
"text": "Maak van selectie een 'blockqoute'"
},
"$:/language/Buttons/Refresh/Caption": {
"title": "$:/language/Buttons/Refresh/Caption",
"text": "ververs"
},
"$:/language/Buttons/Refresh/Hint": {
"title": "$:/language/Buttons/Refresh/Hint",
"text": "Ververs deze wiki"
},
"$:/language/Buttons/RotateLeft/Caption": {
"title": "$:/language/Buttons/RotateLeft/Caption",
"text": "Roteer linksom"
},
"$:/language/Buttons/RotateLeft/Hint": {
"title": "$:/language/Buttons/RotateLeft/Hint",
"text": "Roteer 90 graden linksom"
},
"$:/language/Buttons/Save/Caption": {
"title": "$:/language/Buttons/Save/Caption",
"text": "opslaan"
},
"$:/language/Buttons/Save/Hint": {
"title": "$:/language/Buttons/Save/Hint",
"text": "Sla deze tiddler op"
},
"$:/language/Buttons/SaveWiki/Caption": {
"title": "$:/language/Buttons/SaveWiki/Caption",
"text": "opslaan"
},
"$:/language/Buttons/SaveWiki/Hint": {
"title": "$:/language/Buttons/SaveWiki/Hint",
"text": "Sla wijzigingen op"
},
"$:/language/Buttons/ShowSideBar/Caption": {
"title": "$:/language/Buttons/ShowSideBar/Caption",
"text": "toon zijpaneel"
},
"$:/language/Buttons/ShowSideBar/Hint": {
"title": "$:/language/Buttons/ShowSideBar/Hint",
"text": "Toon zijpaneel"
},
"$:/language/Buttons/SidebarSearch/Hint": {
"title": "$:/language/Buttons/SidebarSearch/Hint",
"text": "Kies het zoekvak in het zijpaneel"
},
"$:/language/Buttons/Size/Caption": {
"title": "$:/language/Buttons/Size/Caption",
"text": "formaat van de afbeelding"
},
"$:/language/Buttons/Size/Caption/Height": {
"title": "$:/language/Buttons/Size/Caption/Height",
"text": "Hoogte:"
},
"$:/language/Buttons/Size/Caption/Resize": {
"title": "$:/language/Buttons/Size/Caption/Resize",
"text": "Wijzig het formaat van de afbeelding"
},
"$:/language/Buttons/Size/Caption/Width": {
"title": "$:/language/Buttons/Size/Caption/Width",
"text": "Breedte:"
},
"$:/language/Buttons/Size/Hint": {
"title": "$:/language/Buttons/Size/Hint",
"text": "Stel grootte van afbeelding in"
},
"$:/language/Buttons/Stamp/Caption": {
"title": "$:/language/Buttons/Stamp/Caption",
"text": "stempel"
},
"$:/language/Buttons/Stamp/Caption/New": {
"title": "$:/language/Buttons/Stamp/Caption/New",
"text": "Voeg je eigen ... toe"
},
"$:/language/Buttons/Stamp/Hint": {
"title": "$:/language/Buttons/Stamp/Hint",
"text": "Voeg een bestaand tekstfragment in"
},
"$:/language/Buttons/Stamp/New/Text": {
"title": "$:/language/Buttons/Stamp/New/Text",
"text": "Tekstfragment (Denk eraan om een beschrijvende titel aan het 'caption' veld toe te voegen)."
},
"$:/language/Buttons/Stamp/New/Title": {
"title": "$:/language/Buttons/Stamp/New/Title",
"text": "Naam getoond in het menu"
},
"$:/language/Buttons/StoryView/Caption": {
"title": "$:/language/Buttons/StoryView/Caption",
"text": "'story' weergave"
},
"$:/language/Buttons/StoryView/Hint": {
"title": "$:/language/Buttons/StoryView/Hint",
"text": "Kies de 'story' weergave"
},
"$:/language/Buttons/Strikethrough/Caption": {
"title": "$:/language/Buttons/Strikethrough/Caption",
"text": "doorstrepen"
},
"$:/language/Buttons/Strikethrough/Hint": {
"title": "$:/language/Buttons/Strikethrough/Hint",
"text": "Streep de selectie door"
},
"$:/language/Buttons/Subscript/Caption": {
"title": "$:/language/Buttons/Subscript/Caption",
"text": "'subscript'"
},
"$:/language/Buttons/Subscript/Hint": {
"title": "$:/language/Buttons/Subscript/Hint",
"text": "Maak selectie 'subscript'"
},
"$:/language/Buttons/Superscript/Caption": {
"title": "$:/language/Buttons/Superscript/Caption",
"text": "'superscript'"
},
"$:/language/Buttons/Superscript/Hint": {
"title": "$:/language/Buttons/Superscript/Hint",
"text": "Maak selectie 'superscript'"
},
"$:/language/Buttons/TagManager/Caption": {
"title": "$:/language/Buttons/TagManager/Caption",
"text": "labelmanager"
},
"$:/language/Buttons/TagManager/Hint": {
"title": "$:/language/Buttons/TagManager/Hint",
"text": "Open labelmanager"
},
"$:/language/Buttons/Theme/Caption": {
"title": "$:/language/Buttons/Theme/Caption",
"text": "thema"
},
"$:/language/Buttons/Theme/Hint": {
"title": "$:/language/Buttons/Theme/Hint",
"text": "Kies het thema"
},
"$:/language/Buttons/Timestamp/Caption": {
"title": "$:/language/Buttons/Timestamp/Caption",
"text": "tijdstempels"
},
"$:/language/Buttons/Timestamp/Hint": {
"title": "$:/language/Buttons/Timestamp/Hint",
"text": "Kies of veranderingen de tijdstempels bijwerken"
},
"$:/language/Buttons/Timestamp/Off/Caption": {
"title": "$:/language/Buttons/Timestamp/Off/Caption",
"text": "tijdstempels zijn uit"
},
"$:/language/Buttons/Timestamp/Off/Hint": {
"title": "$:/language/Buttons/Timestamp/Off/Hint",
"text": "Werk tijdstempels niet bij als tiddlers veranderd zijn"
},
"$:/language/Buttons/Timestamp/On/Caption": {
"title": "$:/language/Buttons/Timestamp/On/Caption",
"text": "tijdstempels zijn aan"
},
"$:/language/Buttons/Timestamp/On/Hint": {
"title": "$:/language/Buttons/Timestamp/On/Hint",
"text": "Werk tijdstempels bij als tiddlers veranderd zijn"
},
"$:/language/Buttons/ToggleSidebar/Hint": {
"title": "$:/language/Buttons/ToggleSidebar/Hint",
"text": "'Toggle' het zijpaneel"
},
"$:/language/Buttons/Transcludify/Caption": {
"title": "$:/language/Buttons/Transcludify/Caption",
"text": "transclusie"
},
"$:/language/Buttons/Transcludify/Hint": {
"title": "$:/language/Buttons/Transcludify/Hint",
"text": "Zet selectie tussen accolades"
},
"$:/language/Buttons/Underline/Caption": {
"title": "$:/language/Buttons/Underline/Caption",
"text": "onderstreept"
},
"$:/language/Buttons/Underline/Hint": {
"title": "$:/language/Buttons/Underline/Hint",
"text": "Onderstreep het geselecteerde"
},
"$:/language/Buttons/Unfold/Caption": {
"title": "$:/language/Buttons/Unfold/Caption",
"text": "klap tiddler uit"
},
"$:/language/Buttons/Unfold/Hint": {
"title": "$:/language/Buttons/Unfold/Hint",
"text": "Klap de tekst van deze tiddler uit"
},
"$:/language/Buttons/UnfoldAll/Caption": {
"title": "$:/language/Buttons/UnfoldAll/Caption",
"text": "klap alle tiddlers uit"
},
"$:/language/Buttons/UnfoldAll/Hint": {
"title": "$:/language/Buttons/UnfoldAll/Hint",
"text": "Klap de tekst van alle open tiddlers uit"
},
"$:/language/ControlPanel/Advanced/Caption": {
"title": "$:/language/ControlPanel/Advanced/Caption",
"text": "Geavanceerd"
},
"$:/language/ControlPanel/Advanced/Hint": {
"title": "$:/language/ControlPanel/Advanced/Hint",
"text": "Interne informatie over deze TiddlyWiki"
},
"$:/language/ControlPanel/Appearance/Caption": {
"title": "$:/language/ControlPanel/Appearance/Caption",
"text": "Uiterlijk"
},
"$:/language/ControlPanel/Appearance/Hint": {
"title": "$:/language/ControlPanel/Appearance/Hint",
"text": "Manieren om het uiterlijk van je TiddlyWiki aan te passen"
},
"$:/language/ControlPanel/Basics/AnimDuration/Prompt": {
"title": "$:/language/ControlPanel/Basics/AnimDuration/Prompt",
"text": "Duur van de animatie:"
},
"$:/language/ControlPanel/Basics/AutoFocus/Prompt": {
"title": "$:/language/ControlPanel/Basics/AutoFocus/Prompt",
"text": "Standaard 'focus' veld van een nieuwe tiddler"
},
"$:/language/ControlPanel/Basics/Caption": {
"title": "$:/language/ControlPanel/Basics/Caption",
"text": "Standaard"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/BottomHint",
"text": "Gebruik [[dubbele rechte haken]] voor titels met spaties. Of je kan <$button set=\"$:/DefaultTiddlers\" setTo=\"[list[$:/StoryList]]\"> kiezen voor behoud van open tiddlers"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/Prompt",
"text": "Standaard tiddlers:"
},
"$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint": {
"title": "$:/language/ControlPanel/Basics/DefaultTiddlers/TopHint",
"text": "Kies welke tiddlers bij opstarten getoond worden:"
},
"$:/language/ControlPanel/Basics/Language/Prompt": {
"title": "$:/language/ControlPanel/Basics/Language/Prompt",
"text": "Hallo! Huidige taal:"
},
"$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Tags/Prompt",
"text": "Labels voor nieuwe journaaltiddlers"
},
"$:/language/ControlPanel/Basics/NewJournal/Text/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Text/Prompt",
"text": "Tekst voor nieuwe journaaltiddlers"
},
"$:/language/ControlPanel/Basics/NewJournal/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewJournal/Title/Prompt",
"text": "Titel voor nieuwe journaaltiddlers"
},
"$:/language/ControlPanel/Basics/NewTiddler/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Tags/Prompt",
"text": "Labels voor nieuwe tiddlers"
},
"$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/NewTiddler/Title/Prompt",
"text": "Titel voor nieuwe tiddlers"
},
"$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/OverriddenShadowTiddlers/Prompt",
"text": "Aantal overschreven schaduwtiddlers:"
},
"$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/ShadowTiddlers/Prompt",
"text": "Aantal schaduwtiddlers:"
},
"$:/language/ControlPanel/Basics/Subtitle/Prompt": {
"title": "$:/language/ControlPanel/Basics/Subtitle/Prompt",
"text": "Ondertitel:"
},
"$:/language/ControlPanel/Basics/SystemTiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/SystemTiddlers/Prompt",
"text": "Aantal systeem tiddlers:"
},
"$:/language/ControlPanel/Basics/Tags/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tags/Prompt",
"text": "Aantal labels:"
},
"$:/language/ControlPanel/Basics/Tiddlers/Prompt": {
"title": "$:/language/ControlPanel/Basics/Tiddlers/Prompt",
"text": "Aantal tiddlers:"
},
"$:/language/ControlPanel/Basics/Title/Prompt": {
"title": "$:/language/ControlPanel/Basics/Title/Prompt",
"text": "Titel van deze ~TiddlyWiki:"
},
"$:/language/ControlPanel/Basics/Username/Prompt": {
"title": "$:/language/ControlPanel/Basics/Username/Prompt",
"text": "Gebruikersnaam voor wijzigingen:"
},
"$:/language/ControlPanel/Basics/Version/Prompt": {
"title": "$:/language/ControlPanel/Basics/Version/Prompt",
"text": "~TiddlyWiki versie:"
},
"$:/language/ControlPanel/EditorTypes/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Caption",
"text": "Editor types"
},
"$:/language/ControlPanel/EditorTypes/Editor/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Editor/Caption",
"text": "Editor"
},
"$:/language/ControlPanel/EditorTypes/Hint": {
"title": "$:/language/ControlPanel/EditorTypes/Hint",
"text": "Deze tiddlers bepalen welke editor wordt gebruikt voor specifieke tiddler types"
},
"$:/language/ControlPanel/EditorTypes/Type/Caption": {
"title": "$:/language/ControlPanel/EditorTypes/Type/Caption",
"text": "Type"
},
"$:/language/ControlPanel/Info/Caption": {
"title": "$:/language/ControlPanel/Info/Caption",
"text": "Info"
},
"$:/language/ControlPanel/Info/Hint": {
"title": "$:/language/ControlPanel/Info/Hint",
"text": "Informatie over deze TiddlyWiki"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Caption",
"text": "voeg sneltoets toe"
},
"$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Add/Prompt",
"text": "Type hier de sneltoets"
},
"$:/language/ControlPanel/KeyboardShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Caption",
"text": "Sneltoetsen"
},
"$:/language/ControlPanel/KeyboardShortcuts/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Hint",
"text": "Beheer sneltoetstoewijzing"
},
"$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/NoShortcuts/Caption",
"text": "Geen sneltoetsen toegekend"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/All": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/All",
"text": "Alle platformen"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Linux",
"text": "Alleen voor Linux"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Mac",
"text": "Alleen voor Macintosh"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonLinux",
"text": "Alleen voor niet-Linux"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonMac",
"text": "Alleen voor niet-Macintosh"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/NonWindows",
"text": "Alleen voor niet-Windows"
},
"$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Platform/Windows",
"text": "Alleen voor Windows"
},
"$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint": {
"title": "$:/language/ControlPanel/KeyboardShortcuts/Remove/Hint",
"text": "verwijder sneltoets"
},
"$:/language/ControlPanel/LoadedModules/Caption": {
"title": "$:/language/ControlPanel/LoadedModules/Caption",
"text": "Geladen modules"
},
"$:/language/ControlPanel/LoadedModules/Hint": {
"title": "$:/language/ControlPanel/LoadedModules/Hint",
"text": "Dit zijn de nu geladen tiddler modules gekoppeld aan hun brontiddlers. Alle cursieve modules hebben geen brontiddler, gewoonlijk omdat ze tijdens het bootproces gemaakt werden."
},
"$:/language/ControlPanel/Palette/Caption": {
"title": "$:/language/ControlPanel/Palette/Caption",
"text": "Palet"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Caption",
"text": "kloon"
},
"$:/language/ControlPanel/Palette/Editor/Clone/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Clone/Prompt",
"text": "Het wordt aanbevolen dit schaduwpalet te klonen alvorens het te wijzigen."
},
"$:/language/ControlPanel/Palette/Editor/Delete/Hint": {
"title": "$:/language/ControlPanel/Palette/Editor/Delete/Hint",
"text": "verwijder deze invoer van het huidige palet"
},
"$:/language/ControlPanel/Palette/Editor/Names/External/Show": {
"title": "$:/language/ControlPanel/Palette/Editor/Names/External/Show",
"text": "Toon namen van kleuren die niet tot het huiddige palet behoren"
},
"$:/language/ControlPanel/Palette/Editor/Prompt": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt",
"text": "Wijzigen"
},
"$:/language/ControlPanel/Palette/Editor/Prompt/Modified": {
"title": "$:/language/ControlPanel/Palette/Editor/Prompt/Modified",
"text": "Dit schaduwpalet is gewijzigd"
},
"$:/language/ControlPanel/Palette/Editor/Reset/Caption": {
"title": "$:/language/ControlPanel/Palette/Editor/Reset/Caption",
"text": "reset"
},
"$:/language/ControlPanel/Palette/HideEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/HideEditor/Caption",
"text": "verberg editor"
},
"$:/language/ControlPanel/Palette/Prompt": {
"title": "$:/language/ControlPanel/Palette/Prompt",
"text": "Huidig palet:"
},
"$:/language/ControlPanel/Palette/ShowEditor/Caption": {
"title": "$:/language/ControlPanel/Palette/ShowEditor/Caption",
"text": "toon editor"
},
"$:/language/ControlPanel/Parsing/Block/Caption": {
"title": "$:/language/ControlPanel/Parsing/Block/Caption",
"text": "'Block parse' regels"
},
"$:/language/ControlPanel/Parsing/Caption": {
"title": "$:/language/ControlPanel/Parsing/Caption",
"text": "'Parsing' (ontleding)"
},
"$:/language/ControlPanel/Parsing/Hint": {
"title": "$:/language/ControlPanel/Parsing/Hint",
"text": "Hier kan je globaal individuele wiki 'parser' regels uitzetten. Wees voorzichtig daar het uitzetten van sommige 'parser' regels het juist functioneren van ~Tiddlywiki kan beletten (je kan het normale gedrag herstellen met [['safe mode'|https://tiddlywiki.com/#SafeMode]])"
},
"$:/language/ControlPanel/Parsing/Inline/Caption": {
"title": "$:/language/ControlPanel/Parsing/Inline/Caption",
"text": "'Inline parse' regels"
},
"$:/language/ControlPanel/Parsing/Pragma/Caption": {
"title": "$:/language/ControlPanel/Parsing/Pragma/Caption",
"text": "'Pragma parse' regels"
},
"$:/language/ControlPanel/Plugins/Add/Caption": {
"title": "$:/language/ControlPanel/Plugins/Add/Caption",
"text": "Verkrijg meer plugins"
},
"$:/language/ControlPanel/Plugins/Add/Hint": {
"title": "$:/language/ControlPanel/Plugins/Add/Hint",
"text": "Installeer plugins van de officiële bibliotheek"
},
"$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/AlreadyInstalled/Hint",
"text": "Deze plugin is al geïnstalleerd met versie <$text text=<<installedVersion>>/>"
},
"$:/language/ControlPanel/Plugins/AlsoRequires": {
"title": "$:/language/ControlPanel/Plugins/AlsoRequires",
"text": "Vereist ook:"
},
"$:/language/ControlPanel/Plugins/Caption": {
"title": "$:/language/ControlPanel/Plugins/Caption",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/ClosePluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/ClosePluginLibrary",
"text": "schakel pluginbibliotheek uit"
},
"$:/language/ControlPanel/Plugins/Disable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Disable/Caption",
"text": "schakel uit"
},
"$:/language/ControlPanel/Plugins/Disable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Disable/Hint",
"text": "Schakel deze plugin uit na verversen van deze pagina"
},
"$:/language/ControlPanel/Plugins/Disabled/Status": {
"title": "$:/language/ControlPanel/Plugins/Disabled/Status",
"text": "(uitgeschakeld)"
},
"$:/language/ControlPanel/Plugins/Empty/Hint": {
"title": "$:/language/ControlPanel/Plugins/Empty/Hint",
"text": "Niets"
},
"$:/language/ControlPanel/Plugins/Enable/Caption": {
"title": "$:/language/ControlPanel/Plugins/Enable/Caption",
"text": "activeer"
},
"$:/language/ControlPanel/Plugins/Enable/Hint": {
"title": "$:/language/ControlPanel/Plugins/Enable/Hint",
"text": "Activeer deze plugin bij verversen van deze pagina"
},
"$:/language/ControlPanel/Plugins/Install/Caption": {
"title": "$:/language/ControlPanel/Plugins/Install/Caption",
"text": "installeer"
},
"$:/language/ControlPanel/Plugins/Installed/Hint": {
"title": "$:/language/ControlPanel/Plugins/Installed/Hint",
"text": "Geïnstalleerde plugins:"
},
"$:/language/ControlPanel/Plugins/Language/Prompt": {
"title": "$:/language/ControlPanel/Plugins/Language/Prompt",
"text": "Talen"
},
"$:/language/ControlPanel/Plugins/Languages/Caption": {
"title": "$:/language/ControlPanel/Plugins/Languages/Caption",
"text": "Talen"
},
"$:/language/ControlPanel/Plugins/Languages/Hint": {
"title": "$:/language/ControlPanel/Plugins/Languages/Hint",
"text": "Taal plugins"
},
"$:/language/ControlPanel/Plugins/NoInfoFound/Hint": {
"title": "$:/language/ControlPanel/Plugins/NoInfoFound/Hint",
"text": "''\"<$text text=<<currentTab>>/>\"'' niet gevonden"
},
"$:/language/ControlPanel/Plugins/NotInstalled/Hint": {
"title": "$:/language/ControlPanel/Plugins/NotInstalled/Hint",
"text": "Deze plugin is nu niet geïnstalleerd"
},
"$:/language/ControlPanel/Plugins/OpenPluginLibrary": {
"title": "$:/language/ControlPanel/Plugins/OpenPluginLibrary",
"text": "open pluginbibliotheek"
},
"$:/language/ControlPanel/Plugins/Plugin/Prompt": {
"title": "$:/language/ControlPanel/Plugins/Plugin/Prompt",
"text": "Plugins"
},
"$:/language/ControlPanel/Plugins/PluginWillRequireReload": {
"title": "$:/language/ControlPanel/Plugins/PluginWillRequireReload",
"text": "(vereist een 'reload')"
},
"$:/language/ControlPanel/Plugins/Reinstall/Caption": {
"title": "$:/language/ControlPanel/Plugins/Reinstall/Caption",
"text": "herinstalleer"
},
"$:/language/ControlPanel/Plugins/Theme/Prompt": {
"title": "$:/language/ControlPanel/Plugins/Theme/Prompt",
"text": "Thema's"
},
"$:/language/ControlPanel/Plugins/Themes/Caption": {
"title": "$:/language/ControlPanel/Plugins/Themes/Caption",
"text": "Thema's"
},
"$:/language/ControlPanel/Plugins/Themes/Hint": {
"title": "$:/language/ControlPanel/Plugins/Themes/Hint",
"text": "Thema plugins"
},
"$:/language/ControlPanel/Plugins/Updates/Hint": {
"title": "$:/language/ControlPanel/Plugins/Updates/Hint",
"text": "Beschikbare updates voor geïnstalleerde plugins"
},
"$:/language/ControlPanel/Saving/Caption": {
"title": "$:/language/ControlPanel/Saving/Caption",
"text": "Opslaan"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Description",
"text": "Sta automatisch opslaan toe voor de opslagmodule"
},
"$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/AutoSave/Hint",
"text": "Sla automatisch op voor de opslagmodule"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Caption": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Caption",
"text": "Opslagmodule"
},
"$:/language/ControlPanel/Saving/DownloadSaver/Hint": {
"title": "$:/language/ControlPanel/Saving/DownloadSaver/Hint",
"text": "Deze instellingen gelden voor de HTML5 compatibele opslagmodule"
},
"$:/language/ControlPanel/Saving/General/Caption": {
"title": "$:/language/ControlPanel/Saving/General/Caption",
"text": "Algemeen"
},
"$:/language/ControlPanel/Saving/General/Hint": {
"title": "$:/language/ControlPanel/Saving/General/Hint",
"text": "Deze instellingen gelden voor alle geladen opslagmodules"
},
"$:/language/ControlPanel/Saving/GitHub/Branch": {
"title": "$:/language/ControlPanel/Saving/GitHub/Branch",
"text": "'Tak' (branch) voor opslaan (standaard `master`)"
},
"$:/language/ControlPanel/Saving/GitHub/Caption": {
"title": "$:/language/ControlPanel/Saving/GitHub/Caption",
"text": "~GitHub opslagmodule"
},
"$:/language/ControlPanel/Saving/GitHub/Description": {
"title": "$:/language/ControlPanel/Saving/GitHub/Description",
"text": "Deze instellingen worden alleen gebruikt voor opslaan bij ~GitHub"
},
"$:/language/ControlPanel/Saving/GitHub/Filename": {
"title": "$:/language/ControlPanel/Saving/GitHub/Filename",
"text": "Bestandsnaam van doelbestand (b.v. `index.html`)"
},
"$:/language/ControlPanel/Saving/GitHub/Password": {
"title": "$:/language/ControlPanel/Saving/GitHub/Password",
"text": "Wachtwoord, OAUTH token of persoonlijk toegangstoken"
},
"$:/language/ControlPanel/Saving/GitHub/Path": {
"title": "$:/language/ControlPanel/Saving/GitHub/Path",
"text": "Pad naar doelbestand (b.v. `/wiki/`)"
},
"$:/language/ControlPanel/Saving/GitHub/Repo": {
"title": "$:/language/ControlPanel/Saving/GitHub/Repo",
"text": "Opslagplaats (b.v. `Jermolene/TiddlyWiki5`)"
},
"$:/language/ControlPanel/Saving/GitHub/ServerURL": {
"title": "$:/language/ControlPanel/Saving/GitHub/ServerURL",
"text": "Server URL (standaard `https://api.github.com`)"
},
"$:/language/ControlPanel/Saving/GitHub/UserName": {
"title": "$:/language/ControlPanel/Saving/GitHub/UserName",
"text": "Gebruikersnaam"
},
"$:/language/ControlPanel/Saving/GitService/Branch": {
"title": "$:/language/ControlPanel/Saving/GitService/Branch",
"text": "Doeltak (branch) voor opslaan"
},
"$:/language/ControlPanel/Saving/GitService/CommitMessage": {
"title": "$:/language/ControlPanel/Saving/GitService/CommitMessage",
"text": "Opgeslagen door TiddlyWiki"
},
"$:/language/ControlPanel/Saving/GitService/Description": {
"title": "$:/language/ControlPanel/Saving/GitService/Description",
"text": "Deze instellingen worden alleen gebruikt bij opslaan naar <<service-name>>"
},
"$:/language/ControlPanel/Saving/GitService/Filename": {
"title": "$:/language/ControlPanel/Saving/GitService/Filename",
"text": "Bestandsnaam van doelbestand (b.v. `index.html`)"
},
"$:/language/ControlPanel/Saving/GitService/Gitea/Caption": {
"title": "$:/language/ControlPanel/Saving/GitService/Gitea/Caption",
"text": "Gitea opslagmodule"
},
"$:/language/ControlPanel/Saving/GitService/Gitea/Password": {
"title": "$:/language/ControlPanel/Saving/GitService/Gitea/Password",
"text": "Persoonlijk toegangs-token voor API (via Gitea’s web interface: `Settings | Applications | Generate New Token`)"
},
"$:/language/ControlPanel/Saving/GitService/GitHub/Caption": {
"title": "$:/language/ControlPanel/Saving/GitService/GitHub/Caption",
"text": "~GitHub opslagmodule"
},
"$:/language/ControlPanel/Saving/GitService/GitHub/Password": {
"title": "$:/language/ControlPanel/Saving/GitService/GitHub/Password",
"text": "Wachtwoord, OAUTH token, of persoonlijk toegangs-token (zie [[GitHub help-pagina|https://help.github.com/en/articles/creating-a-personal-access-token-for-the-command-line]] voor details)"
},
"$:/language/ControlPanel/Saving/GitService/GitLab/Caption": {
"title": "$:/language/ControlPanel/Saving/GitService/GitLab/Caption",
"text": "~GitLab opslagmodule"
},
"$:/language/ControlPanel/Saving/GitService/GitLab/Password": {
"title": "$:/language/ControlPanel/Saving/GitService/GitLab/Password",
"text": "Persoonlijk toegangs-token voor API (zie [[GitLab help-pagina|https://docs.gitlab.com/ee/user/profile/personal_access_tokens.html]] voor details)"
},
"$:/language/ControlPanel/Saving/GitService/Path": {
"title": "$:/language/ControlPanel/Saving/GitService/Path",
"text": "Pad naar doelbestand (b.v. `/wiki/`)"
},
"$:/language/ControlPanel/Saving/GitService/Repo": {
"title": "$:/language/ControlPanel/Saving/GitService/Repo",
"text": "Opslagdoel (b.v. `Jermolene/TiddlyWiki5`)"
},
"$:/language/ControlPanel/Saving/GitService/UserName": {
"title": "$:/language/ControlPanel/Saving/GitService/UserName",
"text": "Gebruikersnaam"
},
"$:/language/ControlPanel/Saving/Heading": {
"title": "$:/language/ControlPanel/Saving/Heading",
"text": "Opslaan"
},
"$:/language/ControlPanel/Saving/Hint": {
"title": "$:/language/ControlPanel/Saving/Hint",
"text": "Instellingen voor opslaan van de gehele TiddlyWiki als één bestand via een opslagmodule"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Advanced/Heading",
"text": "Geavanceerde instellingen"
},
"$:/language/ControlPanel/Saving/TiddlySpot/BackupDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/BackupDir",
"text": "Backup folder"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Backups": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Backups",
"text": "Backups"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Caption": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Caption",
"text": "~TiddlySpot opslagmodule"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ControlPanel": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ControlPanel",
"text": "~TiddlySpot bedieningspaneel"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Description": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Description",
"text": "Deze instellingen worden alleen gebruikt bij http://tiddlyspot.com of een vergelijkbare server"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Filename": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Filename",
"text": "Upload bestandsnaam"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Heading": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Heading",
"text": "~TiddlySpot"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Hint": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Hint",
"text": "//De standaard server URL is `http://<wikiname>.tiddlyspot.com/store.cgi` en kan gewijzigd worden in een speciaal serveradres//"
},
"$:/language/ControlPanel/Saving/TiddlySpot/Password": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/Password",
"text": "Wachtwoord"
},
"$:/language/ControlPanel/Saving/TiddlySpot/ServerURL": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/ServerURL",
"text": "Server URL"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UploadDir": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UploadDir",
"text": "Upload folder"
},
"$:/language/ControlPanel/Saving/TiddlySpot/UserName": {
"title": "$:/language/ControlPanel/Saving/TiddlySpot/UserName",
"text": "Wiki naam"
},
"$:/language/ControlPanel/Settings/AutoSave/Caption": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Caption",
"text": "Automatisch opslaan"
},
"$:/language/ControlPanel/Settings/AutoSave/Disabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Disabled/Description",
"text": "Sla veranderingen niet automatisch op"
},
"$:/language/ControlPanel/Settings/AutoSave/Enabled/Description": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Enabled/Description",
"text": "Sla veranderingen automatisch op"
},
"$:/language/ControlPanel/Settings/AutoSave/Hint": {
"title": "$:/language/ControlPanel/Settings/AutoSave/Hint",
"text": "Sla veranderingen tijdens wijzigen automatisch op"
},
"$:/language/ControlPanel/Settings/CamelCase/Caption": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Caption",
"text": "'Camel Case' wiki links"
},
"$:/language/ControlPanel/Settings/CamelCase/Description": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Description",
"text": "Zet automatisch 'Camel Case' linken aan"
},
"$:/language/ControlPanel/Settings/CamelCase/Hint": {
"title": "$:/language/ControlPanel/Settings/CamelCase/Hint",
"text": "Je kan globaal het automatisch linken van 'Camel Case' woorden uitzetten. Dit vereist herladen van de wiki om effect te hebben."
},
"$:/language/ControlPanel/Settings/Caption": {
"title": "$:/language/ControlPanel/Settings/Caption",
"text": "Instellingen"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Caption",
"text": "Standaard 'Meer' zijpaneel tab"
},
"$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultMoreSidebarTab/Hint",
"text": "Geef aan welke 'Meer' tab in het zijpaneel standaard wordt getoond"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Caption",
"text": "Standaard tab in zijpaneel"
},
"$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint": {
"title": "$:/language/ControlPanel/Settings/DefaultSidebarTab/Hint",
"text": "Geef aan welke tab in het zijpaneel standaard wordt getoond"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Caption",
"text": "Editor knoppenbalk"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Description": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Description",
"text": "Toon editor knoppenbalk"
},
"$:/language/ControlPanel/Settings/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Settings/EditorToolbar/Hint",
"text": "Zet editor knoppenbalk aan of uit:"
},
"$:/language/ControlPanel/Settings/Hint": {
"title": "$:/language/ControlPanel/Settings/Hint",
"text": "Met deze instellingen kun je het gedrag van TiddlyWiki veranderen."
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Caption": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Caption",
"text": "Tiddler infopaneel modus"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Hint": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Hint",
"text": "Controleer wanneer het tiddler infopaneel sluit:"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Popup/Description",
"text": "Tiddler informatiepaneel sluit automatisch"
},
"$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description": {
"title": "$:/language/ControlPanel/Settings/InfoPanelMode/Sticky/Description",
"text": "Tiddler informatiepaneel blijft open staan tot expliciet sluiten"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/Caption": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/Caption",
"text": "Waar een tiddler opent"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/InsideRiver/Hint",
"text": "Navigatie //vanuit// de 'story river'"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAbove",
"text": "Open boven de huidige tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtBottom",
"text": "Open onderaan de 'story river'"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenAtTop",
"text": "Open bovenaan de 'story river'"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OpenBelow",
"text": "Open beneden de huidige tiddler"
},
"$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint": {
"title": "$:/language/ControlPanel/Settings/LinkToBehaviour/OutsideRiver/Hint",
"text": "Navigatie //van buiten// de 'story river'"
},
"$:/language/ControlPanel/Settings/MissingLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Caption",
"text": "Wiki links"
},
"$:/language/ControlPanel/Settings/MissingLinks/Description": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Description",
"text": "Sta links naar ontbrekende tiddlers toe"
},
"$:/language/ControlPanel/Settings/MissingLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/MissingLinks/Hint",
"text": "Kies om te linken naar nog niet bestaande tiddlers"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Caption",
"text": "Navigatie adresbalk"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Hint",
"text": "Gedrag van het browseradres bij navigeren naar een tiddler:"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/No/Description",
"text": "Verander het browseradres niet"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permalink/Description",
"text": "Voeg de doeltiddler toe"
},
"$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationAddressBar/Permaview/Description",
"text": "Voeg alle open tiddlers toe"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Caption",
"text": "Navigeer-geschiedenis"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Hint",
"text": "Werk de browsergeschiedenis bij als er naar een tiddler genavigeerd wordt:"
},
"$:/language/ControlPanel/Settings/NavigationHistory/No/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/No/Description",
"text": "Werk de browsergeschiedenis niet bij"
},
"$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationHistory/Yes/Description",
"text": "Werk de browsergeschiedenis bij"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Caption": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Caption",
"text": "Permalink/permaview modus"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/CopyToClipboard/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/CopyToClipboard/Description",
"text": "Kopieer permalink/permaview URL naar klembord"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Hint": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/Hint",
"text": "Kies hoe permalink/permaview wordt behandeld:"
},
"$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/UpdateAddressBar/Description": {
"title": "$:/language/ControlPanel/Settings/NavigationPermalinkviewMode/UpdateAddressBar/Description",
"text": "Werk de adresbalk bij met permalink/permaview URL"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Caption",
"text": "Prestatiemeting"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Description",
"text": "Zet prestatiemeting aan"
},
"$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint": {
"title": "$:/language/ControlPanel/Settings/PerformanceInstrumentation/Hint",
"text": "Toont prestatiestatistieken in de 'browser console'. Dit vereist herladen van de wiki om effect te hebben"
},
"$:/language/ControlPanel/Settings/TitleLinks/Caption": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Caption",
"text": "Titels van tiddlers"
},
"$:/language/ControlPanel/Settings/TitleLinks/Hint": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Hint",
"text": "Toon (optioneel) tiddler titels als links"
},
"$:/language/ControlPanel/Settings/TitleLinks/No/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/No/Description",
"text": "Toon tiddler titels niet als links"
},
"$:/language/ControlPanel/Settings/TitleLinks/Yes/Description": {
"title": "$:/language/ControlPanel/Settings/TitleLinks/Yes/Description",
"text": "Toon tiddler titels als links"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Caption",
"text": "Knoppen van knoppenbalk"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Hint",
"text": "Uiterlijk van standaard knop in knoppenbalk:"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Icons/Description",
"text": "Met pictogram (icon)"
},
"$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtons/Text/Description",
"text": "Met tekst"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Caption",
"text": "Stijl van knoppen in knoppenbalk"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Hint",
"text": "Kies de stijl voor knoppen in knoppenbalk:"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Borderless",
"text": "Zonder rand"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Boxed",
"text": "Vierkante rand"
},
"$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded": {
"title": "$:/language/ControlPanel/Settings/ToolbarButtonStyle/Styles/Rounded",
"text": "Ronde rand"
},
"$:/language/ControlPanel/StoryView/Caption": {
"title": "$:/language/ControlPanel/StoryView/Caption",
"text": "'Story' view"
},
"$:/language/ControlPanel/StoryView/Prompt": {
"title": "$:/language/ControlPanel/StoryView/Prompt",
"text": "Huidige view:"
},
"$:/language/ControlPanel/Stylesheets/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Caption",
"text": "Stijlbladen"
},
"$:/language/ControlPanel/Stylesheets/Expand/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Expand/Caption",
"text": "Klap alles uit"
},
"$:/language/ControlPanel/Stylesheets/Hint": {
"title": "$:/language/ControlPanel/Stylesheets/Hint",
"text": "Dit is de getoonde CSS van de huidige stijlblad-tiddlers gelabeld met <<tag \"$:/tags/Stylesheet\">>"
},
"$:/language/ControlPanel/Stylesheets/Restore/Caption": {
"title": "$:/language/ControlPanel/Stylesheets/Restore/Caption",
"text": "Herstel"
},
"$:/language/ControlPanel/Theme/Caption": {
"title": "$:/language/ControlPanel/Theme/Caption",
"text": "Thema"
},
"$:/language/ControlPanel/Theme/Prompt": {
"title": "$:/language/ControlPanel/Theme/Prompt",
"text": "Huidig thema:"
},
"$:/language/ControlPanel/TiddlerFields/Caption": {
"title": "$:/language/ControlPanel/TiddlerFields/Caption",
"text": "Tiddler velden"
},
"$:/language/ControlPanel/TiddlerFields/Hint": {
"title": "$:/language/ControlPanel/TiddlerFields/Hint",
"text": "Dit is de complete reeks tiddlervelden in gebruik bij deze wiki (inclusief systeemtiddlers maar exclusief schaduwtiddlers)."
},
"$:/language/ControlPanel/Toolbars/Caption": {
"title": "$:/language/ControlPanel/Toolbars/Caption",
"text": "Knoppenbalken"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Caption",
"text": "Editor knoppenbalk"
},
"$:/language/ControlPanel/Toolbars/EditorToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditorToolbar/Hint",
"text": "Kies welke knoppen in de editor knoppenbalk getoond worden. Sommige knoppen worden alleen getoond bij een bepaald type tiddler"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Caption",
"text": "Knoppenbalk bij wijzigen"
},
"$:/language/ControlPanel/Toolbars/EditToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/EditToolbar/Hint",
"text": "Kies welke knoppen getoond worden voor tiddlers in wijzigmode"
},
"$:/language/ControlPanel/Toolbars/Hint": {
"title": "$:/language/ControlPanel/Toolbars/Hint",
"text": "Kies welke knoppen getoond worden"
},
"$:/language/ControlPanel/Toolbars/PageControls/Caption": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Caption",
"text": "Pagina-knoppenbalk"
},
"$:/language/ControlPanel/Toolbars/PageControls/Hint": {
"title": "$:/language/ControlPanel/Toolbars/PageControls/Hint",
"text": "Kies welke knoppen getoond worden op de pagina-knoppenbalk"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Caption": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Caption",
"text": "Knoppenbalk bij weergave"
},
"$:/language/ControlPanel/Toolbars/ViewToolbar/Hint": {
"title": "$:/language/ControlPanel/Toolbars/ViewToolbar/Hint",
"text": "Kies welke knoppen zichtbaar zijn bij weergave"
},
"$:/language/ControlPanel/Tools/Download/Full/Caption": {
"title": "$:/language/ControlPanel/Tools/Download/Full/Caption",
"text": "Download complete wiki (inclusief documentatie)"
},
"$:/core/readme": {
"title": "$:/core/readme",
"text": "Deze plugin bevat TiddlyWiki's core componenten:\n\n* JavaScript code modules\n* Pictogrammen (icons)\n* Sjablonen om TiddlyWiki's gebruikersinterface te maken\n* British English (en-GB) vertalingen van de vertaalbare core strings\n"
},
"$:/language/Date/DaySuffix/1": {
"title": "$:/language/Date/DaySuffix/1",
"text": ""
},
"$:/language/Date/DaySuffix/10": {
"title": "$:/language/Date/DaySuffix/10",
"text": ""
},
"$:/language/Date/DaySuffix/11": {
"title": "$:/language/Date/DaySuffix/11",
"text": ""
},
"$:/language/Date/DaySuffix/12": {
"title": "$:/language/Date/DaySuffix/12",
"text": ""
},
"$:/language/Date/DaySuffix/13": {
"title": "$:/language/Date/DaySuffix/13",
"text": ""
},
"$:/language/Date/DaySuffix/14": {
"title": "$:/language/Date/DaySuffix/14",
"text": ""
},
"$:/language/Date/DaySuffix/15": {
"title": "$:/language/Date/DaySuffix/15",
"text": ""
},
"$:/language/Date/DaySuffix/16": {
"title": "$:/language/Date/DaySuffix/16",
"text": ""
},
"$:/language/Date/DaySuffix/17": {
"title": "$:/language/Date/DaySuffix/17",
"text": ""
},
"$:/language/Date/DaySuffix/18": {
"title": "$:/language/Date/DaySuffix/18",
"text": ""
},
"$:/language/Date/DaySuffix/19": {
"title": "$:/language/Date/DaySuffix/19",
"text": ""
},
"$:/language/Date/DaySuffix/2": {
"title": "$:/language/Date/DaySuffix/2",
"text": ""
},
"$:/language/Date/DaySuffix/20": {
"title": "$:/language/Date/DaySuffix/20",
"text": ""
},
"$:/language/Date/DaySuffix/21": {
"title": "$:/language/Date/DaySuffix/21",
"text": ""
},
"$:/language/Date/DaySuffix/22": {
"title": "$:/language/Date/DaySuffix/22",
"text": ""
},
"$:/language/Date/DaySuffix/23": {
"title": "$:/language/Date/DaySuffix/23",
"text": ""
},
"$:/language/Date/DaySuffix/24": {
"title": "$:/language/Date/DaySuffix/24",
"text": ""
},
"$:/language/Date/DaySuffix/25": {
"title": "$:/language/Date/DaySuffix/25",
"text": ""
},
"$:/language/Date/DaySuffix/26": {
"title": "$:/language/Date/DaySuffix/26",
"text": ""
},
"$:/language/Date/DaySuffix/27": {
"title": "$:/language/Date/DaySuffix/27",
"text": ""
},
"$:/language/Date/DaySuffix/28": {
"title": "$:/language/Date/DaySuffix/28",
"text": ""
},
"$:/language/Date/DaySuffix/29": {
"title": "$:/language/Date/DaySuffix/29",
"text": ""
},
"$:/language/Date/DaySuffix/3": {
"title": "$:/language/Date/DaySuffix/3",
"text": ""
},
"$:/language/Date/DaySuffix/30": {
"title": "$:/language/Date/DaySuffix/30",
"text": ""
},
"$:/language/Date/DaySuffix/31": {
"title": "$:/language/Date/DaySuffix/31",
"text": ""
},
"$:/language/Date/DaySuffix/4": {
"title": "$:/language/Date/DaySuffix/4",
"text": ""
},
"$:/language/Date/DaySuffix/5": {
"title": "$:/language/Date/DaySuffix/5",
"text": ""
},
"$:/language/Date/DaySuffix/6": {
"title": "$:/language/Date/DaySuffix/6",
"text": ""
},
"$:/language/Date/DaySuffix/7": {
"title": "$:/language/Date/DaySuffix/7",
"text": ""
},
"$:/language/Date/DaySuffix/8": {
"title": "$:/language/Date/DaySuffix/8",
"text": ""
},
"$:/language/Date/DaySuffix/9": {
"title": "$:/language/Date/DaySuffix/9",
"text": ""
},
"$:/language/Date/Long/Day/0": {
"title": "$:/language/Date/Long/Day/0",
"text": "zondag"
},
"$:/language/Date/Long/Day/1": {
"title": "$:/language/Date/Long/Day/1",
"text": "maandag"
},
"$:/language/Date/Long/Day/2": {
"title": "$:/language/Date/Long/Day/2",
"text": "dinsdag"
},
"$:/language/Date/Long/Day/3": {
"title": "$:/language/Date/Long/Day/3",
"text": "woensdag"
},
"$:/language/Date/Long/Day/4": {
"title": "$:/language/Date/Long/Day/4",
"text": "donderdag"
},
"$:/language/Date/Long/Day/5": {
"title": "$:/language/Date/Long/Day/5",
"text": "vrijdag"
},
"$:/language/Date/Long/Day/6": {
"title": "$:/language/Date/Long/Day/6",
"text": "zaterdag"
},
"$:/language/Date/Long/Month/1": {
"title": "$:/language/Date/Long/Month/1",
"text": "januari"
},
"$:/language/Date/Long/Month/10": {
"title": "$:/language/Date/Long/Month/10",
"text": "oktober"
},
"$:/language/Date/Long/Month/11": {
"title": "$:/language/Date/Long/Month/11",
"text": "november"
},
"$:/language/Date/Long/Month/12": {
"title": "$:/language/Date/Long/Month/12",
"text": "december"
},
"$:/language/Date/Long/Month/2": {
"title": "$:/language/Date/Long/Month/2",
"text": "februari"
},
"$:/language/Date/Long/Month/3": {
"title": "$:/language/Date/Long/Month/3",
"text": "maart"
},
"$:/language/Date/Long/Month/4": {
"title": "$:/language/Date/Long/Month/4",
"text": "april"
},
"$:/language/Date/Long/Month/5": {
"title": "$:/language/Date/Long/Month/5",
"text": "mei"
},
"$:/language/Date/Long/Month/6": {
"title": "$:/language/Date/Long/Month/6",
"text": "juni"
},
"$:/language/Date/Long/Month/7": {
"title": "$:/language/Date/Long/Month/7",
"text": "juli"
},
"$:/language/Date/Long/Month/8": {
"title": "$:/language/Date/Long/Month/8",
"text": "augustus"
},
"$:/language/Date/Long/Month/9": {
"title": "$:/language/Date/Long/Month/9",
"text": "september"
},
"$:/language/Date/Period/am": {
"title": "$:/language/Date/Period/am",
"text": "am"
},
"$:/language/Date/Period/pm": {
"title": "$:/language/Date/Period/pm",
"text": "pm"
},
"$:/language/Date/Short/Day/0": {
"title": "$:/language/Date/Short/Day/0",
"text": "zo"
},
"$:/language/Date/Short/Day/1": {
"title": "$:/language/Date/Short/Day/1",
"text": "ma"
},
"$:/language/Date/Short/Day/2": {
"title": "$:/language/Date/Short/Day/2",
"text": "di"
},
"$:/language/Date/Short/Day/3": {
"title": "$:/language/Date/Short/Day/3",
"text": "wo"
},
"$:/language/Date/Short/Day/4": {
"title": "$:/language/Date/Short/Day/4",
"text": "do"
},
"$:/language/Date/Short/Day/5": {
"title": "$:/language/Date/Short/Day/5",
"text": "vr"
},
"$:/language/Date/Short/Day/6": {
"title": "$:/language/Date/Short/Day/6",
"text": "za"
},
"$:/language/Date/Short/Month/1": {
"title": "$:/language/Date/Short/Month/1",
"text": "jan"
},
"$:/language/Date/Short/Month/10": {
"title": "$:/language/Date/Short/Month/10",
"text": "okt"
},
"$:/language/Date/Short/Month/11": {
"title": "$:/language/Date/Short/Month/11",
"text": "nov"
},
"$:/language/Date/Short/Month/12": {
"title": "$:/language/Date/Short/Month/12",
"text": "dec"
},
"$:/language/Date/Short/Month/2": {
"title": "$:/language/Date/Short/Month/2",
"text": "feb"
},
"$:/language/Date/Short/Month/3": {
"title": "$:/language/Date/Short/Month/3",
"text": "mrt"
},
"$:/language/Date/Short/Month/4": {
"title": "$:/language/Date/Short/Month/4",
"text": "apr"
},
"$:/language/Date/Short/Month/5": {
"title": "$:/language/Date/Short/Month/5",
"text": "mei"
},
"$:/language/Date/Short/Month/6": {
"title": "$:/language/Date/Short/Month/6",
"text": "jun"
},
"$:/language/Date/Short/Month/7": {
"title": "$:/language/Date/Short/Month/7",
"text": "jul"
},
"$:/language/Date/Short/Month/8": {
"title": "$:/language/Date/Short/Month/8",
"text": "aug"
},
"$:/language/Date/Short/Month/9": {
"title": "$:/language/Date/Short/Month/9",
"text": "sep"
},
"$:/language/RelativeDate/Future/Days": {
"title": "$:/language/RelativeDate/Future/Days",
"text": "<<period>> dagen vanaf nu"
},
"$:/language/RelativeDate/Future/Hours": {
"title": "$:/language/RelativeDate/Future/Hours",
"text": "<<period>> uren vanaf nu"
},
"$:/language/RelativeDate/Future/Minutes": {
"title": "$:/language/RelativeDate/Future/Minutes",
"text": "<<period>> minuten vanaf nu"
},
"$:/language/RelativeDate/Future/Months": {
"title": "$:/language/RelativeDate/Future/Months",
"text": "<<period>> maanden vanaf nu"
},
"$:/language/RelativeDate/Future/Second": {
"title": "$:/language/RelativeDate/Future/Second",
"text": "1 seconde vanaf nu"
},
"$:/language/RelativeDate/Future/Seconds": {
"title": "$:/language/RelativeDate/Future/Seconds",
"text": "<<period>> seconden vanaf nu"
},
"$:/language/RelativeDate/Future/Years": {
"title": "$:/language/RelativeDate/Future/Years",
"text": "<<period>> jaren vanaf nu"
},
"$:/language/RelativeDate/Past/Days": {
"title": "$:/language/RelativeDate/Past/Days",
"text": "<<period>> dagen geleden"
},
"$:/language/RelativeDate/Past/Hours": {
"title": "$:/language/RelativeDate/Past/Hours",
"text": "<<period>> uren geleden"
},
"$:/language/RelativeDate/Past/Minutes": {
"title": "$:/language/RelativeDate/Past/Minutes",
"text": "<<period>> minuten geleden"
},
"$:/language/RelativeDate/Past/Months": {
"title": "$:/language/RelativeDate/Past/Months",
"text": "<<period>> maanden geleden"
},
"$:/language/RelativeDate/Past/Second": {
"title": "$:/language/RelativeDate/Past/Second",
"text": "1 seconde geleden"
},
"$:/language/RelativeDate/Past/Seconds": {
"title": "$:/language/RelativeDate/Past/Seconds",
"text": "<<period>> seconden geleden"
},
"$:/language/RelativeDate/Past/Years": {
"title": "$:/language/RelativeDate/Past/Years",
"text": "<<period>> jaren geleden"
},
"$:/language/Docs/ModuleTypes/allfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/allfilteroperator",
"text": "Een sub-operator voor de ''all'' filter operator."
},
"$:/language/Docs/ModuleTypes/animation": {
"title": "$:/language/Docs/ModuleTypes/animation",
"text": "Animaties die door de RevealWidget gebruikt kunnen worden."
},
"$:/language/Docs/ModuleTypes/authenticator": {
"title": "$:/language/Docs/ModuleTypes/authenticator",
"text": "Definieert hoe aanvragen worden gewaarmerkt door de ingebouwde HTTP-server."
},
"$:/language/Docs/ModuleTypes/bitmapeditoroperation": {
"title": "$:/language/Docs/ModuleTypes/bitmapeditoroperation",
"text": "Een 'bitmap'-editor knoppenbalkbewerking."
},
"$:/language/Docs/ModuleTypes/command": {
"title": "$:/language/Docs/ModuleTypes/command",
"text": "Commando's die onder Node.js gebruikt kunnen worden."
},
"$:/language/Docs/ModuleTypes/config": {
"title": "$:/language/Docs/ModuleTypes/config",
"text": "Data die aan `$tw.config` moet worden toegevoegd."
},
"$:/language/Docs/ModuleTypes/filteroperator": {
"title": "$:/language/Docs/ModuleTypes/filteroperator",
"text": "Individuele methodes voor een filter operator."
},
"$:/language/Docs/ModuleTypes/global": {
"title": "$:/language/Docs/ModuleTypes/global",
"text": "Globale data die aan `$tw.config` moet worden toegevoegd."
},
"$:/language/Docs/ModuleTypes/info": {
"title": "$:/language/Docs/ModuleTypes/info",
"text": "Publiceert systeeminformatie via de [[$:/temp/info-plugin]] pseudo-plugin."
},
"$:/language/Docs/ModuleTypes/isfilteroperator": {
"title": "$:/language/Docs/ModuleTypes/isfilteroperator",
"text": "Operands voor de ''is'' filter operator."
},
"$:/language/Docs/ModuleTypes/library": {
"title": "$:/language/Docs/ModuleTypes/library",
"text": "Algemeen module type voor algemene JavaScript modules."
},
"$:/language/Docs/ModuleTypes/macro": {
"title": "$:/language/Docs/ModuleTypes/macro",
"text": "JavaScript macro definities."
},
"$:/language/Docs/ModuleTypes/parser": {
"title": "$:/language/Docs/ModuleTypes/parser",
"text": "'Parsers' voor verschillende inhoudstypes."
},
"$:/language/Docs/ModuleTypes/route": {
"title": "$:/language/Docs/ModuleTypes/route",
"text": "Definieert hoe individuele URL-patronen door de ingebouwde HTTP-server worden behandeld."
},
"$:/language/Docs/ModuleTypes/saver": {
"title": "$:/language/Docs/ModuleTypes/saver",
"text": "'Savers' (opslagmodules) gebruiken verschillende methodes voor het opslaan van bestanden vanuit de browser."
},
"$:/language/Docs/ModuleTypes/startup": {
"title": "$:/language/Docs/ModuleTypes/startup",
"text": "Startup functies."
},
"$:/language/Docs/ModuleTypes/storyview": {
"title": "$:/language/Docs/ModuleTypes/storyview",
"text": "'Story views' passen de animatie en het gedrag van 'list' widgets aan."
},
"$:/language/Docs/ModuleTypes/texteditoroperation": {
"title": "$:/language/Docs/ModuleTypes/texteditoroperation",
"text": "Een teksteditor knoppenbalkbewerking."
},
"$:/language/Docs/ModuleTypes/tiddlerdeserializer": {
"title": "$:/language/Docs/ModuleTypes/tiddlerdeserializer",
"text": "Zet verschillende inhoudstypes in tiddlers om."
},
"$:/language/Docs/ModuleTypes/tiddlerfield": {
"title": "$:/language/Docs/ModuleTypes/tiddlerfield",
"text": "Bepaalt het gedrag van een individueel tiddlerveld."
},
"$:/language/Docs/ModuleTypes/tiddlermethod": {
"title": "$:/language/Docs/ModuleTypes/tiddlermethod",
"text": "Voegt methodes aan het `$tw.Tiddler` prototype toe."
},
"$:/language/Docs/ModuleTypes/upgrader": {
"title": "$:/language/Docs/ModuleTypes/upgrader",
"text": "Past upgradeverwerking toe op tiddlers gedurende een upgrade / import."
},
"$:/language/Docs/ModuleTypes/utils": {
"title": "$:/language/Docs/ModuleTypes/utils",
"text": "Voegt methodes aan `$tw.utils` toe."
},
"$:/language/Docs/ModuleTypes/utils-node": {
"title": "$:/language/Docs/ModuleTypes/utils-node",
"text": "Voegt Node.js-specifieke methodes aan `$tw.utils` toe."
},
"$:/language/Docs/ModuleTypes/widget": {
"title": "$:/language/Docs/ModuleTypes/widget",
"text": "'Widgets' omvatten DOM 'rendering' en verversing."
},
"$:/language/Docs/ModuleTypes/wikimethod": {
"title": "$:/language/Docs/ModuleTypes/wikimethod",
"text": "Voegt methodes aan `$tw.Wiki` toe."
},
"$:/language/Docs/ModuleTypes/wikirule": {
"title": "$:/language/Docs/ModuleTypes/wikirule",
"text": "Individuele 'parser' regels voor de hoofd-WikiText-parser."
},
"$:/language/Docs/PaletteColours/alert-background": {
"title": "$:/language/Docs/PaletteColours/alert-background",
"text": "Achtergrond alarm"
},
"$:/language/Docs/PaletteColours/alert-border": {
"title": "$:/language/Docs/PaletteColours/alert-border",
"text": "Rand alarm"
},
"$:/language/Docs/PaletteColours/alert-highlight": {
"title": "$:/language/Docs/PaletteColours/alert-highlight",
"text": "Geaccentueerd alarm"
},
"$:/language/Docs/PaletteColours/alert-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/alert-muted-foreground",
"text": "Gedimde voorgrond alarm"
},
"$:/language/Docs/PaletteColours/background": {
"title": "$:/language/Docs/PaletteColours/background",
"text": "Algemene achtergrond"
},
"$:/language/Docs/PaletteColours/blockquote-bar": {
"title": "$:/language/Docs/PaletteColours/blockquote-bar",
"text": "Citaatbalk"
},
"$:/language/Docs/PaletteColours/button-background": {
"title": "$:/language/Docs/PaletteColours/button-background",
"text": "Standaard knopachtergrond"
},
"$:/language/Docs/PaletteColours/button-border": {
"title": "$:/language/Docs/PaletteColours/button-border",
"text": "Standaard knoprand"
},
"$:/language/Docs/PaletteColours/button-foreground": {
"title": "$:/language/Docs/PaletteColours/button-foreground",
"text": "Standaard knop voorgrond"
},
"$:/language/Docs/PaletteColours/code-background": {
"title": "$:/language/Docs/PaletteColours/code-background",
"text": "Achtergrond code"
},
"$:/language/Docs/PaletteColours/code-border": {
"title": "$:/language/Docs/PaletteColours/code-border",
"text": "Rand code"
},
"$:/language/Docs/PaletteColours/code-foreground": {
"title": "$:/language/Docs/PaletteColours/code-foreground",
"text": "Voorgrond code"
},
"$:/language/Docs/PaletteColours/dirty-indicator": {
"title": "$:/language/Docs/PaletteColours/dirty-indicator",
"text": "Indicator voor niet-opgeslagen wijzigingen"
},
"$:/language/Docs/PaletteColours/download-background": {
"title": "$:/language/Docs/PaletteColours/download-background",
"text": "Achtergrond downloadknop"
},
"$:/language/Docs/PaletteColours/download-foreground": {
"title": "$:/language/Docs/PaletteColours/download-foreground",
"text": "Voorgrond downloadknop"
},
"$:/language/Docs/PaletteColours/dragger-background": {
"title": "$:/language/Docs/PaletteColours/dragger-background",
"text": "Achtergrond 'dragger'"
},
"$:/language/Docs/PaletteColours/dragger-foreground": {
"title": "$:/language/Docs/PaletteColours/dragger-foreground",
"text": "Voorgrond 'dragger'"
},
"$:/language/Docs/PaletteColours/dropdown-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-background",
"text": "Achtergrond dropdown"
},
"$:/language/Docs/PaletteColours/dropdown-border": {
"title": "$:/language/Docs/PaletteColours/dropdown-border",
"text": "Rand dropdown"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background",
"text": "Achtergrond dropdown tab"
},
"$:/language/Docs/PaletteColours/dropdown-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/dropdown-tab-background-selected",
"text": "Achtergrond dropdown tab voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/dropzone-background": {
"title": "$:/language/Docs/PaletteColours/dropzone-background",
"text": "Achtergrond 'dropzone'"
},
"$:/language/Docs/PaletteColours/external-link-background": {
"title": "$:/language/Docs/PaletteColours/external-link-background",
"text": "Achtergrond externe link"
},
"$:/language/Docs/PaletteColours/external-link-background-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-background-hover",
"text": "Achtergrond 'mouseover' externe link"
},
"$:/language/Docs/PaletteColours/external-link-background-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-background-visited",
"text": "Achtergrond bezochte externe link"
},
"$:/language/Docs/PaletteColours/external-link-foreground": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground",
"text": "Voorgrond externe link"
},
"$:/language/Docs/PaletteColours/external-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-hover",
"text": "Voorgrond mouseover externe link"
},
"$:/language/Docs/PaletteColours/external-link-foreground-visited": {
"title": "$:/language/Docs/PaletteColours/external-link-foreground-visited",
"text": "Voorgrond bezochte externe link"
},
"$:/language/Docs/PaletteColours/foreground": {
"title": "$:/language/Docs/PaletteColours/foreground",
"text": "Voorgrond algemeen"
},
"$:/language/Docs/PaletteColours/menubar-background": {
"title": "$:/language/Docs/PaletteColours/menubar-background",
"text": "Menu bar achtergrond"
},
"$:/language/Docs/PaletteColours/menubar-foreground": {
"title": "$:/language/Docs/PaletteColours/menubar-foreground",
"text": "Menu bar voorgrond"
},
"$:/language/Docs/PaletteColours/message-background": {
"title": "$:/language/Docs/PaletteColours/message-background",
"text": "Achtergrond berichtenpaneel"
},
"$:/language/Docs/PaletteColours/message-border": {
"title": "$:/language/Docs/PaletteColours/message-border",
"text": "Rand berichtenpaneel"
},
"$:/language/Docs/PaletteColours/message-foreground": {
"title": "$:/language/Docs/PaletteColours/message-foreground",
"text": "Voorgrond berichtenpaneel"
},
"$:/language/Docs/PaletteColours/modal-backdrop": {
"title": "$:/language/Docs/PaletteColours/modal-backdrop",
"text": "Gedimde achtergrond bij modale dialoog"
},
"$:/language/Docs/PaletteColours/modal-background": {
"title": "$:/language/Docs/PaletteColours/modal-background",
"text": "Achtergrond modale dialoog"
},
"$:/language/Docs/PaletteColours/modal-border": {
"title": "$:/language/Docs/PaletteColours/modal-border",
"text": "Rand van modale dialoog"
},
"$:/language/Docs/PaletteColours/modal-footer-background": {
"title": "$:/language/Docs/PaletteColours/modal-footer-background",
"text": "Achtergrond van modale voettekst"
},
"$:/language/Docs/PaletteColours/modal-footer-border": {
"title": "$:/language/Docs/PaletteColours/modal-footer-border",
"text": "Rand van modale voettekst"
},
"$:/language/Docs/PaletteColours/modal-header-border": {
"title": "$:/language/Docs/PaletteColours/modal-header-border",
"text": "Rand van modale koptekst"
},
"$:/language/Docs/PaletteColours/muted-foreground": {
"title": "$:/language/Docs/PaletteColours/muted-foreground",
"text": "Voorgrond algemeen gedimd"
},
"$:/language/Docs/PaletteColours/notification-background": {
"title": "$:/language/Docs/PaletteColours/notification-background",
"text": "Achtergrond melding"
},
"$:/language/Docs/PaletteColours/notification-border": {
"title": "$:/language/Docs/PaletteColours/notification-border",
"text": "Rand melding"
},
"$:/language/Docs/PaletteColours/page-background": {
"title": "$:/language/Docs/PaletteColours/page-background",
"text": "Achtergrond pagina"
},
"$:/language/Docs/PaletteColours/pre-background": {
"title": "$:/language/Docs/PaletteColours/pre-background",
"text": "Achtergrond gepreformatteerde code"
},
"$:/language/Docs/PaletteColours/pre-border": {
"title": "$:/language/Docs/PaletteColours/pre-border",
"text": "Rand gepreformatteerde code"
},
"$:/language/Docs/PaletteColours/primary": {
"title": "$:/language/Docs/PaletteColours/primary",
"text": "Primaire kleur"
},
"$:/language/Docs/PaletteColours/select-tag-background": {
"title": "$:/language/Docs/PaletteColours/select-tag-background",
"text": "`<select>` element achtergrond"
},
"$:/language/Docs/PaletteColours/select-tag-foreground": {
"title": "$:/language/Docs/PaletteColours/select-tag-foreground",
"text": "`<select>` element tekst"
},
"$:/language/Docs/PaletteColours/sidebar-button-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-button-foreground",
"text": "Voorgrond zijpaneelknop"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground",
"text": "Voorgrond knoppen zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-controls-foreground-hover",
"text": "Voorgrond mouseover knoppen zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground",
"text": "Voorgrond zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-foreground-shadow": {
"title": "$:/language/Docs/PaletteColours/sidebar-foreground-shadow",
"text": "Voorgrond schaduw zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground",
"text": "Voorgrond gedimd zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-muted-foreground-hover",
"text": "Voorgrond gedimd 'mouseover' zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background",
"text": "Achtergrond tab zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-background-selected",
"text": "Achtergrond tab zijpaneel voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border",
"text": "Rand tab zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-border-selected",
"text": "Rand tab zijpaneel voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tab-divider": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-divider",
"text": "Zijpaneel tabscheider"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground",
"text": "Voorgrond tab zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/sidebar-tab-foreground-selected",
"text": "Voorgrond tab zijpaneel voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground",
"text": "Voorgrond tiddler link zijpaneel"
},
"$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/sidebar-tiddler-link-foreground-hover",
"text": "Voorgrond 'mouseover' tiddler link zijpaneel"
},
"$:/language/Docs/PaletteColours/site-title-foreground": {
"title": "$:/language/Docs/PaletteColours/site-title-foreground",
"text": "Voorgrond van de wikititel"
},
"$:/language/Docs/PaletteColours/static-alert-foreground": {
"title": "$:/language/Docs/PaletteColours/static-alert-foreground",
"text": "Voorgrond statische alarmmelding"
},
"$:/language/Docs/PaletteColours/tab-background": {
"title": "$:/language/Docs/PaletteColours/tab-background",
"text": "Achtergrond tab"
},
"$:/language/Docs/PaletteColours/tab-background-selected": {
"title": "$:/language/Docs/PaletteColours/tab-background-selected",
"text": "Achtergrond tab voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/tab-border": {
"title": "$:/language/Docs/PaletteColours/tab-border",
"text": "Rand tab"
},
"$:/language/Docs/PaletteColours/tab-border-selected": {
"title": "$:/language/Docs/PaletteColours/tab-border-selected",
"text": "Rand tab voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/tab-divider": {
"title": "$:/language/Docs/PaletteColours/tab-divider",
"text": "Tabscheider"
},
"$:/language/Docs/PaletteColours/tab-foreground": {
"title": "$:/language/Docs/PaletteColours/tab-foreground",
"text": "Voorgrond tab"
},
"$:/language/Docs/PaletteColours/tab-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tab-foreground-selected",
"text": "Voorgrond tab voor geselecteerde tabs"
},
"$:/language/Docs/PaletteColours/table-border": {
"title": "$:/language/Docs/PaletteColours/table-border",
"text": "Rand tabel"
},
"$:/language/Docs/PaletteColours/table-footer-background": {
"title": "$:/language/Docs/PaletteColours/table-footer-background",
"text": "Achtergrond tabel voettekst"
},
"$:/language/Docs/PaletteColours/table-header-background": {
"title": "$:/language/Docs/PaletteColours/table-header-background",
"text": "Achtergrond tabelkop"
},
"$:/language/Docs/PaletteColours/tag-background": {
"title": "$:/language/Docs/PaletteColours/tag-background",
"text": "Achtergrond label"
},
"$:/language/Docs/PaletteColours/tag-foreground": {
"title": "$:/language/Docs/PaletteColours/tag-foreground",
"text": "Voorgrond label"
},
"$:/language/Docs/PaletteColours/tiddler-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-background",
"text": "Achtergrond tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-border",
"text": "Rand tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground",
"text": "Voorgrond knoppen tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-hover",
"text": "Voorgrond mouseover knoppen tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected": {
"title": "$:/language/Docs/PaletteColours/tiddler-controls-foreground-selected",
"text": "Voorgrond geselecteerde knoppen tiddler"
},
"$:/language/Docs/PaletteColours/tiddler-editor-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-background",
"text": "Achtergrond tiddlereditor"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border",
"text": "Rand tiddlereditor"
},
"$:/language/Docs/PaletteColours/tiddler-editor-border-image": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-border-image",
"text": "Rand tiddler editor afbeelding"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-even": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-even",
"text": "Achtergrond tiddler editor voor even velden"
},
"$:/language/Docs/PaletteColours/tiddler-editor-fields-odd": {
"title": "$:/language/Docs/PaletteColours/tiddler-editor-fields-odd",
"text": "Achtergrond tiddler editor voor oneven velden"
},
"$:/language/Docs/PaletteColours/tiddler-info-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-background",
"text": "Achtergrond tiddler infopaneel"
},
"$:/language/Docs/PaletteColours/tiddler-info-border": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-border",
"text": "Rand tiddler infopaneel"
},
"$:/language/Docs/PaletteColours/tiddler-info-tab-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-info-tab-background",
"text": "Achtergrond tab tiddler-infopaneel"
},
"$:/language/Docs/PaletteColours/tiddler-link-background": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-background",
"text": "Achtergrond tiddlerlink"
},
"$:/language/Docs/PaletteColours/tiddler-link-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-link-foreground",
"text": "Voorgrond tiddlerlink"
},
"$:/language/Docs/PaletteColours/tiddler-subtitle-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-subtitle-foreground",
"text": "Voorgrond tiddlerondertitel"
},
"$:/language/Docs/PaletteColours/tiddler-title-foreground": {
"title": "$:/language/Docs/PaletteColours/tiddler-title-foreground",
"text": "Voorgrond tiddlertitel"
},
"$:/language/Docs/PaletteColours/toolbar-cancel-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-cancel-button",
"text": "Voorgrond 'annuleer' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-close-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-close-button",
"text": "Voorgrond 'sluit' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-delete-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-delete-button",
"text": "Voorgrond 'verwijder' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-done-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-done-button",
"text": "Voorgrond 'opslaan' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-edit-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-edit-button",
"text": "Voorgrond 'wijzig' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-info-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-info-button",
"text": "Voorgrond 'info' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-new-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-new-button",
"text": "Voorgrond 'nieuwe tiddler' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-options-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-options-button",
"text": "Voorgrond 'opties' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/toolbar-save-button": {
"title": "$:/language/Docs/PaletteColours/toolbar-save-button",
"text": "Voorgrond 'opslaan wiki' knop (knoppenbalk)"
},
"$:/language/Docs/PaletteColours/untagged-background": {
"title": "$:/language/Docs/PaletteColours/untagged-background",
"text": "Achtergrond label \"niet gelabeld\""
},
"$:/language/Docs/PaletteColours/very-muted-foreground": {
"title": "$:/language/Docs/PaletteColours/very-muted-foreground",
"text": "Voorgrond zeer gedimd"
},
"$:/language/EditTemplate/Body/External/Hint": {
"title": "$:/language/EditTemplate/Body/External/Hint",
"text": "Dit is een externe tiddler die niet in de TiddlyWiki opgeslagen is. Je kan labels en velden wijzigen maar de tekst zelf niet."
},
"$:/language/EditTemplate/Body/Placeholder": {
"title": "$:/language/EditTemplate/Body/Placeholder",
"text": "Typ de tekst voor deze tiddler"
},
"$:/language/EditTemplate/Field/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Field/Dropdown/Caption",
"text": "veldenlijst"
},
"$:/language/EditTemplate/Field/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Field/Dropdown/Hint",
"text": "Toon veldenlijst"
},
"$:/language/EditTemplate/Field/Remove/Caption": {
"title": "$:/language/EditTemplate/Field/Remove/Caption",
"text": "verwijder veld"
},
"$:/language/EditTemplate/Field/Remove/Hint": {
"title": "$:/language/EditTemplate/Field/Remove/Hint",
"text": "Verwijder veld"
},
"$:/language/EditTemplate/Fields/Add/Button": {
"title": "$:/language/EditTemplate/Fields/Add/Button",
"text": "+"
},
"$:/language/EditTemplate/Fields/Add/Button/Hint": {
"title": "$:/language/EditTemplate/Fields/Add/Button/Hint",
"text": "Voeg het nieuwe veld aan de tiddler toe"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/System": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/System",
"text": "Systeemvelden"
},
"$:/language/EditTemplate/Fields/Add/Dropdown/User": {
"title": "$:/language/EditTemplate/Fields/Add/Dropdown/User",
"text": "Gebruikersvelden"
},
"$:/language/EditTemplate/Fields/Add/Name/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Name/Placeholder",
"text": "veldnaam"
},
"$:/language/EditTemplate/Fields/Add/Prompt": {
"title": "$:/language/EditTemplate/Fields/Add/Prompt",
"text": "Voeg nieuw veld toe:"
},
"$:/language/EditTemplate/Fields/Add/Value/Placeholder": {
"title": "$:/language/EditTemplate/Fields/Add/Value/Placeholder",
"text": "veldwaarde"
},
"$:/language/EditTemplate/Shadow/OverriddenWarning": {
"title": "$:/language/EditTemplate/Shadow/OverriddenWarning",
"text": "Dit is een aangepaste schaduwtiddler. Door deze tiddler te verwijderen wordt de standaard schaduwtiddler weer actief"
},
"$:/language/EditTemplate/Shadow/Warning": {
"title": "$:/language/EditTemplate/Shadow/Warning",
"text": "Dit is een schaduwtiddler. Elke verandering overschrijft de standaardversie"
},
"$:/language/EditTemplate/Tags/Add/Button": {
"title": "$:/language/EditTemplate/Tags/Add/Button",
"text": "+"
},
"$:/language/EditTemplate/Tags/Add/Button/Hint": {
"title": "$:/language/EditTemplate/Tags/Add/Button/Hint",
"text": "voeg label toe"
},
"$:/language/EditTemplate/Tags/Add/Placeholder": {
"title": "$:/language/EditTemplate/Tags/Add/Placeholder",
"text": "labelnaam"
},
"$:/language/EditTemplate/Tags/ClearInput/Caption": {
"title": "$:/language/EditTemplate/Tags/ClearInput/Caption",
"text": "verwijde ingave"
},
"$:/language/EditTemplate/Tags/ClearInput/Hint": {
"title": "$:/language/EditTemplate/Tags/ClearInput/Hint",
"text": "Verwijder labelingave"
},
"$:/language/EditTemplate/Tags/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Caption",
"text": "labellijst"
},
"$:/language/EditTemplate/Tags/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Tags/Dropdown/Hint",
"text": "Toon labellijst"
},
"$:/language/EditTemplate/Title/BadCharacterWarning": {
"title": "$:/language/EditTemplate/Title/BadCharacterWarning",
"text": "Waarschuwing: vermijd elk karakter van <<bad-chars>> in tiddler titels"
},
"$:/language/EditTemplate/Title/Exists/Prompt": {
"title": "$:/language/EditTemplate/Title/Exists/Prompt",
"text": "Doeltiddler bestaat al"
},
"$:/language/EditTemplate/Title/References/Prompt": {
"title": "$:/language/EditTemplate/Title/References/Prompt",
"text": "De volgende referenties naar deze tiddler worden niet automatisch bijgewerkt:"
},
"$:/language/EditTemplate/Title/Relink/Prompt": {
"title": "$:/language/EditTemplate/Title/Relink/Prompt",
"text": "Werk ''<$text text=<<fromTitle>>/>'' naar ''<$text text=<<toTitle>>/>'' bij in de //label// en //lijst// velden van andere tiddlers"
},
"$:/language/EditTemplate/Type/Delete/Caption": {
"title": "$:/language/EditTemplate/Type/Delete/Caption",
"text": "verwijder inhoudstype"
},
"$:/language/EditTemplate/Type/Delete/Hint": {
"title": "$:/language/EditTemplate/Type/Delete/Hint",
"text": "Verwijder inhoudstype"
},
"$:/language/EditTemplate/Type/Dropdown/Caption": {
"title": "$:/language/EditTemplate/Type/Dropdown/Caption",
"text": "lijst van inhoudstypes"
},
"$:/language/EditTemplate/Type/Dropdown/Hint": {
"title": "$:/language/EditTemplate/Type/Dropdown/Hint",
"text": "Toon lijst van inhoudstypes"
},
"$:/language/EditTemplate/Type/Placeholder": {
"title": "$:/language/EditTemplate/Type/Placeholder",
"text": "inhoudstype"
},
"$:/language/EditTemplate/Type/Prompt": {
"title": "$:/language/EditTemplate/Type/Prompt",
"text": "Type:"
},
"$:/language/Exporters/CsvFile": {
"title": "$:/language/Exporters/CsvFile",
"text": "CSV tiddlers bestand"
},
"$:/language/Exporters/JsonFile": {
"title": "$:/language/Exporters/JsonFile",
"text": "JSON tiddlers bestand"
},
"$:/language/Exporters/StaticRiver": {
"title": "$:/language/Exporters/StaticRiver",
"text": "Serie tiddlers als statisch HTML bestand"
},
"$:/language/Exporters/TidFile": {
"title": "$:/language/Exporters/TidFile",
"text": "Enkele tiddler \".tid\" bestand"
},
"$:/language/Docs/Fields/_canonical_uri": {
"title": "$:/language/Docs/Fields/_canonical_uri",
"text": "De volledige URI van een externe afbeeldingstiddler"
},
"$:/language/Docs/Fields/_is_skinny": {
"title": "$:/language/Docs/Fields/_is_skinny",
"text": "Indien aanwezig, geeft aan dat het tekstveld van de server geladen moet worden"
},
"$:/language/Docs/Fields/bag": {
"title": "$:/language/Docs/Fields/bag",
"text": "De naam van de 'bag' waaruit de tiddler kwam"
},
"$:/language/Docs/Fields/caption": {
"title": "$:/language/Docs/Fields/caption",
"text": "Tekst die op een tab of een knop getoond wordt"
},
"$:/language/Docs/Fields/color": {
"title": "$:/language/Docs/Fields/color",
"text": "De CSS-waarde van een kleur gekoppeld aan een tiddler"
},
"$:/language/Docs/Fields/component": {
"title": "$:/language/Docs/Fields/component",
"text": "De naam van de component die een [[alarmtiddler|AlertMechanism]] veroorzaakt"
},
"$:/language/Docs/Fields/created": {
"title": "$:/language/Docs/Fields/created",
"text": "De aanmaakdatum van een tiddler"
},
"$:/language/Docs/Fields/creator": {
"title": "$:/language/Docs/Fields/creator",
"text": "De naam van de maker van de tiddler"
},
"$:/language/Docs/Fields/current-tiddler": {
"title": "$:/language/Docs/Fields/current-tiddler",
"text": "Gebruikt om de tiddler bovenaan bij te houden in een [[geschiedenislijst|HistoryMechanism]]"
},
"$:/language/Docs/Fields/dependents": {
"title": "$:/language/Docs/Fields/dependents",
"text": "De lijst van plugintitels waarvan een plugin afhankelijk is"
},
"$:/language/Docs/Fields/description": {
"title": "$:/language/Docs/Fields/description",
"text": "De beschrijvende tekst voor een plugin of een modale dialoog"
},
"$:/language/Docs/Fields/draft.of": {
"title": "$:/language/Docs/Fields/draft.of",
"text": "Voor concepttiddlers; bevat de titel van de te maken tiddler"
},
"$:/language/Docs/Fields/draft.title": {
"title": "$:/language/Docs/Fields/draft.title",
"text": "Voor concepttiddlers; bevat de voorgestelde titel van de tiddler"
},
"$:/language/Docs/Fields/footer": {
"title": "$:/language/Docs/Fields/footer",
"text": "De voettekst van een 'wizard'"
},
"$:/language/Docs/Fields/hide-body": {
"title": "$:/language/Docs/Fields/hide-body",
"text": "Als 'yes' ingevuld is, wordt de tekst van de tiddler niet weergegeven"
},
"$:/language/Docs/Fields/icon": {
"title": "$:/language/Docs/Fields/icon",
"text": "De titel van de pictogramtiddler die met deze tiddler gekoppeld is"
},
"$:/language/Docs/Fields/library": {
"title": "$:/language/Docs/Fields/library",
"text": "Als 'yes' ingevuld is, dient de tiddler als JavaScript-bibliotheek opgeslagen te worden"
},
"$:/language/Docs/Fields/list": {
"title": "$:/language/Docs/Fields/list",
"text": "Een geordende lijst van tiddlertitels gekoppeld met een tiddler"
},
"$:/language/Docs/Fields/list-after": {
"title": "$:/language/Docs/Fields/list-after",
"text": "Indien ingevuld, de titel van de tiddler waarna deze tiddler toegevoegd moet worden in de reeks tiddlertitels"
},
"$:/language/Docs/Fields/list-before": {
"title": "$:/language/Docs/Fields/list-before",
"text": "Indien ingevuld, de titel van de tiddler waarvoor deze tiddler toegevoegd moet worden in de reeks tiddlertitels, of aan het begin van de reeks als dit veld wel aanwezig maar leeg is"
},
"$:/language/Docs/Fields/modified": {
"title": "$:/language/Docs/Fields/modified",
"text": "De datum en tijd waarop de tiddler voor het laatst werd gewijzigd"
},
"$:/language/Docs/Fields/modifier": {
"title": "$:/language/Docs/Fields/modifier",
"text": "De persoon die voor het laatst de tiddler gewijzigd heeft"
},
"$:/language/Docs/Fields/name": {
"title": "$:/language/Docs/Fields/name",
"text": "De leesbare naam gekoppeld aan een plugin tiddler"
},
"$:/language/Docs/Fields/plugin-priority": {
"title": "$:/language/Docs/Fields/plugin-priority",
"text": "Een numerieke waarde die de prioriteit van een plugintiddler aangeeft"
},
"$:/language/Docs/Fields/plugin-type": {
"title": "$:/language/Docs/Fields/plugin-type",
"text": "Het type van een plugin in een plugin tiddler"
},
"$:/language/Docs/Fields/released": {
"title": "$:/language/Docs/Fields/released",
"text": "Datum van een TiddlyWiki versie"
},
"$:/language/Docs/Fields/revision": {
"title": "$:/language/Docs/Fields/revision",
"text": "De versie van de tiddler op de server"
},
"$:/language/Docs/Fields/source": {
"title": "$:/language/Docs/Fields/source",
"text": "De URL gekoppeld aan de tiddler"
},
"$:/language/Docs/Fields/subtitle": {
"title": "$:/language/Docs/Fields/subtitle",
"text": "De tekst van de ondertitel van een wizard"
},
"$:/language/Docs/Fields/tags": {
"title": "$:/language/Docs/Fields/tags",
"text": "Een lijst van labels gekoppeld met een tiddler"
},
"$:/language/Docs/Fields/text": {
"title": "$:/language/Docs/Fields/text",
"text": "De tekst van een tiddler"
},
"$:/language/Docs/Fields/throttle.refresh": {
"title": "$:/language/Docs/Fields/throttle.refresh",
"text": "Indien aanwezig wordt verversen van deze tiddler verminderd"
},
"$:/language/Docs/Fields/title": {
"title": "$:/language/Docs/Fields/title",
"text": "De unieke naam van een tiddler"
},
"$:/language/Docs/Fields/toc-link": {
"title": "$:/language/Docs/Fields/toc-link",
"text": "Als 'no' ingevuld is, is de tiddler geen link meer in de Inhoudsopgave"
},
"$:/language/Docs/Fields/type": {
"title": "$:/language/Docs/Fields/type",
"text": "Inhoudstype van een tiddler"
},
"$:/language/Docs/Fields/version": {
"title": "$:/language/Docs/Fields/version",
"text": "Versieinformatie van een plugin"
},
"$:/language/Filters/AllTags": {
"title": "$:/language/Filters/AllTags",
"text": "Alle labels behalve systeemlabels"
},
"$:/language/Filters/AllTiddlers": {
"title": "$:/language/Filters/AllTiddlers",
"text": "Alle tiddlers behalve systeemtiddlers"
},
"$:/language/Filters/Drafts": {
"title": "$:/language/Filters/Drafts",
"text": "Concepttiddlers"
},
"$:/language/Filters/Missing": {
"title": "$:/language/Filters/Missing",
"text": "Ontbrekende tiddlers"
},
"$:/language/Filters/Orphans": {
"title": "$:/language/Filters/Orphans",
"text": "Weestiddlers"
},
"$:/language/Filters/OverriddenShadowTiddlers": {
"title": "$:/language/Filters/OverriddenShadowTiddlers",
"text": "Overschreven schaduwtiddlers"
},
"$:/language/Filters/RecentSystemTiddlers": {
"title": "$:/language/Filters/RecentSystemTiddlers",
"text": "Onlangs gewijzigde tiddlers inclusief systeemtiddlers"
},
"$:/language/Filters/RecentTiddlers": {
"title": "$:/language/Filters/RecentTiddlers",
"text": "Onlangs gewijzigde tiddlers"
},
"$:/language/Filters/SessionTiddlers": {
"title": "$:/language/Filters/SessionTiddlers",
"text": "Tiddlers gewijzigf nadat de wiki geleaden werd"
},
"$:/language/Filters/ShadowTiddlers": {
"title": "$:/language/Filters/ShadowTiddlers",
"text": "Schaduwtiddlers"
},
"$:/language/Filters/StoryList": {
"title": "$:/language/Filters/StoryList",
"text": "Tiddlers in de 'story river' behalve <$text text=\"$:/AdvancedSearch\"/>"
},
"$:/language/Filters/SystemTags": {
"title": "$:/language/Filters/SystemTags",
"text": "Systeemlabels"
},
"$:/language/Filters/SystemTiddlers": {
"title": "$:/language/Filters/SystemTiddlers",
"text": "Systeemtiddlers"
},
"$:/language/Filters/TypedTiddlers": {
"title": "$:/language/Filters/TypedTiddlers",
"text": "Niet-wikitekst tiddlers"
},
"GettingStarted": {
"title": "GettingStarted",
"text": "\\define lingo-base() $:/language/ControlPanel/Basics/\nWelkom bij ~TiddlyWiki en de ~TiddlyWiki gemeenschap\n\nControleer eerst of je veranderingen op kan slaan voordat je belangrijke informatie aan ~TiddlyWiki toevoegt. Zie https://tiddlywiki.com/#GettingStarted voor details\n\n!! Configureer deze ~TiddlyWiki\n\n<div class=\"tc-control-panel\">\n\n|<$link to=\"$:/SiteTitle\"><<lingo Title/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteTitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/SiteSubtitle\"><<lingo Subtitle/Prompt>></$link> |<$edit-text tiddler=\"$:/SiteSubtitle\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/DefaultTiddlers\"><<lingo DefaultTiddlers/Prompt>></$link> |<<lingo DefaultTiddlers/TopHint>><br> <$edit tag=\"textarea\" tiddler=\"$:/DefaultTiddlers\"/><br>//<<lingo DefaultTiddlers/BottomHint>>// |\n</div>\n\nZie het [[bedieningspaneel|$:/ControlPanel]] voor meer opties.\n"
},
"$:/language/Help/build": {
"title": "$:/language/Help/build",
"description": "Doorloop automatisch de geconfigureerde commando's",
"text": "Compileert de gespecificeerde 'build targets' voor de actuele wiki. Worden geen 'build targets' gespecificeerd dan worden alle beschikbare 'targets' gecompileerd.\n\n```\n--build <target> [<target> ...]\n```\n\n'Build targets' worden gedefinieerd in het `tiddlywiki.info` bestand van een wiki folder.\n\n"
},
"$:/language/Help/clearpassword": {
"title": "$:/language/Help/clearpassword",
"description": "Verwijder het wachtwoord voor volgende versleutelingsoperaties",
"text": "Verwijder het wachtwoord voor volgende versleutelingsoperaties\n\n```\n--clearpassword\n```\n"
},
"$:/language/Help/default": {
"title": "$:/language/Help/default",
"description": "",
"text": "\\define commandTitle()\n$:/language/Help/$(command)$\n\\end\n```\nusage: tiddlywiki [<wikifolder>] [--<commando> [<argumenten>...]...]\n```\n\nBeschikbare commando's:\n\n<ul>\n<$list filter=\"[commands[]sort[title]]\" variable=\"command\">\n<li><$link to=<<commandTitle>>><$macrocall $name=\"command\" $type=\"text/plain\" $output=\"text/plain\"/></$link>: <$transclude tiddler=<<commandTitle>> field=\"description\"/></li>\n</$list>\n</ul>\n\nOm gedetaillerde hulp over een commando te krijgen:\n\n```\ntiddlywiki --help <commando>\n```\n"
},
"$:/language/Help/deletetiddlers": {
"title": "$:/language/Help/deletetiddlers",
"description": "Verwijdert een groep tiddlers",
"text": "<<.from-version \"5.1.20\">> Verwijdert een gefilterde groep tiddlers.\n\n```\n--deletetiddlers <filter>\n```\n"
},
"$:/language/Help/editions": {
"title": "$:/language/Help/editions",
"description": "Geeft een lijst van de beschikbare edities van TiddlyWiki",
"text": "Geeft een lijst van namen en beschrijvingen van de beschikbare edities. Je kan een nieuwe wiki van een gespecificeerde editie maken met het `--init` commando.\n\n```\n--editions\n```\n"
},
"$:/language/Help/fetch": {
"title": "$:/language/Help/fetch",
"description": "'Fetch' tiddlers van wiki via URL",
"text": "'Fetch' één of meer bestanden over HTTP/HTTPS en importeer de tiddlers die aan een filter voldoen (en optioneel aanpassen van de titles).\n\n```\n--fetch file <url> <import-filter> <transform-filter>\n--fetch files <url-filter> <import-filter> <transform-filter>\n```\n\nMet de \"file\" variant wordt alleen een enkel bestand 'gefetched' en de eerste parameter is de URL van het te lezen bestand.\n\nMet de \"files\" variant worden meerdere bestanden 'gefetched' en de eerste parameter is een filter dat een lijst oplevert van URL's van te lezen bestanden. B.v., als een reeks tiddlers gelabeld met \"remote-server\" een veld \"url\" hebben, zal het filter `[tag[remote-server]get[url]]` alle beschikbare URL's ophalen.\n\nDe `<import-filter>` parameter specificeert een filter dat bepaalt welke tiddlers worden geïmporteerd. Zonder filter wordt het standaard `[all[tiddlers]]` gebruikt.\n\nDe `<transform-filter>` parameter specificeert een optioneel filter dat de titels van de geïmporteerde tiddlers aanpast. B.v. `[addprefix[$:/myimports/]]` voegt `$:/myimports/` toe aan het begin van elke titel.\n\nAls het `--fetch` commando voorafgegaan wordt door `--verbose`, wordt voortgangsinformatie gedurende het importeren gegeven.\n\nMerk op dat TiddlyWiki geen oudere versie van een reeds geladen plugin zal 'fetchen'.\n\nHet volgende voorbeeld haalt alle non-system tiddlers op van https://tiddlywiki.com en slaat die op als een JSON bestand:\n\n```\ntiddlywiki --verbose --fetch file \"https://tiddlywiki.com/\" \"[!is[system]]\" \"\" --rendertiddler \"$:/core/templates/exporters/JsonFile\" output.json text/plain \"\" exportFilter \"[!is[system]]\"\n```\n"
},
"$:/language/Help/help": {
"title": "$:/language/Help/help",
"description": "Toont hulp voor een TiddlyWiki commando",
"text": "Toont hulptekst voor een commando:\n\n```\n--help [<commando>]\n```\n\nwordt de commandonaam weggelaten dan wordt een lijst van beschikbare commando's getoond.\n"
},
"$:/language/Help/import": {
"title": "$:/language/Help/import",
"description": "Importeer tiddlers van een bestand",
"text": "Importeer tiddlers van TiddlyWiki (`.html`), `.tiddler`, `.tid`, `.json` of andere lokale bestanden. De 'deserializer' moet expliciet gespecificeerd worden, anderes dan bij het 'load' commando dat de deserializer afleidt uit de extensie.\n\n```\n--import <bestandspad> <deserializer> [<titel>] [<codering>]\n```\n\nDe deserializers in de core begrijpen:\n\n* application/javascript\n* application/json\n* application/x-tiddler\n* application/x-tiddler-html-div\n* application/x-tiddlers\n* text/html\n* text/plain\n\nStandaard is de bestandsnaam de titel van de geïmporteerde tiddler.\n\nStandaard is de codering \"utf8\", maar dit kan \"base64\" zijn voor importeren van binaire bestanden.\n\nN.B. TiddlyWiki importeert geen oudere versie van een al geladen plugin.\n"
},
"$:/language/Help/init": {
"title": "$:/language/Help/init",
"description": "Initialiseer een nieuwe wiki folder",
"text": "Initialiseer een lege [[WikiFolder|WikiFolders]] met een kopie van de gespecificeerde editie.\n\n```\n--init <editie> [<editie> ...]\n```\n\nB.v.:\n\n```\ntiddlywiki ./MijnWikiFolder --init empty\n```\n\nN.B.:\n\n* De wiki folder wordt zonodig aangemaakt\n* De \"editie\" is standaard \"empty\"\n* Het init commando werkt niet als de wiki folder niet leeg is\n* Het init commando verwijdert alle `includeWikis` definities in het edition's `tiddlywiki.info` bestand\n* Als meerdere edities gespecificeerd worden zullen edities die later geïnitialiseerd worden alle bestanden overschrijven die gedeeld worden met eerdere edities (dus het `tiddlywiki.info` bestand wordt gekopieerd van de laatste editie)\n* `--editions` geeft een lijst met beschikbare edities"
},
"$:/language/Help/listen": {
"title": "$:/language/Help/listen",
"description": "Geeft een HTTP server interface voor TiddlyWiki",
"text": "Levert een wiki over HTTP uit.\n\nHet 'listen' commando gebruikt NamedCommandParameters:\n\n```\n--listen [<name>=<value>]...\n```\n\nAlle parameters zijn optioneel met veilige standaardwaarden, en kunnen in willekeurige volgorde gespecificeerd worden. De mogelijke parameters zijn:\n\n* ''host'' - optionele 'hostname' om uit te leveren (standaard \"127.0.0.1\" oftewel \"localhost\")\n* ''path-prefix'' - optioneel voorvoegsel voor paden\n* ''port'' - poortnummer voor communicatie; niet-numerieke waarden worden geïnterpreteerd als een systeem 'environment variable' waaruit het poortnummer geëxtraheerd wordt (standaard \"8080\")\n* ''credentials'' - padnaam naar CSV-bestand met aanmeldgegevens (relatief t.o.v. wiki folder)\n* ''anon-username'' - de gebruikersnaam waarmee wijzigingen gesigneerd worden voor anonieme gebruikers\n* ''username'' - optionele gebruikersnaam voor simpele verificatie\n* ''password'' - optioneel wachtwoord voor simpele verificatie\n* ''authenticated-user-header'' - optionele naam van de 'header' die gebruikt wordt voor vertrouwde verificatie\n* ''readers'' - 'comma separated' lijst van 'principalen' die deze wiki mogen lezen\n* ''writers'' - 'comma separated' lijst van 'principalen' die naar deze wiki mogen schrijven\n* ''csrf-disable'' - zet op \"yes\" om CSRF checks uit te zetten (standaard \"no\")\n* ''root-tiddler'' - de root tiddler (standaard \"$:/core/save/all\")\n* ''root-render-type'' - het inhoudstype waarmee de basistiddler getoond wordt (standaard \"text/plain\")\n* ''root-serve-type'' - het inhoudstype waarmee de basistiddler uitgeleverd wordt (standaard \"text/html\")\n* ''tls-cert'' - padnaam naar bestand met 'key' (relatief t.o.v. wiki folder)\n* ''debug-level'' - optioneel debug level; zet op \"debug\" om details te tonen (standaard \"none\")\n"
},
"$:/language/Help/load": {
"title": "$:/language/Help/load",
"description": "Laadt tiddlers van bestand",
"text": "Laadt tiddlers van 2.x.x TiddlyWiki bestanden (`.html`), `.tiddler`, `.tid`, `.json` of andere bestanden\n\n```\n--load <bestandspad>\n```\n\nOm tiddlers van een versleuteld TiddlyWiki bestand te laden dien je eerst het wachtwoord met het wachtwoordcommando te geven, b.v.:\n\n```\ntiddlywiki ./MijnWiki --password pa55w0rd --load mijn_versleutelde_wiki.html\n```\n\nN.B.: TiddlyWiki laadt geen plugin die ouder is dan de al aanwezige plugin."
},
"$:/language/Help/makelibrary": {
"title": "$:/language/Help/makelibrary",
"description": "Het maken van de bibliotheekplugin is vereist voor het upgradeproces",
"text": "Maak de `$:/UpgradeLibrary` tiddler voor het upgradeproces.\n\nDe upgradebibliotheek is opgemaakt als een normale plugin tiddler van het plugintype `library`. Het bevat een kopie van iedere plugin, thema of taalpakket dat in de TiddlyWiki5 opslagruimte beschikbaar is.\n\nDit commando is bedoeld voor intern gebruik; het is alleen relevant voor gebruikers die een eigen upgradeprocedure maken.\n\n```\n--makelibrary <titel>\n```\n\nHet titelargument is standaard `$:/UpgradeLibrary`.\n"
},
"$:/language/Help/notfound": {
"title": "$:/language/Help/notfound",
"description": "",
"text": "Geen hulp"
},
"$:/language/Help/output": {
"title": "$:/language/Help/output",
"description": "Stelt de basis output folder voor volgende commando's in",
"text": "Stelt de basis output folder voor volgende commando's in. De standaard outputfolder is de `output` subfolder van de editiefolder.\n\n```\n--output <bestandspad>\n```\n\nAls het gespecificeerde bestandspad relatief is zal dit relatief zijn t.o.v. de werkfolder. B.v. `--output .` stelt de outputfolder in als huidige werkfolder.\n\n"
},
"$:/language/Help/password": {
"title": "$:/language/Help/password",
"description": "Vul een wachtwoord in voor volgende versleuteloperarties",
"text": "Vul een wachtwoord in voor volgende versleuteloperarties\n\n```\n--password <wachtwoord>\n```\n\n"
},
"$:/language/Help/render": {
"title": "$:/language/Help/render",
"description": "Rendert individuele tiddlers naar bestanden",
"text": "\nRender (toon) gefilterde individuele tiddlers en sla de resultaten op in de gespecificeerde bestanden.\n\nOptioneel kan de titel van een template tiddler gespecificeerd worden. I.p.v. direct renderen van de tiddler wordt de template tiddler gerenderd met de \"currentTiddler\" variabele gezet als titel van de tiddler die wordt gerenderd.\n\nEen naam en waarde voor een additionele variabele kan optioneel gespecificeerd worden.\n\n```\n--render <tiddler-filter> [<bestandsnaam-filter>] [<render-type>] [<template>] [<naam>] [<waarde>]\n```\n\n* ''tiddler-filter'': Een filter dat bepaalt welke tiddler(s) getoond worden\n* ''bestandsnaam-filter'': Optioneel filter dat tiiddler titels omzet in padnamen. Standaard (geen optioneel filter) `[is[tiddler]addsuffix[.html]]`, hetgeen de onveranderde tiddler titel als bestandsnaam gebruikt\n* ''template'': Optionele template die gebruikt wordt om elke tiddler te renderen\n* ''render-type'': Optioneel render type: `text/html` (standaard) geeft de volledige HTML tekst en `text/plain` geeft de kale tekst (negeert HTML labels en ander niet te printen materiaal)\n* ''naam'': Naam van de optionele variabele\n* ''waarde'': Waarde van de optionele variabele\n\nStandaard is de bestandsnaam relatief t.o.v. de `output` subfolder van de editiefolder. Het `--output` commando kan gebruikt worden om output naar een andere folder te leiden.\n\nN.B.:\n* De output folder wordt niet leeg gemaakt\n* Elke ontbrekende folder in het pad naar de bestandsnaam wordt automatisch aangemaakt\n* In het geval van een tiddler met spaties in de titel, gebruik zowel de aanhalingstekens die vereist zijn voor de commando shell en ook TiddlyWiki's dubbele vierkante haken : `--render \"[[Motovun Jack.jpg]]\"`\n* Het bestandsnaam filter wordt geëvalueerd met de gekozen onderdelen, gezet voor de titel van de te renderen tiddler, waarbij de titel als basis dient om de bestandsnaam te bepalen. B.v. `[encodeuricomponent[]addprefix[static/]]` past URI encoding toe op elke titel, en voegt dan de prefix `static/` toe\n* Het `--render` commando is een uitgebreidere vervanging voor de verouderde `--rendertiddler` en `--rendertiddlers` commando's\n\nVoorbeelden:\n\n* `--render \"[!is[system]]\" \"[encodeuricomponent[]addprefix[tiddlers/]addsuffix[.html]]\"` -- rendert alle non-system tiddlers als bestanden in the subfolder \"tiddlers\" met URL-encoded titels en de extensie HTML"
},
"$:/language/Help/rendertiddler": {
"title": "$:/language/Help/rendertiddler",
"description": "Render (toon) een individuele tiddler als een gegeven inhoudstype",
"text": "Render (toon) een individuele tiddler als een gegeven inhoudstype (standaard `text/html`) en sla deze op met de gegeven bestandsnaam:\n\n```\n--rendertiddler <titel> <bestandsnaam> [<type>]\n```\n\nStandaard is de bestandsnaam relatief t.o.v. de `output` subfolder van de editiefolder.\n\nOntbrekende folders in het pad worden automatisch aangemaakt."
},
"$:/language/Help/rendertiddlers": {
"title": "$:/language/Help/rendertiddlers",
"description": "Render (toon) een reeks tiddlers die aan een filter voldoen tot separate tiddlers van een gegeven inhoudstype",
"text": "Render (toon) een reeks tiddlers die aan een filter voldoen tot separate tiddlers van een gegeven inhoudstype (standaard `text/html`) en extensie (standaard `.html`).\n\n```\n--rendertiddlers <filter> <template> <bestandspad> [<type>] [<extensie>]\n```\n\nB.v.:\n\n```\n--rendertiddlers [!is[system]] $:/core/templates/static.tiddler.html ./static text/plain\n```\n\nStandaard is de padnaam relatief t.o.v. de `output` subfolder van de editiefolder. Het `--output` commando kan worden gebruikt om de output naar een andere folder te sturen.\n\nAlle bestanden in de doelfolder worden verwijderd. De doelfolder wordt recursief aangemaakt als hij ontbreekt.\n"
},
"$:/language/Help/save": {
"title": "$:/language/Help/save",
"description": "Slaat individuele ruwe tiddlers in bestanden op",
"text": "Slaat gefilterde individuele tiddlers op in gespecificeerde bestanden als ruwe tekst of in binair formaat.\n\n```\n--save <tiddler-filter> <bestandsnaam-filter>\n```\n\n* ''tiddler-filter'': Een filter dat bepaalt welke tiddler(s) opgeslagen moeten worden\n* ''bestandsnaam-filter'': Optioneel filter dat tiddler titels omzet in padnamen. Standaard (geen optioneel filter) `[is[tiddler]]`, hetgeen de onveranderde tiddler titel als bestandsnaam gebruikt\n\nStandaard is de bestandsnaam relatief t.o.v. de `output` subfolder van de editiefolder. Het `--output` commando kan gebruikt worden om output naar een andere folder te leiden.\n\nN.B.:\n* De output folder wordt niet leeg gemaakt\n* Elke ontbrekende folder in het pad naar de bestandsnaam wordt automatisch aangemaakt\n* In het geval van een tiddler met spaties in de titel, gebruik zowel de aanhalingstekens die vereist zijn voor de commando shell en ook TiddlyWiki's dubbele vierkante haken : `--render \"[[Motovun Jack.jpg]]\"`\n* Het bestandsnaam filter wordt geëvalueerd met de gekozen onderdelen, gezet voor de titel van de te tiddler die opgeslagen wordt, waarbij de titel als basis dient om de bestandsnaam te bepalen. B.v. `[encodeuricomponent[]addprefix[static/]]` past URI encoding toe op elke titel, en voegt dan de prefix `static/` toe\n* Het `--save` commando is een uitgebreidere vervanging voor de verouderde `--savetiddler` en `--savetiddlers` commando's\n\nVoorbeelden:\n\n * `--save \"[!is[system]is[image]]\" \"[encodeuricomponent[]addprefix[tiddlers/]]\"` -- slaat alle non-system afbeeldingstiddlers als bestanden op in the subfolder \"tiddlers\" met URL-encoded titels"
},
"$:/language/Help/savetiddler": {
"title": "$:/language/Help/savetiddler",
"description": "Slaat een ruwe tiddler op in een bestand",
"text": "Slaat een individuele tiddler op in ruwe tekst of binair formaat met de gegeven bestandsnaam.\n\n```\n--savetiddler <titel> <bestandsnaam>\n```\n\nStandaard is de bestandsnaam relatief t.o.v. de `output` subfolder van de editiefolder. Het `--output` commando kan worden gebruikt om de output naar een andere folder te sturen.\n\nOntbrekende folders in het pad worden automatisch aangemaakt.\n"
},
"$:/language/Help/savetiddlers": {
"title": "$:/language/Help/savetiddlers",
"description": "Slaat een groep ruwe tiddlers op in een folder.",
"text": "Slaat een groep tiddlers op in ruwe tekst of binair formaat in de gegeven folder.\n\n```\n--savetiddlers <filter> <padnaam>\n```\n\nStandaard is de padnaam relatief t.o.v. de `output` subfolder van de editiefolder. Het `--output` commando kan worden gebruikt om de output naar een andere folder te sturen.\n\nOntbrekende folders in het pad worden automatisch aangemaakt.\n"
},
"$:/language/Help/savewikifolder": {
"title": "$:/language/Help/savewikifolder",
"description": "Slaat een wiki in een nieuwe wiki-folder op",
"text": "<<.from-version \"5.1.20\">> Slaat de wiki als een wiki-folder op inclusief tiddlers, plugins en configuratie:\n\n```\n--savewikifolder <wikifolderpath> [<filter>]\n```\n\n* De doel wiki folder moet leeg zijn of niet bestaan\n* Het filter geeft aan welke tiddlers opgeslagen worden. Het filter is optioneel; standaard is `[all[tiddlers]]`\n* Plugins uit de officiële plugin-bibliotheek worden vervangen door referenties naar deze plugins in het `tiddlywiki.info` bestand\n* Andere plugins worden uitgepakt in hun eigen folder\n\nKan worden gebruikt om een TiddlyWiki HTML-bestand in een wiki-folder om te zetten:\n\n```\ntiddlywiki --load ./mywiki.html --savewikifolder ./mywikifolder\n```\n"
},
"$:/language/Help/server": {
"title": "$:/language/Help/server",
"description": "Geeft een HTTP serverinterface aan TiddlyWiki",
"text": "TiddlyWiki5 bevat een simpele server. Hoewel deze server compatibel is met TiddlyWeb, ontbreken zaken voor robuust internetgebruik.\n\nDe server kan specifieke tiddlers in het gegeven formaat tonen. Daarnaast kunnen tiddlers in JSON-formaat uitgeleverd worden.\nDe ondersteunde HTTP-functies zijn: `GET`, `PUT` en `DELETE`.\n\n```\n--server <poort> <roottiddler> <rendertype> <servetype> <gebruikersnaam> <wachtwoord> <host> <padprefix>\n```\n\nDe parameters zijn:\n\n* ''poort'' - poortnummer voor communicatie (standaard \"8080\")\n* ''roottiddler'' - de tiddler die als basistiddler gebruikt wordt (standaard \"$:/core/save/all\") \n* ''rendertype'' - het inhoudstype waarmee de basistiddler getoond wordt (standaard \"text/plain\")\n* ''servetype'' - het inhoudstype waarmee de basistiddler uitgeleverd wordt (standaard \"text/html\")\n* ''gebruikersnaam'' - de standaard gebruikersnaam waarmee wijzigingen gesigneerd worden\n* ''wachtwoord'' - optioneel wachtwoord voor simpele verificatie\n* ''host'' - optionele hostnaam waarmee uitgeleverd wordt (standaard \"127.0.0.1\" oftewel \"localhost\")\n* ''padprefix'' - optioneel voorvoegsel voor paden\n\nAls een wachtwoord gegeven wordt zal de browser om gebruikersnaam en wachtwoord vragen. N.B. het wachtwoord wordt als platte tekst verzonden en dit is dus niet geschikt voor algemeen internetgebruik.\n\nB.v.:\n\n```\n--server 8080 $:/core/save/all text/plain text/html MyUserName passw0rd\n```\n\nDe gebruikersnaam en het wachtwoord kunnen als lege \"strings\" (\"\") gespecificeerd worden als je \"hostnaam\" of \"padprefix\" wil geven zonder wachtwoord:\n\n```\n--server 8080 $:/core/save/all text/plain text/html \"\" \"\" 192.168.0.245\n```\n\nOm meerdere TiddlyWiki servers tegelijkertijd te gebruiken dien je elke server op een aparte poort te zetten.\n"
},
"$:/language/Help/setfield": {
"title": "$:/language/Help/setfield",
"description": "Maakt externe tiddlers voor gebruik gereed",
"text": "//N.B. Dit commando is experimenteel en kan veranderen of vervangen worden//\n\nZet het gespecificeerde veld van een groep tiddlers via een filter. Een sjabloon wordt \"gewikificeerd\" (wikified) en het resultaat aan het veld toegekend. De `currentTiddler` variabele wordt aan de respectievelijke tiddler toegekend.\n\n```\n--setfield <filter> <veldnaam> <templatetitel> <rendertype>\n```\n\nDe parameters zijn:\n\n* ''filter'' - filter dat de tiddlers selecteert\n* ''veldnaam'' - het betreffende veld (standaard \"text\")\n* ''templatetitel'' - de tiddler die in het betreffende veld gewikificeerd moet worden. In geval van een leeg of ontbrekend veld wordt het veld verwijderd\n* ''rendertype'' - het teksttype om te renderen (tonen) - standaard \"text/plain\"; \"text/html\" kan worden gebruikt om HTML-elementen te kunnen gebruiken\n"
},
"$:/language/Help/unpackplugin": {
"title": "$:/language/Help/unpackplugin",
"description": "Extraheer de 'payload' tiddlers van een plugin",
"text": "Extraheer de 'payload' tiddlers van een plugin en maak er gewone tiddlers van:```--unpackplugin <titel>```"
},
"$:/language/Help/verbose": {
"title": "$:/language/Help/verbose",
"description": "Activeert extra tekstoutput",
"text": "Activeert extra tekstoutput, zinvol voor debuggen\n\n```\n--verbose\n```\n"
},
"$:/language/Help/version": {
"title": "$:/language/Help/version",
"description": "Toont het versienummer van TiddlyWiki",
"text": "Toont het versienummer van TiddlyWiki\n\n```\n--version\n```\n"
},
"$:/language/Import/Imported/Hint": {
"title": "$:/language/Import/Imported/Hint",
"text": "De volgende tiddlers werden geïmporteerd:"
},
"$:/language/Import/Listing/Cancel/Caption": {
"title": "$:/language/Import/Listing/Cancel/Caption",
"text": "Annuleer"
},
"$:/language/Import/Listing/Hint": {
"title": "$:/language/Import/Listing/Hint",
"text": "Deze tiddlers staan klaar voor import:"
},
"$:/language/Import/Listing/Import/Caption": {
"title": "$:/language/Import/Listing/Import/Caption",
"text": "Importeer"
},
"$:/language/Import/Listing/Preview": {
"title": "$:/language/Import/Listing/Preview",
"text": "Voorvertoning:"
},
"$:/language/Import/Listing/Preview/Diff": {
"title": "$:/language/Import/Listing/Preview/Diff",
"text": "Verschil"
},
"$:/language/Import/Listing/Preview/DiffFields": {
"title": "$:/language/Import/Listing/Preview/DiffFields",
"text": "Verschil (Velden)"
},
"$:/language/Import/Listing/Preview/Fields": {
"title": "$:/language/Import/Listing/Preview/Fields",
"text": "Velden"
},
"$:/language/Import/Listing/Preview/Text": {
"title": "$:/language/Import/Listing/Preview/Text",
"text": "Tekst"
},
"$:/language/Import/Listing/Preview/TextRaw": {
"title": "$:/language/Import/Listing/Preview/TextRaw",
"text": "Tekst (Ruw)"
},
"$:/language/Import/Listing/Rename/CancelRename": {
"title": "$:/language/Import/Listing/Rename/CancelRename",
"text": "Annuleer"
},
"$:/language/Import/Listing/Rename/ConfirmRename": {
"title": "$:/language/Import/Listing/Rename/ConfirmRename",
"text": "Hernoem tiddler"
},
"$:/language/Import/Listing/Rename/OverwriteWarning": {
"title": "$:/language/Import/Listing/Rename/OverwriteWarning",
"text": "Een tiddler met deze naam bestaat al"
},
"$:/language/Import/Listing/Rename/Prompt": {
"title": "$:/language/Import/Listing/Rename/Prompt",
"text": "Hernoem tot:"
},
"$:/language/Import/Listing/Rename/Tooltip": {
"title": "$:/language/Import/Listing/Rename/Tooltip",
"text": "Hernoem tiddler voor import"
},
"$:/language/Import/Listing/Select/Caption": {
"title": "$:/language/Import/Listing/Select/Caption",
"text": "Selecteer"
},
"$:/language/Import/Listing/Status/Caption": {
"title": "$:/language/Import/Listing/Status/Caption",
"text": "Status"
},
"$:/language/Import/Listing/Title/Caption": {
"title": "$:/language/Import/Listing/Title/Caption",
"text": "Titel"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Incompatible",
"text": "Geblokkeerde plugin (incompatibel of verouderd)"
},
"$:/language/Import/Upgrader/Plugins/Suppressed/Version": {
"title": "$:/language/Import/Upgrader/Plugins/Suppressed/Version",
"text": "Geblokkeerde plugin (te importeren <<incoming>> ouder dan bestaande <<existing>>)"
},
"$:/language/Import/Upgrader/Plugins/Upgraded": {
"title": "$:/language/Import/Upgrader/Plugins/Upgraded",
"text": "Plugin opgewaardeerd van <<incoming>> naar <<upgraded>>"
},
"$:/language/Import/Upgrader/State/Suppressed": {
"title": "$:/language/Import/Upgrader/State/Suppressed",
"text": "Geblokkeerde tijdelijke statustiddler"
},
"$:/language/Import/Upgrader/System/Alert": {
"title": "$:/language/Import/Upgrader/System/Alert",
"text": "Je staat op het punt om om een tiddler te importeren die een 'core module' tiddler zal overschrijven. Dit wordt niet aanbevolen daar het systeem instabiel kan worden"
},
"$:/language/Import/Upgrader/System/Suppressed": {
"title": "$:/language/Import/Upgrader/System/Suppressed",
"text": "Geblokkeerde systeemtiddler"
},
"$:/language/Import/Upgrader/System/Warning": {
"title": "$:/language/Import/Upgrader/System/Warning",
"text": "'Core module' tiddler"
},
"$:/language/Import/Upgrader/ThemeTweaks/Created": {
"title": "$:/language/Import/Upgrader/ThemeTweaks/Created",
"text": "Gemigreerde thema-aanpassing van <$text text=<<from>>/>"
},
"$:/language/AboveStory/ClassicPlugin/Warning": {
"title": "$:/language/AboveStory/ClassicPlugin/Warning",
"text": "Het lijkt erop dat je probeert een ~TiddlyWiki Classic plugin te laden. [[Deze plugins werken niet met ~TiddlyWiki version 5.x.x|https://tiddlywiki.com/#TiddlyWikiClassic]]. Gedetecteerde ~TiddlyWiki Classic plugins:"
},
"$:/language/BinaryWarning/Prompt": {
"title": "$:/language/BinaryWarning/Prompt",
"text": "Deze tiddler bevat binaire data"
},
"$:/language/ClassicWarning/Hint": {
"title": "$:/language/ClassicWarning/Hint",
"text": "Deze tiddler is in TiddlyWiki Classic wiki tekstformaat geschreven dat niet geheel compatibel is met TiddlyWiki versie 5. Zie https://tiddlywiki.com/static/Upgrading.html voor meer details."
},
"$:/language/ClassicWarning/Upgrade/Caption": {
"title": "$:/language/ClassicWarning/Upgrade/Caption",
"text": "upgrade"
},
"$:/language/CloseAll/Button": {
"title": "$:/language/CloseAll/Button",
"text": "sluit alle"
},
"$:/language/ConfirmAction": {
"title": "$:/language/ConfirmAction",
"text": "Wil je doorgaan?"
},
"$:/language/ConfirmCancelTiddler": {
"title": "$:/language/ConfirmCancelTiddler",
"text": "Wil je gemaakte wijzigingen aan de tiddler \"<$text text=<<title>>/>\" annuleren?"
},
"$:/language/ConfirmDeleteTiddler": {
"title": "$:/language/ConfirmDeleteTiddler",
"text": "Wil je de tiddler \"<$text text=<<title>>/>\" verwijderen?"
},
"$:/language/ConfirmEditShadowTiddler": {
"title": "$:/language/ConfirmEditShadowTiddler",
"text": "Je staat op het punt een schaduwtiddler te wijzigen. Toekomstige automatische veranderingen (upgrades) zijn dan niet mogelijk. Wil je \"<$text text=<<title>>/>\" wijzigen?"
},
"$:/language/ConfirmOverwriteTiddler": {
"title": "$:/language/ConfirmOverwriteTiddler",
"text": "Wil je de tiddler \"<$text text=<<title>>/>\" overschrijven?"
},
"$:/language/Count": {
"title": "$:/language/Count",
"text": "aantal"
},
"$:/language/DefaultNewTiddlerTitle": {
"title": "$:/language/DefaultNewTiddlerTitle",
"text": "Nieuwe tiddler"
},
"$:/language/Diffs/CountMessage": {
"title": "$:/language/Diffs/CountMessage",
"text": "<<diff-count>> verschillen"
},
"$:/language/DropMessage": {
"title": "$:/language/DropMessage",
"text": "'Drop' hier (of klik escape om te annuleren)"
},
"$:/language/Encryption/Cancel": {
"title": "$:/language/Encryption/Cancel",
"text": "Annuleer"
},
"$:/language/Encryption/ConfirmClearPassword": {
"title": "$:/language/Encryption/ConfirmClearPassword",
"text": "Wil je het wachtwoord verwijderen? Dan wordt de wiki onversleuteld opgeslagen."
},
"$:/language/Encryption/Password": {
"title": "$:/language/Encryption/Password",
"text": "Wachtwoord"
},
"$:/language/Encryption/PasswordNoMatch": {
"title": "$:/language/Encryption/PasswordNoMatch",
"text": "Wachtwoorden komen niet overeen"
},
"$:/language/Encryption/PromptSetPassword": {
"title": "$:/language/Encryption/PromptSetPassword",
"text": "Vul een nieuw wachtwoord in voor deze TiddlyWiki"
},
"$:/language/Encryption/RepeatPassword": {
"title": "$:/language/Encryption/RepeatPassword",
"text": "Herhaal wachtwoord"
},
"$:/language/Encryption/SetPassword": {
"title": "$:/language/Encryption/SetPassword",
"text": "Vul wachtwoord in"
},
"$:/language/Encryption/Username": {
"title": "$:/language/Encryption/Username",
"text": "Gebruikersnaam"
},
"$:/language/Error/Caption": {
"title": "$:/language/Error/Caption",
"text": "Fout"
},
"$:/language/Error/EditConflict": {
"title": "$:/language/Error/EditConflict",
"text": "Bestand gewijzigd op server"
},
"$:/language/Error/Filter": {
"title": "$:/language/Error/Filter",
"text": "Filterfout"
},
"$:/language/Error/FilterRunPrefix": {
"title": "$:/language/Error/FilterRunPrefix",
"text": "Filterfout: Onbekend voorvoegsel voor filter 'run'"
},
"$:/language/Error/FilterSyntax": {
"title": "$:/language/Error/FilterSyntax",
"text": "Syntaxfout in filter expressie"
},
"$:/language/Error/FormatFilterOperator": {
"title": "$:/language/Error/FormatFilterOperator",
"text": "Filterfout: Onbekend achtervoegsel voor de 'format' filter operator"
},
"$:/language/Error/IsFilterOperator": {
"title": "$:/language/Error/IsFilterOperator",
"text": "Filterfout: Onbekende operand voor het 'is' filter"
},
"$:/language/Error/LoadingPluginLibrary": {
"title": "$:/language/Error/LoadingPluginLibrary",
"text": "Fout bij laden van de pluginbibliotheek"
},
"$:/language/Error/NetworkErrorAlert": {
"title": "$:/language/Error/NetworkErrorAlert",
"text": "`<h2>''Network fout''</h2>De verbinding met de server lijkt verbroken. Mogelijk een probleem met de netwerkverbinding. Herstel de netwerkverbinding voordat verder wordt gegaan.<br><br>''Niet opgeslagen veranderingen worden gesynchroniseerd als de verbinding hersteld is''.`"
},
"$:/language/Error/RecursiveTransclusion": {
"title": "$:/language/Error/RecursiveTransclusion",
"text": "Recursieve transclusiefout in 'transclude' widget"
},
"$:/language/Error/RetrievingSkinny": {
"title": "$:/language/Error/RetrievingSkinny",
"text": "Fout bij ophalen van de 'skinny' tiddlerlijst"
},
"$:/language/Error/SavingToTWEdit": {
"title": "$:/language/Error/SavingToTWEdit",
"text": "Fout bij opslaan naar TWEdit"
},
"$:/language/Error/WhileSaving": {
"title": "$:/language/Error/WhileSaving",
"text": "Fout bij opslaan"
},
"$:/language/Error/XMLHttpRequest": {
"title": "$:/language/Error/XMLHttpRequest",
"text": "XMLHttpRequest foutcode"
},
"$:/language/InternalJavaScriptError/Hint": {
"title": "$:/language/InternalJavaScriptError/Hint",
"text": "Er is een probleem. Herstart TiddlyWiki door je browser te verversen"
},
"$:/language/InternalJavaScriptError/Title": {
"title": "$:/language/InternalJavaScriptError/Title",
"text": "Interne JavaScript fout"
},
"$:/language/InvalidFieldName": {
"title": "$:/language/InvalidFieldName",
"text": "Illegale lettertekens in veldnaam \"<$text text=<<fieldName>>/>\". Velden kunnen alleen kleine letters, cijfers en de lettertekens liggend streepje (`_`), koppelteken (`-`) en punt (`.`)"
},
"$:/language/LazyLoadingWarning": {
"title": "$:/language/LazyLoadingWarning",
"text": "<p>Er wordt externe tekst geladen van ''<$text text={{!!_canonical_uri}}/>''</p><p>Als deze mededeling niet verdwijnt ondersteunt de gebruikte browser in deze configuratie mogelijk geen externe tekst. Zie https://tiddlywiki.com/#ExternalText</p>"
},
"$:/language/LoginToTiddlySpace": {
"title": "$:/language/LoginToTiddlySpace",
"text": "Login bij TiddlySpace"
},
"$:/language/Manager/Controls/FilterByTag/None": {
"title": "$:/language/Manager/Controls/FilterByTag/None",
"text": "(geen)"
},
"$:/language/Manager/Controls/FilterByTag/Prompt": {
"title": "$:/language/Manager/Controls/FilterByTag/Prompt",
"text": "Filter d.m.v. label:"
},
"$:/language/Manager/Controls/Order/Prompt": {
"title": "$:/language/Manager/Controls/Order/Prompt",
"text": "Omgekeerde volgorde"
},
"$:/language/Manager/Controls/Search/Placeholder": {
"title": "$:/language/Manager/Controls/Search/Placeholder",
"text": "Zoek"
},
"$:/language/Manager/Controls/Search/Prompt": {
"title": "$:/language/Manager/Controls/Search/Prompt",
"text": "Zoek:"
},
"$:/language/Manager/Controls/Show/Option/Tags": {
"title": "$:/language/Manager/Controls/Show/Option/Tags",
"text": "labels"
},
"$:/language/Manager/Controls/Show/Prompt": {
"title": "$:/language/Manager/Controls/Show/Prompt",
"text": "Toon:"
},
"$:/language/Manager/Controls/Sort/Prompt": {
"title": "$:/language/Manager/Controls/Sort/Prompt",
"text": "Sorteer op:"
},
"$:/language/Manager/Item/Colour": {
"title": "$:/language/Manager/Item/Colour",
"text": "Kleur"
},
"$:/language/Manager/Item/Fields": {
"title": "$:/language/Manager/Item/Fields",
"text": "Velden"
},
"$:/language/Manager/Item/Icon": {
"title": "$:/language/Manager/Item/Icon",
"text": "Pictogram (icon)"
},
"$:/language/Manager/Item/Icon/None": {
"title": "$:/language/Manager/Item/Icon/None",
"text": "(geen)"
},
"$:/language/Manager/Item/RawText": {
"title": "$:/language/Manager/Item/RawText",
"text": "Ruwe tekst"
},
"$:/language/Manager/Item/Tags": {
"title": "$:/language/Manager/Item/Tags",
"text": "Labels"
},
"$:/language/Manager/Item/Tools": {
"title": "$:/language/Manager/Item/Tools",
"text": "Gereedschappen"
},
"$:/language/Manager/Item/WikifiedText": {
"title": "$:/language/Manager/Item/WikifiedText",
"text": "'Wikified' tekst"
},
"$:/language/MissingTiddler/Hint": {
"title": "$:/language/MissingTiddler/Hint",
"text": "Ontbrekende tiddler \"<$text text=<<currentTiddler>>/>\" - klik {{||$:/core/ui/Buttons/edit}} om hem aan te maken"
},
"$:/language/No": {
"title": "$:/language/No",
"text": "Nee"
},
"$:/language/OfficialPluginLibrary": {
"title": "$:/language/OfficialPluginLibrary",
"text": "Officiële ~TiddlyWiki pluginbibliotheek"
},
"$:/language/OfficialPluginLibrary/Hint": {
"title": "$:/language/OfficialPluginLibrary/Hint",
"text": "De officiële ~TiddlyWiki pluginbibliotheek op tiddlywiki.com. Plugins, thema's en taalpaketten worden door het core team onderhouden."
},
"$:/language/PluginReloadWarning": {
"title": "$:/language/PluginReloadWarning",
"text": "Sla op {{$:/core/ui/Buttons/save-wiki}} en ververs {{$:/core/ui/Buttons/refresh}} om wijzigingen aan plugins door te voeren"
},
"$:/language/RecentChanges/DateFormat": {
"title": "$:/language/RecentChanges/DateFormat",
"text": "DD MMM YYYY"
},
"$:/language/Shortcuts/Input/Accept/Hint": {
"title": "$:/language/Shortcuts/Input/Accept/Hint",
"text": "Accepteer het geselecteerde item"
},
"$:/language/Shortcuts/Input/AcceptVariant/Hint": {
"title": "$:/language/Shortcuts/Input/AcceptVariant/Hint",
"text": "Accepteer het geselecteerde item (variant)"
},
"$:/language/Shortcuts/Input/AdvancedSearch/Hint": {
"title": "$:/language/Shortcuts/Input/AdvancedSearch/Hint",
"text": "Open geavanceerd zoeken vanuit het zoekveld in het zijpaneel"
},
"$:/language/Shortcuts/Input/Cancel/Hint": {
"title": "$:/language/Shortcuts/Input/Cancel/Hint",
"text": "Wis het input veld"
},
"$:/language/Shortcuts/Input/Down/Hint": {
"title": "$:/language/Shortcuts/Input/Down/Hint",
"text": "Selecteer het volgende item"
},
"$:/language/Shortcuts/Input/Tab-Left/Hint": {
"title": "$:/language/Shortcuts/Input/Tab-Left/Hint",
"text": "Selecteer de voorgaande tab"
},
"$:/language/Shortcuts/Input/Tab-Right/Hint": {
"title": "$:/language/Shortcuts/Input/Tab-Right/Hint",
"text": "Selecteer de volgende tab"
},
"$:/language/Shortcuts/Input/Up/Hint": {
"title": "$:/language/Shortcuts/Input/Up/Hint",
"text": "Selecteer het voorgaande item"
},
"$:/language/Shortcuts/SidebarLayout/Hint": {
"title": "$:/language/Shortcuts/SidebarLayout/Hint",
"text": "Verander de layout van het zijpaneel"
},
"$:/language/SystemTiddler/Tooltip": {
"title": "$:/language/SystemTiddler/Tooltip",
"text": "Dit is een systeem tiddler"
},
"$:/language/SystemTiddlers/Include/Prompt": {
"title": "$:/language/SystemTiddlers/Include/Prompt",
"text": "Inclusief systeem tiddlers"
},
"$:/language/TagManager/Colour/Heading": {
"title": "$:/language/TagManager/Colour/Heading",
"text": "Kleur"
},
"$:/language/TagManager/Count/Heading": {
"title": "$:/language/TagManager/Count/Heading",
"text": "Aantal"
},
"$:/language/TagManager/Icon/Heading": {
"title": "$:/language/TagManager/Icon/Heading",
"text": "Pictogram (icon)"
},
"$:/language/TagManager/Icons/None": {
"title": "$:/language/TagManager/Icons/None",
"text": "Geen"
},
"$:/language/TagManager/Info/Heading": {
"title": "$:/language/TagManager/Info/Heading",
"text": "Info"
},
"$:/language/TagManager/Tag/Heading": {
"title": "$:/language/TagManager/Tag/Heading",
"text": "Label"
},
"$:/language/Tiddler/DateFormat": {
"title": "$:/language/Tiddler/DateFormat",
"text": "DD MMM YYYY om hh12:0mmam"
},
"$:/language/UnsavedChangesWarning": {
"title": "$:/language/UnsavedChangesWarning",
"text": "Er zijn niet-opgeslagen veranderingen in TiddlyWiki"
},
"$:/language/Yes": {
"title": "$:/language/Yes",
"text": "Ja"
},
"$:/language/Modals/Download": {
"title": "$:/language/Modals/Download",
"type": "text/vnd.tiddlywiki",
"subtitle": "Download changes",
"footer": "<$button message=\"tm-close-tiddler\">Close</$button>",
"help": "https://tiddlywiki.com/static/DownloadingChanges.html",
"text": "Je browser ondersteunt alleen handmatig opslaan.\n\nOm je gewijzigde wiki op te slaan klik rechts op de downloadlink hieronder en kies \"Bestand downloaden\" of \"Doel opslaan als\" en kies dan een folder en bestandsnaam.\n\n//Je kan het iets versnellen door op de link te klikken met de control toets (Windows) of de opties/alt toets (Mac OS X) ingedrukt. Er wordt dan niet om folder- of bestandsnaam gevraagd, maar de browser zal een niet herkenbare naam geven - je dient het bestand te hernoemen met een `.html` extensie alvorens je iets zinnigs met het bestand kan doen.//\n\nBij smartphones die downloaden niet ondersteunen, kan je een bladwijzer van de link maken en dan je favorieten synchroniseren met een desktop-PC waarop de wiki normaal opgeslagen kan worden.\n"
},
"$:/language/Modals/SaveInstructions": {
"title": "$:/language/Modals/SaveInstructions",
"type": "text/vnd.tiddlywiki",
"subtitle": "Save your work",
"footer": "<$button message=\"tm-close-tiddler\">Close</$button>",
"help": "https://tiddlywiki.com/static/SavingChanges.html",
"text": "Je veranderingen aan deze wiki dienen als een ~TiddlyWiki HTML bestand opgeslagen te worden.\n\n!!! Desktop browsers\n\n# Kies ''Opslaan Als'' van het ''Bestand'' menu\n# Kies een bestandsnaam en locatie\n#* Bij sommige browsers moet het formaat expliciet opgegeven worden als ''Webpage, alleen HTML'' o.i.d.\n# Sluit de browsertab\n\n!!! Smartphone browsers\n\n# Maak een favoriet naar deze pagina\n#* Bij gebruik van iCloud of Google Sync worden favorieten automatisch met de desktop-PC gesynchroniseerd en kan je te werk gaan als boven beschreven\n# Sluit de browsertab\n\n//Wordt de favoriet in mobile Safari geopend dan zie je de melding weer. Klik ''sluit'' om verder te gaan//\n"
},
"$:/config/NewJournal/Tags": {
"title": "$:/config/NewJournal/Tags",
"text": "Journaal"
},
"$:/config/NewJournal/Title": {
"title": "$:/config/NewJournal/Title",
"text": "YYYY MMM DD"
},
"$:/language/Notifications/CopiedToClipboard/Failed": {
"title": "$:/language/Notifications/CopiedToClipboard/Failed",
"text": "Kopie naar klembord mislukt!"
},
"$:/language/Notifications/CopiedToClipboard/Succeeded": {
"title": "$:/language/Notifications/CopiedToClipboard/Succeeded",
"text": "Gekopieerd!"
},
"$:/language/Notifications/Save/Done": {
"title": "$:/language/Notifications/Save/Done",
"text": "Wiki opgeslagen"
},
"$:/language/Notifications/Save/Starting": {
"title": "$:/language/Notifications/Save/Starting",
"text": "Begonnen met wiki op te slaan"
},
"$:/language/Search/DefaultResults/Caption": {
"title": "$:/language/Search/DefaultResults/Caption",
"text": "Lijst"
},
"$:/language/Search/Filter/Caption": {
"title": "$:/language/Search/Filter/Caption",
"text": "Filter"
},
"$:/language/Search/Filter/Hint": {
"title": "$:/language/Search/Filter/Hint",
"text": "Zoek via een [[filter expressie|https://tiddlywiki.com/static/Filters.html]]"
},
"$:/language/Search/Filter/Matches": {
"title": "$:/language/Search/Filter/Matches",
"text": "//<small><<resultCount>> resultaten</small>//"
},
"$:/language/Search/Matches": {
"title": "$:/language/Search/Matches",
"text": "//<small><<resultCount>> resultaten</small>//"
},
"$:/language/Search/Matches/All": {
"title": "$:/language/Search/Matches/All",
"text": "Alle resultaten:"
},
"$:/language/Search/Matches/Title": {
"title": "$:/language/Search/Matches/Title",
"text": "Titel resultaten:"
},
"$:/language/Search/Search": {
"title": "$:/language/Search/Search",
"text": "Zoek"
},
"$:/language/Search/Search/TooShort": {
"title": "$:/language/Search/Search/TooShort",
"text": "Zoektekst te kort"
},
"$:/language/Search/Shadows/Caption": {
"title": "$:/language/Search/Shadows/Caption",
"text": "Schaduwen"
},
"$:/language/Search/Shadows/Hint": {
"title": "$:/language/Search/Shadows/Hint",
"text": "Zoek schaduwtiddlers"
},
"$:/language/Search/Shadows/Matches": {
"title": "$:/language/Search/Shadows/Matches",
"text": "//<small><<resultCount>> resultaten</small>//"
},
"$:/language/Search/Standard/Caption": {
"title": "$:/language/Search/Standard/Caption",
"text": "Standaard"
},
"$:/language/Search/Standard/Hint": {
"title": "$:/language/Search/Standard/Hint",
"text": "Zoek (in) standaard tiddlers"
},
"$:/language/Search/Standard/Matches": {
"title": "$:/language/Search/Standard/Matches",
"text": "//<small><<resultCount>> resultaten</small>//"
},
"$:/language/Search/System/Caption": {
"title": "$:/language/Search/System/Caption",
"text": "Systeem"
},
"$:/language/Search/System/Hint": {
"title": "$:/language/Search/System/Hint",
"text": "Zoek systeemtiddlers"
},
"$:/language/Search/System/Matches": {
"title": "$:/language/Search/System/Matches",
"text": "//<small><<resultCount>> resultaten</small>//"
},
"$:/language/SideBar/All/Caption": {
"title": "$:/language/SideBar/All/Caption",
"text": "Alle"
},
"$:/language/SideBar/Contents/Caption": {
"title": "$:/language/SideBar/Contents/Caption",
"text": "Inhoud"
},
"$:/language/SideBar/Drafts/Caption": {
"title": "$:/language/SideBar/Drafts/Caption",
"text": "Concepten"
},
"$:/language/SideBar/Explorer/Caption": {
"title": "$:/language/SideBar/Explorer/Caption",
"text": "Verkenner"
},
"$:/language/SideBar/Missing/Caption": {
"title": "$:/language/SideBar/Missing/Caption",
"text": "Ontbrekend"
},
"$:/language/SideBar/More/Caption": {
"title": "$:/language/SideBar/More/Caption",
"text": "Meer"
},
"$:/language/SideBar/Open/Caption": {
"title": "$:/language/SideBar/Open/Caption",
"text": "Open"
},
"$:/language/SideBar/Orphans/Caption": {
"title": "$:/language/SideBar/Orphans/Caption",
"text": "Verweesd"
},
"$:/language/SideBar/Recent/Caption": {
"title": "$:/language/SideBar/Recent/Caption",
"text": "Recent"
},
"$:/language/SideBar/Shadows/Caption": {
"title": "$:/language/SideBar/Shadows/Caption",
"text": "Schaduw"
},
"$:/language/SideBar/System/Caption": {
"title": "$:/language/SideBar/System/Caption",
"text": "Systeem"
},
"$:/language/SideBar/Tags/Caption": {
"title": "$:/language/SideBar/Tags/Caption",
"text": "Labels"
},
"$:/language/SideBar/Tags/Untagged/Caption": {
"title": "$:/language/SideBar/Tags/Untagged/Caption",
"text": "niet gelabeld"
},
"$:/language/SideBar/Tools/Caption": {
"title": "$:/language/SideBar/Tools/Caption",
"text": "Gereedschap"
},
"$:/language/SideBar/Types/Caption": {
"title": "$:/language/SideBar/Types/Caption",
"text": "Types"
},
"$:/SiteSubtitle": {
"title": "$:/SiteSubtitle",
"text": "een niet-lineair persoonlijk webnotitieboek"
},
"$:/SiteTitle": {
"title": "$:/SiteTitle",
"text": "Mijn ~TiddlyWiki"
},
"$:/language/Snippets/ListByTag": {
"title": "$:/language/Snippets/ListByTag",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Lijst van tiddlers met label ...",
"text": "<<list-links \"[tag[task]sort[title]]\">>\n"
},
"$:/language/Snippets/MacroDefinition": {
"title": "$:/language/Snippets/MacroDefinition",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Macro definitie",
"text": "\\define macroName(param1:\"default value\",param2)\nTekst van de macro\n\\end\n"
},
"$:/language/Snippets/Table4x3": {
"title": "$:/language/Snippets/Table4x3",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Tabel met 4 kolommen en 3 rijen",
"text": "|! |!Alpha |!Beta |!Gamma |!Delta |\n|!Een | | | | |\n|!Twee | | | | |\n|!Drie | | | | |\n"
},
"$:/language/Snippets/TableOfContents": {
"title": "$:/language/Snippets/TableOfContents",
"tags": "$:/tags/TextEditor/Snippet",
"caption": "Inhoudsopgave",
"text": "<div class=\"tc-table-of-contents\">\n\n<<toc-selective-expandable 'TableOfContents'>>\n\n</div>"
},
"$:/language/ThemeTweaks/Metrics": {
"title": "$:/language/ThemeTweaks/Metrics",
"text": "Afmetingen"
},
"$:/language/ThemeTweaks/Metrics/BodyFontSize": {
"title": "$:/language/ThemeTweaks/Metrics/BodyFontSize",
"text": "Lettergrootte voor tiddlertekst"
},
"$:/language/ThemeTweaks/Metrics/BodyLineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/BodyLineHeight",
"text": "Regelhoogte voor tiddlertekst"
},
"$:/language/ThemeTweaks/Metrics/FontSize": {
"title": "$:/language/ThemeTweaks/Metrics/FontSize",
"text": "Lettergrootte"
},
"$:/language/ThemeTweaks/Metrics/LineHeight": {
"title": "$:/language/ThemeTweaks/Metrics/LineHeight",
"text": "Regelhoogte"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint",
"text": "Breekpunt voor zijpaneel"
},
"$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarBreakpoint/Hint",
"text": "de minimale paginabreedte waarbij de 'story river'<br>en het zijpaneel naast elkaar getoond worden"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth",
"text": "Breedte zijpaneel"
},
"$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/SidebarWidth/Hint",
"text": "de breedte van het zijpaneel in 'fluid-fixed' layout"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft",
"text": "Linker story-positie"
},
"$:/language/ThemeTweaks/Metrics/StoryLeft/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryLeft/Hint",
"text": "hoe ver de linkermarge van de 'story river'<br>(tiddler oppervlak) is van de linkerzijde van de pagina"
},
"$:/language/ThemeTweaks/Metrics/StoryRight": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight",
"text": "Rechter story-positie"
},
"$:/language/ThemeTweaks/Metrics/StoryRight/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryRight/Hint",
"text": "hoe ver de linkermarge van het zijpaneel<br>is van de linkerkant van de pagina"
},
"$:/language/ThemeTweaks/Metrics/StoryTop": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop",
"text": "Bovenkant story"
},
"$:/language/ThemeTweaks/Metrics/StoryTop/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryTop/Hint",
"text": "hoe ver de bovenmarge van de 'story river'<br>is van de bovenkant van de pagina"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth",
"text": "Story-breedte"
},
"$:/language/ThemeTweaks/Metrics/StoryWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/StoryWidth/Hint",
"text": "de totale breedte van de 'story river'"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth",
"text": "Tiddler-breedte"
},
"$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint": {
"title": "$:/language/ThemeTweaks/Metrics/TiddlerWidth/Hint",
"text": "binnen de 'story river'"
},
"$:/language/ThemeTweaks/Options": {
"title": "$:/language/ThemeTweaks/Options",
"text": "Opties"
},
"$:/language/ThemeTweaks/Options/CodeWrapping": {
"title": "$:/language/ThemeTweaks/Options/CodeWrapping",
"text": "Breek lange regels in code-blokken af ('word wrap')"
},
"$:/language/ThemeTweaks/Options/SidebarLayout": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout",
"text": "Zijpaneel layout"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fixed-Fluid",
"text": "'Fixed story', 'fluid' zijpaneel"
},
"$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed": {
"title": "$:/language/ThemeTweaks/Options/SidebarLayout/Fluid-Fixed",
"text": "'Fluid' story, 'fixed' zijpaneel"
},
"$:/language/ThemeTweaks/Options/StickyTitles": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles",
"text": "Vaststaande ('sticky') titels"
},
"$:/language/ThemeTweaks/Options/StickyTitles/Hint": {
"title": "$:/language/ThemeTweaks/Options/StickyTitles/Hint",
"text": "Doet tiddler-titels vaststaan ('stick') aan de bovenkant van het browserscherm. Waarschuwing: Werkt niet bij alle browsers"
},
"$:/language/ThemeTweaks/Settings": {
"title": "$:/language/ThemeTweaks/Settings",
"text": "Instellingen"
},
"$:/language/ThemeTweaks/Settings/BackgroundImage": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImage",
"text": "Pagina achtergrond afbeelding"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment",
"text": "Binding van pagina achtergrond afbeelding"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Fixed",
"text": "Vast aan het scherm"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageAttachment/Scroll",
"text": "'Scroll' met tiddlers"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize",
"text": "Pagina achtergrond afbeeldingsmaat"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Contain",
"text": "'Contain'"
},
"$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover": {
"title": "$:/language/ThemeTweaks/Settings/BackgroundImageSize/Cover",
"text": "'Cover'"
},
"$:/language/ThemeTweaks/Settings/CodeFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/CodeFontFamily",
"text": "Lettertype voor code"
},
"$:/language/ThemeTweaks/Settings/EditorFontFamily": {
"title": "$:/language/ThemeTweaks/Settings/EditorFontFamily",
"text": "Lettertype voor editor"
},
"$:/language/ThemeTweaks/Settings/FontFamily": {
"title": "$:/language/ThemeTweaks/Settings/FontFamily",
"text": "Lettertype"
},
"$:/language/ThemeTweaks/ThemeTweaks": {
"title": "$:/language/ThemeTweaks/ThemeTweaks",
"text": "Thema 'tweaks'"
},
"$:/language/ThemeTweaks/ThemeTweaks/Hint": {
"title": "$:/language/ThemeTweaks/ThemeTweaks/Hint",
"text": "Je kan bepaalde aspecten van het ''Vanilla'' thema 'tweaken'"
},
"$:/language/TiddlerInfo/Advanced/Caption": {
"title": "$:/language/TiddlerInfo/Advanced/Caption",
"text": "Geavanceerd"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Empty/Hint",
"text": "niets"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Heading",
"text": "Plugin details"
},
"$:/language/TiddlerInfo/Advanced/PluginInfo/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/PluginInfo/Hint",
"text": "Deze plugin bevat de volgende schaduwtiddlers:"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Heading",
"text": "Schaduwstatus"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/NotShadow/Hint",
"text": "De tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> is geen schaduwtiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/OverriddenShadow/Hint",
"text": "Overschreven door een gewone tiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Hint",
"text": "De tiddler <$link to=<<infoTiddler>>><$text text=<<infoTiddler>>/></$link> is een schaduwtiddler"
},
"$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source": {
"title": "$:/language/TiddlerInfo/Advanced/ShadowInfo/Shadow/Source",
"text": "Gedefinieerd in de plugin <$link to=<<pluginTiddler>>><$text text=<<pluginTiddler>>/></$link>"
},
"$:/language/TiddlerInfo/Fields/Caption": {
"title": "$:/language/TiddlerInfo/Fields/Caption",
"text": "Velden"
},
"$:/language/TiddlerInfo/List/Caption": {
"title": "$:/language/TiddlerInfo/List/Caption",
"text": "Lijst"
},
"$:/language/TiddlerInfo/List/Empty": {
"title": "$:/language/TiddlerInfo/List/Empty",
"text": "Deze tiddler heeft geen lijst"
},
"$:/language/TiddlerInfo/Listed/Caption": {
"title": "$:/language/TiddlerInfo/Listed/Caption",
"text": "Genoemd"
},
"$:/language/TiddlerInfo/Listed/Empty": {
"title": "$:/language/TiddlerInfo/Listed/Empty",
"text": "Deze tiddler wordt niet door andere tiddlers genoemd"
},
"$:/language/TiddlerInfo/References/Caption": {
"title": "$:/language/TiddlerInfo/References/Caption",
"text": "Referenties"
},
"$:/language/TiddlerInfo/References/Empty": {
"title": "$:/language/TiddlerInfo/References/Empty",
"text": "Er zijn geen tiddlers aan deze tiddler gelinkt"
},
"$:/language/TiddlerInfo/Tagging/Caption": {
"title": "$:/language/TiddlerInfo/Tagging/Caption",
"text": "Gelabeld"
},
"$:/language/TiddlerInfo/Tagging/Empty": {
"title": "$:/language/TiddlerInfo/Tagging/Empty",
"text": "Er zijn geen tiddlers gelabeld met deze tiddler"
},
"$:/language/TiddlerInfo/Tools/Caption": {
"title": "$:/language/TiddlerInfo/Tools/Caption",
"text": "Gereedschap"
},
"$:/language/Docs/Types/application/javascript": {
"title": "$:/language/Docs/Types/application/javascript",
"description": "JavaScript code",
"name": "application/javascript",
"group": "Ontwikkelaar"
},
"$:/language/Docs/Types/application/json": {
"title": "$:/language/Docs/Types/application/json",
"description": "JSON data",
"name": "application/json",
"group": "Ontwikkelaar"
},
"$:/language/Docs/Types/application/x-tiddler-dictionary": {
"title": "$:/language/Docs/Types/application/x-tiddler-dictionary",
"description": "Data 'dictionary'",
"name": "application/x-tiddler-dictionary",
"group": "Ontwikkelaar"
},
"$:/language/Docs/Types/image/gif": {
"title": "$:/language/Docs/Types/image/gif",
"description": "GIF afbeelding",
"name": "image/gif",
"group": "Afbeelding"
},
"$:/language/Docs/Types/image/jpeg": {
"title": "$:/language/Docs/Types/image/jpeg",
"description": "JPEG afbeelding",
"name": "image/jpeg",
"group": "Afbeelding"
},
"$:/language/Docs/Types/image/png": {
"title": "$:/language/Docs/Types/image/png",
"description": "PNG afbeelding",
"name": "image/png",
"group": "Afbeelding"
},
"$:/language/Docs/Types/image/svg+xml": {
"title": "$:/language/Docs/Types/image/svg+xml",
"description": "Structured Vector Graphics (SVG) afbeelding",
"name": "image/svg+xml",
"group": "Afbeelding"
},
"$:/language/Docs/Types/image/x-icon": {
"title": "$:/language/Docs/Types/image/x-icon",
"description": "ICO pictogram (icon)",
"name": "image/x-icon",
"group": "Afbeelding"
},
"$:/language/Docs/Types/text/css": {
"title": "$:/language/Docs/Types/text/css",
"description": "Statisch stijlblad",
"name": "text/css",
"group": "Ontwikkelaar"
},
"$:/language/Docs/Types/text/html": {
"title": "$:/language/Docs/Types/text/html",
"description": "HTML opmaak",
"name": "text/html",
"group": "Tekst"
},
"$:/language/Docs/Types/text/plain": {
"title": "$:/language/Docs/Types/text/plain",
"description": "Platte text",
"name": "text/plain",
"group": "Tekst"
},
"$:/language/Docs/Types/text/vnd.tiddlywiki": {
"title": "$:/language/Docs/Types/text/vnd.tiddlywiki",
"description": "TiddlyWiki 5",
"name": "text/vnd.tiddlywiki",
"group": "Tekst"
},
"$:/language/Docs/Types/text/x-tiddlywiki": {
"title": "$:/language/Docs/Types/text/x-tiddlywiki",
"description": "TiddlyWiki Classic",
"name": "text/x-tiddlywiki",
"group": "Tekst"
},
"$:/languages/nl-NL/icon": {
"title": "$:/languages/nl-NL/icon",
"type": "image/svg+xml",
"text": "<?xml version=\"1.0\" encoding=\"UTF-8\"?>\n<svg xmlns=\"http://www.w3.org/2000/svg\" width=\"900\" height=\"600\" viewBox=\"0 0 9 6\">\n<rect fill=\"#21468B\"\twidth=\"9\" height=\"6\"/>\n<rect fill=\"#FFF\" width=\"9\" height=\"4\"/>\n<rect fill=\"#AE1C28\"\twidth=\"9\" height=\"2\"/>\n</svg>"
}
}
}
: Shades of blue
blue11: #0044BB
blue10: #1755C1
blue09: #2E66C7
blue08: #4677CE
blue07: #5D88D4
blue06: #7499DA
blue05: #8BAAE0
blue04: #A2BBE6
blue03: #B9CCEC
blue02: #D1DDF3
blue01: #E8EEF9
: Other colors
BROWN: #884411
alert-background: #FFE476
alert-border: #B99E2F
alert-highlight: #881122
alert-muted-foreground: #B99E2F
background: #FFFFFF
blockquote-bar: <<colour muted-foreground>>
button-background:
button-foreground:
button-border:
code-background: #F7F7F9
code-border: #E1E1E8
code-foreground: #DD1144
dirty-indicator: #FF0000
download-background: #34C734
download-foreground: <<colour background>>
dragger-background: <<colour foreground>>
dragger-foreground: <<colour background>>
dropdown-background: <<colour background>>
dropdown-border: <<colour muted-foreground>>
dropdown-tab-background-selected: #FFFFFF
dropdown-tab-background: #ECECEC
dropzone-background: rgba(0,200,0,0.7)
external-link-background-hover: inherit
external-link-background-visited: inherit
external-link-background: inherit
external-link-foreground-hover: inherit
external-link-foreground-visited: #551A8B
external-link-foreground: #0000EE
foreground: #0044BB
message-background: #ECF2FF
message-border: #CFD6E6
message-foreground: #547599
modal-backdrop: <<colour foreground>>
modal-background: <<colour background>>
modal-border: #999999
modal-footer-background: #F5F5F5
modal-footer-border: #DDDDDD
modal-header-border: #EEEEEE
muted-foreground: #B9CCEC
notification-background: #FFFFDD
notification-border: #999999
page-background: #FAFBFD
pre-background: #F5F5F5
pre-border: #CCCCCC
primary: #2E66C7
sidebar-button-foreground: <<colour foreground>>
sidebar-controls-foreground-hover: <<colour foreground>>
sidebar-controls-foreground: #D1DDF3
sidebar-foreground-shadow: rgba(255,255,255, 0.8)
sidebar-foreground: #5D88D4
sidebar-muted-foreground-hover: <<colour foreground>>
sidebar-muted-foreground: #B9CCEC
sidebar-tab-background-selected: #D1DDF3
sidebar-tab-background: #FFFFFF
sidebar-tab-border-selected: <<colour tab-border-selected>>
sidebar-tab-border: <<colour tab-border>>
sidebar-tab-divider: #D1DDF3
sidebar-tab-foreground-selected:
sidebar-tab-foreground: <<colour tab-foreground>>
sidebar-tiddler-link-foreground-hover: <<colour foreground>>
sidebar-tiddler-link-foreground: #5D88D4
site-title-foreground: <<colour tiddler-title-foreground>>
static-alert-foreground: #AAAAAA
tab-background-selected: #D1DDF3
tab-background: #FFFFFF
tab-border-selected: #A2BBE6
tab-border: #D1DDF3
tab-divider: #D1DDF3
tab-foreground-selected: <<colour foreground>>
tab-foreground: <<colour foreground>>
table-border: #B9CCEC
table-footer-background: #D1DDF3
table-header-background: #E8EEF9
tag-background: #7499DA
tag-foreground: #FFFFFF
tiddler-background: #FEFEFE
tiddler-border: <<colour background>>
tiddler-controls-foreground-hover: <<colour foreground>>
tiddler-controls-foreground-selected: <<colour foreground>>
tiddler-controls-foreground: #D1DDF3
tiddler-editor-background: #F8F8F8
tiddler-editor-border-image: #FFFFFF
tiddler-editor-border: #CCCCCC
tiddler-editor-fields-even: #E0E8E0
tiddler-editor-fields-odd: #F0F4F0
tiddler-info-background: #F8F8F8
tiddler-info-border: #D1DDF3
tiddler-info-tab-background: #D1DDF3
tiddler-link-background: <<colour background>>
tiddler-link-foreground: #006DEC
tiddler-subtitle-foreground: #C0C0C0
tiddler-title-foreground: <<colour foreground>>
toolbar-new-button:
toolbar-options-button:
toolbar-save-button:
toolbar-info-button:
toolbar-edit-button:
toolbar-close-button:
toolbar-delete-button:
toolbar-cancel-button:
toolbar-done-button:
untagged-background: #7499DA
very-muted-foreground: #888888
.matched {background-color:#ffc;}
.tw-context {
border:0px solid #eee;background-color:#eee;
word-break: break-all; word-wrap: break-word;}
{
"tiddlers": {
"$:/plugins/danielo515/ContextPlugin/widgets/context.js": {
"created": "20140418153435777",
"creator": "danielo",
"modified": "20140530231943517",
"modifier": "danielo",
"module-type": "widget",
"title": "$:/plugins/danielo515/ContextPlugin/widgets/context.js",
"type": "application/javascript",
"text": "/*\\\\\ntitle: $:/core/modules/widgets/danielo/context-widget.js\ntype: application/javascript\nmodule-type: widget\n\nEdit-text widget\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nvar contextWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\ncontextWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\ncontextWidget.prototype.render = function(parent,nextSibling) {\n // Save the parent dom node\n\tthis.parentDomNode = parent;\n\t// Compute our attributes\n\tthis.computeAttributes();\n\t// Execute our logic\n\tthis.execute();\n \n if(this.term && this.term.length>3){\n \n this.createRegexp();\n var matches = this.executeRegexp();\n\t if(matches.length > 0){ \n this.domNode = this.document.createElement(this.element);\n this.domNode.className=\"tw-context\";\n this.composeResults( matches ); //this appends to domNode \n \t// Insert element\n \tparent.insertBefore(this.domNode,nextSibling);\n \tthis.renderChildren(this.domNode,null);\n\t \tthis.domNodes.push(this.domNode);\n }\n }\n\t\n};\n\n/*\nCompute the internal state of the widget\n*/\ncontextWidget.prototype.execute = function() {\n\t// Get the parameters from the attributes\n this.matchedClass = this.getAttribute(\"matchClass\",\"matched\");\n\tthis.tiddler = this.getAttribute( \"tiddler\",this.getVariable(\"currentTiddler\") );\n this.term = this.getAttribute(\"term\",this.getAttribute(\"searchTerm\"));\n\tthis.contextLength = this.getAttribute(\"length\",50);\n this.before = this.getAttribute(\"before\",this.contextLength);\n this.after = this.getAttribute(\"after\",this.contextLength);\n this.maxMatches = this.getAttribute(\"maxMatches\",10);\n this.element = this.getAttribute(\"element\",\"pre\");\n\tthis.makeChildWidgets();\n};\n\n /*Create the regular expression*/\ncontextWidget.prototype.createRegexp = function()\n{\n var regString = \"(\\\\w+[\\\\s\\\\S]{0,#before#})?(#term#)([\\\\s\\\\S]{0,#after#}\\\\w+)?\";\n\n var regString = regString.replace(\"#before#\",this.before).replace(\"#term#\", $tw.utils.escapeRegExp(this.term) ) .replace(\"#after#\",this.after);\n this.regexp = new RegExp(regString,\"ig\");\n //console.log(regString);\n};\n/*\nexecute the regular expresion\n*/\ncontextWidget.prototype.executeRegexp = function()\n{\n var text = this.wiki.getTiddlerText(this.tiddler), match,results = new Array();\n while( (match = this.regexp.exec( text ) ) && (results.length < this.maxMatches) )\n { results.push(match) }\n //console.log(\"matches\",results);\n return results;\n};\n\n/*\ncompose the results\nmatches : array of match objects from regular expression execute\n*/\ncontextWidget.prototype.composeResults = function(matches){\n var result=[], self=this, node = this.domNode,\n dots = textNode(\"...\\n\"),\n span = matchedNode( this.term );\n\n for(var i=0; i < matches.length; i++){\n processMatch( matches[i] );\n }\n \n function processMatch(match){\n if( match.index !== 0) node.appendChild( dots.cloneNode(true) );\n for( var i=1;i<match.length;i++ ) {//match[0] full matched text (all groups together)\n if( match[i] ) {\n if ( match[i].toLowerCase() == self.term.toLowerCase() ) \n node.appendChild( match[i] == self.term ? span.cloneNode(true) : matchedNode( match[i] ) )\n else\n node.appendChild( textNode( match[i]) )\n }\n }\n if( match.index + match[0].length < match.input.length) node.appendChild( dots.cloneNode(true) );\n }\n \n function textNode(text){ return self.document.createTextNode(text) }\n function matchedNode(text) { \n var node = self.document.createElement(\"span\"); node.appendChild( textNode(text) ); node.className = self.matchedClass;\n return node }\n \n};\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\ncontextWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.tiddler || changedAttributes.term || changedAttributes.length || changedAttributes.matchedClass) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t}\n return this.refreshChildren(changedTiddlers);\n};\n\nexports.context = contextWidget;\n\n})();"
},
"$:/plugins/danielo515/ContextPlugin/visualizer": {
"title": "$:/plugins/danielo515/ContextPlugin/visualizer",
"tags": "$:/tags/SearchResults",
"caption": "Context",
"text": "<$list filter=\"[!is[system]search{$:/temp/search}sort[title]limit[250]]\">\r\n {{!!title||$:/core/ui/ListItemTemplate}}\r\n <$context term={{$:/temp/search}} />\r\n</$list>\r\n"
},
"$:/plugins/danielo515/ContextPlugin/Stylesheet/results": {
"created": "20140529162823729",
"tags": "$:/tags/Stylesheet contextPlugin",
"title": "$:/plugins/danielo515/ContextPlugin/Stylesheet/results",
"type": "text/css",
"text": ".matched{background-color:yellow}\n.tw-context {/*border:1px solid;\n /*word-break: break-all; word-wrap: break-word*/}"
},
"$:/plugins/danielo515/ContextPlugin/Caption": {
"created": "20140530174219263",
"tags": "contextPlugin",
"title": "$:/plugins/danielo515/ContextPlugin/Caption",
"type": "text/vnd.tiddlywiki",
"text": "Context search"
},
"Context Search": {
"caption": "{{$:/plugins/danielo515/ContextPlugin/Caption}}",
"created": "20140530173407542",
"tags": "$:/tags/AdvancedSearch",
"title": "Context Search",
"type": "text/vnd.tiddlywiki",
"text": "\\define lingo-base() $:/language/Search/\n<$linkcatcher to=\"$:/temp/advancedsearch\">\n\n<<lingo Standard/Hint>>\n\n<div class=\"tw-search\"><$edit-text tiddler=\"$:/temp/advancedsearch\" type=\"search\" tag=\"input\"/><$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\"> <$link to=\"\" class=\"btn-invisible\">{{$:/core/images/close-button}}</$link></$reveal></div>\n\n</$linkcatcher>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"nomatch\" text=\"\">\n<div class=\"tw-search-results\">\n\n<<lingo Standard/Matches>>\n\n<$list filter=\"[!is[system]search{$:/temp/advancedsearch}sort[title]limit[250]]\">\n{{!!title||$:/core/ui/ListItemTemplate}}\n<$context term={{$:/temp/advancedsearch}}/>\n</$list>\n\n</div>\n\n</$reveal>\n\n<$reveal state=\"$:/temp/advancedsearch\" type=\"match\" text=\"\">\n\n</$reveal>\n"
},
"$:/plugins/danielo515/ContextPlugin/readme": {
"title": "$:/plugins/danielo515/ContextPlugin/readme",
"text": "!Usage\n\nAfter installing the plugin you will have a new tab in [[$:/AdvancedSearch]] called [[Context Search]]. If you want this functionality in other places you will have to edit the desired tiddler yourself adding the ''context widget''. For more details about using the widget see the section below.\n\n!!Using the widget\n\nThe very basic usage of the widget is the following:\n\n```\r\n<$context term=\"lorem\"/>\r\n```\r\nWhich will render as:\r\n<$context term=\"lorem\"/>\n\nThe widgets will search inside the current tiddler by default. Because that you see the same content twice here. This example is not very useful. Other more meaningful would be:\n\n```\r\n<$list filter=\"[search{$:/temp/advancedsearch}sort[title]limit[250]]\">\r\n{{!!title||$:/core/ui/ListItemTemplate}}\r\n<$context term={{$:/temp/advancedsearch}}/>\r\n</$list>\r\n```\n\nThat will search for tiddlers containing the text specified in [[$:/temp/advancedsearch]] and will display a link to the matching tiddlers plus a preview of the matching content. Something very similar is used in [[Context Search]]. Below you can find a complete list of parameters and their default values.\n\n|! parameter |! description | !default |\r\n| term | The term you want to search ||\r\n| searchTerm | An alias for the previous one ||\r\n| tiddler | The tiddler's name to look into | current tiddler |\r\n| length | Number of context characters to show | 50 |\r\n| before | Number of characters before the matched term to show | the value of the length parameter |\r\n| after | Number of characters after the matched term to show | the value of the length parameter |\r\n| maxMatches | maximun number of matched elements to show. Incrementing this can cause several performance issues | 10 |\r\n| element | Node element to create. This element will contain the results of the search. If you want to style it its class is `tw-context` | `<pre>` |\r\n| matchClass | The css class to assign to the matched terms in the results. This is used to highlight the results | matched |\n\n!Customizing the output\r\nThere are not many ways to customize the output of this widget. You can specify ''what type of node you want to create'' to wrap the results (div,span...). The default is `<pre>`. This container is created with the class `tw-context` so you can easily apply styles to it. Something similar happens to the ''highlighted'' words. You can specify the name of the class to assign to it and also you can apply styles to that class.\n\nA very basic example of customization could be:\n\n# Create a tiddler, for example [[$/plugins/danielo515/context/css]]\r\n# Paste the following text or any css rule you want: \"\"\"\n\n<pre>\r\n.matched{background-color:yellow}\r\n.tw-context {\r\n border:1px solid blue;\r\n word-break: break-all; word-wrap: break-word;}\r\n</pre>\r\n\"\"\"\r\n# Tag it with `$:/tags/stylesheet`\r\n# Save the tiddler"
}
}
}
/*\\
title: $:/core/modules/widgets/danielo/context-widget.js
type: application/javascript
module-type: widget
Edit-text widget
\*/
(function(){
/*jslint node: true, browser: true */
/*global $tc: false */
"use strict";
var Widget = require("$:/core/modules/widgets/widget.js").widget;
var contextWidget = function(parseTreeNode,options) {
this.initialise(parseTreeNode,options);
};
/*
Inherit from the base widget class
*/
contextWidget.prototype = new Widget();
/*
Render this widget into the DOM
*/
contextWidget.prototype.render = function(parent,nextSibling) {
// Save the parent dom node
this.parentDomNode = parent;
// Compute our attributes
this.computeAttributes();
// Execute our logic
this.execute();
if(this.term && this.term.length>3){
this.createRegexp();
var matches = this.executeRegexp();
if(matches.length > 0){
this.domNode = this.document.createElement(this.element);
this.domNode.className="tw-context";
this.composeResults( matches ); //this appends to domNode
// Insert element
parent.insertBefore(this.domNode,nextSibling);
this.renderChildren(this.domNode,null);
this.domNodes.push(this.domNode);
}
}
};
/*
Compute the internal state of the widget
*/
contextWidget.prototype.execute = function() {
// Get the parameters from the attributes
this.matchedClass = this.getAttribute("matchClass","matched");
this.tiddler = this.getAttribute( "tiddler",this.getVariable("currentTiddler") );
this.term = this.getAttribute("term",this.getAttribute("searchTerm"));
this.contextLength = this.getAttribute("length",50);
this.before = this.getAttribute("before",this.contextLength);
this.after = this.getAttribute("after",this.contextLength);
this.maxMatches = this.getAttribute("maxMatches",10);
this.element = this.getAttribute("element","pre");
this.makeChildWidgets();
};
/*Create the regular expression*/
contextWidget.prototype.createRegexp = function()
{
var regString = "(\\w+[\\s\\S]{0,#before#})?(#term#)([\\s\\S]{0,#after#}\\w+)?";
var regString = regString.replace("#before#",this.before).replace("#term#", $tw.utils.escapeRegExp(this.term) ) .replace("#after#",this.after);
this.regexp = new RegExp(regString,"ig");
//console.log(regString);
};
/*
execute the regular expresion
*/
contextWidget.prototype.executeRegexp = function()
{
var text = this.wiki.getTiddlerText(this.tiddler), match,results = new Array();
while( (match = this.regexp.exec( text ) ) && (results.length < this.maxMatches) )
{ results.push(match) }
//console.log("matches",results);
return results;
};
/*
compose the results
matches : array of match objects from regular expression execute
*/
contextWidget.prototype.composeResults = function(matches){
var result=[], self=this, node = this.domNode,
dots = textNode("...\n"),
span = matchedNode( this.term );
for(var i=0; i < matches.length; i++){
processMatch( matches[i] );
}
function processMatch(match){
if( match.index !== 0) node.appendChild( dots.cloneNode(true) );
for( var i=1;i<match.length;i++ ) {//match[0] full matched text (all groups together)
if( match[i] ) {
if ( match[i].toLowerCase() == self.term.toLowerCase() )
node.appendChild( match[i] == self.term ? span.cloneNode(true) : matchedNode( match[i] ) )
else
node.appendChild( textNode( match[i]) )
}
}
if( match.index + match[0].length < match.input.length) node.appendChild( dots.cloneNode(true) );
}
function textNode(text){ return self.document.createTextNode(text) }
function matchedNode(text) {
var node = self.document.createElement("span"); node.appendChild( textNode(text) ); node.className = self.matchedClass;
return node }
};
/*
Selectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering
*/
contextWidget.prototype.refresh = function(changedTiddlers) {
var changedAttributes = this.computeAttributes();
if(changedAttributes.tiddler || changedAttributes.term || changedAttributes.length || changedAttributes.matchedClass) {
this.refreshSelf();
return true;
}
return this.refreshChildren(changedTiddlers);
};
exports.context = contextWidget;
})();
{
"tiddlers": {
"$:/plugins/danielo515/encryptTiddler/Encrypt-Tiddler": {
"title": "$:/plugins/danielo515/encryptTiddler/Encrypt-Tiddler",
"created": "20140406153742691",
"creator": "Danielo515",
"description": "add the hability to encrypt individual tiddlers",
"modified": "20141029152631265",
"modifier": "Danielo Rodriguez",
"tags": "index plugins",
"type": "text/vnd.tiddlywiki",
"caption": "readme",
"text": "This plugin adds the ability to encrypt your tiddlers individually. This have several advantages:\n\n* You can specify a different password for each tiddler if you want.\n* You don't have to encrypt your whole wiky.\n* If you forget your password, you only lose a tiddler.\n* It's possible to edit the tiddler content , tags and fields ''except the encrypt field'' after encryption. Decrypting your tiddler will restore it to its original state when you encrypted it. This way you can hide the encrypted tiddlers as a \"different\" thing.\n* You can even encrypt images.\n* You can have sensible data in a day to day wiky.\n* I didn't try this, but theoretically you can apply double encryption by encrypting your wiki too."
},
"$:/plugins/danielo515/encryptTiddler/Changelog": {
"title": "$:/plugins/danielo515/encryptTiddler/Changelog",
"text": "!! V2.5\n* Added settings tab under ControlPanel\n* Added the ability to customize the enctypted tiddler text body\n!! V2.4\n* Added caption and description to the encrypt button\n!! V2.3\n* No need to double type password for decrypt tiddlers\n* Fix bug with batch encryption\n* Code simplified\n!! V2.2\n* Added the need to type the password twice\n* Tested on tw 5.1.22\n!! V2.1\n* Added control panel.\n* Added ability to batch encrypt and decrypt tiddlers.\n* Added some documentation an language strings.\n\n"
},
"$:/plugins/danielo515/encryptTiddler/control-panel/batch-encrypt": {
"title": "$:/plugins/danielo515/encryptTiddler/control-panel/batch-encrypt",
"caption": "Batch Encryption",
"text": "\\define lingo-base() $:/language/Search/\n<<lingo Filter/Hint>>\n{{$:/plugins/danielo515/encryptTiddler/language/batch}}\n\n<$linkcatcher to=\"$:/temp/encrypt/filter\">\n\n<div class=\"tc-search tc-advanced-search\">\n<$edit-text tiddler=\"$:/temp/encrypt/filter\" type=\"search\" tag=\"input\" default=\"\" placeholder=\"filter tiddlers\"/>\n<$button popup=<<qualify \"$:/state/filterDropdown\">> class=\"tc-btn-invisible\">\n{{$:/core/images/down-arrow}}\n</$button>\n<$reveal state=\"$:/temp/encrypt/filter\" type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=\"$:/temp/encrypt/filter\" $field=\"text\" $value=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n\n\n<$edit-text tag=\"input\" tiddler=\"$:/temp/password1\" placeholder=\"password\" type=\"password\" default=\"\" col=\"4\"/>\n<$edit-text tag=\"input\" tiddler=\"$:/temp/password2\" placeholder=\"confirm password\" type=\"password\" default=\"\" col=\"4\"/>\n<$encryptTiddler passwordTiddler1=\"$:/temp/password1\" passwordTiddler2=\"$:/temp/password2\" filter={{$:/temp/encrypt/filter}}>\n<$button message=\"tw-encrypt-tiddler\">\nEncrypt\n</$button>\n<$button message=\"tw-decrypt-tiddler\">\nDecrypt\n</$button>\n</$encryptTiddler>\n</$reveal>\n</div>\n\n<div class=\"tc-block-dropdown-wrapper\">\n<$reveal state=<<qualify \"$:/state/filterDropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Filter]!sort[]] -[[$:/core/Filters/SystemTags]] -[[$:/core/Filters/AllTags]]\"><$link to={{!!filter}}><$transclude field=\"description\"/></$link>\n</$list>\n</div>\n</$reveal>\n</div>\n\n</$linkcatcher>\n\n<$reveal state=\"$:/temp/encrypt/filter\" type=\"nomatch\" text=\"\">\n<$set name=\"resultCount\" value=\"\"\"<$count filter={{$:/temp/encrypt/filter}}/>\"\"\">\n<div class=\"tc-search-results\">\n<<lingo Filter/Matches>>\n<$list filter={{$:/temp/encrypt/filter}} template=\"$:/plugins/danielo515/encryptTiddler/ui/listItemTemplate\"/>\n</div>\n</$set>\n</$reveal>"
},
"$:/plugins/danielo515/encryptTiddler/control-panel": {
"title": "$:/plugins/danielo515/encryptTiddler/control-panel",
"tags": "$:/tags/ControlPanel",
"caption": "Encrypt Tiddlers",
"text": "\\define prefix(name) $:/plugins/danielo515/encryptTiddler/control-panel/$name$\n\\define settings(name) $:/plugins/danielo515/encryptTiddler/$name$\n\n<$macrocall $name=\"tabs\" tabsList=\"[all[shadows+tiddlers]prefix[$:/plugins/danielo515/encryptTiddler/control-panel/]]\" default=<<prefix \"batch-encrypt\">> state=\"$:/state/encryptTiddler/control-panel/tabs\">>"
},
"$:/plugins/danielo515/encryptTiddler/control-panel/settings": {
"title": "$:/plugins/danielo515/encryptTiddler/control-panel/settings",
"caption": "Settings",
"text": "\\define lingo-base() $:/language/Search/\n<<lingo Filter/Hint>>\n{{$:/plugins/danielo515/encryptTiddler/language/batch}}\n\n!! Encrypt placeholder text\nWhich text to use for the body of the encrypted tiddlers.\n\n<div class=\"tc-search tc-advanced-search\">\n<!-- settings is a macro defined on the parent tiddler -->\n<$edit-text tag=\"input\" tiddler=<<settings \"placeholderText\">> default=\"\" />\n</div>"
},
"$:/plugins/danielo515/encryptTiddler/crypt-batch-button": {
"title": "$:/plugins/danielo515/encryptTiddler/crypt-batch-button",
"creator": "Danielo",
"text": "<span title=\"Encrypt/Decrypt tiddler\" class=\"pc-batch-controls\">\n <$reveal state=<<qualify \"$:/state/encrypt\">> type=\"nomatch\" text={{!!title}} animate=\"no\"><$button set=<<qualify \"$:/state/encrypt\">> setTo={{!!title}} class=\"tc-btn-invisible\">{{$:/plugins/danielo515/encryptTiddler/unlocked}}</$button></$reveal><$reveal state=<<qualify \"$:/state/encrypt\">> type=\"match\" text={{!!title}} animate=\"no\"><$button set=<<qualify \"$:/state/encrypt\">> setTo=\"\" class=\"tc-btn-invisible\">{{$:/plugins/danielo515/encryptTiddler/unlocked}}</$button></$reveal>\n <$encryptTiddler passwordTiddler1=\"$:/temp/password1\" passwordTiddler2=\"$:/temp/password2\" filter={{$:/temp/encrypt/filter}}><$reveal state=<<qualify \"$:/state/encrypt\">> type=\"match\" text={{!!title}} animate=\"yes\">\n <div class=\"tc-block-dropdown tw-crypt-dropdown\">\n <span class=\"tw-password-field\"><$edit-text tiddler=\"$:/temp/password1\" tag=\"input\" type=\"password\" default=\"\" placeholder=\"password\" class=\"tc-edit-texteditor\"/></span>\n <span class=\"tw-password-field\"><$edit-text tiddler=\"$:/temp/password2\" tag=\"input\" type=\"password\" default=\"\" placeholder=\"repeat\" class=\"tc-edit-texteditor\"/></span>\n <span class=\"tw-crypt-button\"> <$button message=\"tw-encrypt-tiddler\" set=<<qualify \"$:/state/encrypt\">> setTo=\"\" >Encrypt</$button> <$button message=\"tw-decrypt-tiddler\" set=<<qualify \"$:/state/encrypt\">> setTo=\"\" >Decrypt</$button></span>\n </div>\n </$reveal></$encryptTiddler>\n</span>\n"
},
"$:/plugins/danielo515/encryptTiddler/crypt-button": {
"title": "$:/plugins/danielo515/encryptTiddler/crypt-button",
"created": "20140405233000477",
"creator": "Danielo",
"modified": "20210108065835622",
"tags": "$:/tags/ViewToolbar button encrypt export",
"type": "text/vnd.tiddlywiki",
"description": "Encrypt or decrypt this tiddler only",
"caption": "{{$:/core/images/locked-padlock}} Encrypt/Decrypt",
"text": "<span title=\"Encrypt/Decrypt tiddler\"><$transclude tiddler=\"$:/plugins/danielo515/encryptTiddler/openPopup\"/>\n</span><$encryptTiddler passwordTiddler1=\"$:/temp/password1\" passwordTiddler2=\"$:/temp/password2\"><$reveal state=\"$:/state/encrypt\" type=\"match\" text={{!!title}} animate=\"yes\">\n<div class=\"tc-block-dropdown tw-crypt-dropdown\">\n<div>\n <div class=\"tw-password-field\"><$edit-text tiddler=\"$:/temp/password1\" tag=\"input\" type=\"password\" default=\"\" placeholder=\"password\" class=\"tc-edit-texteditor\"/></div>\n <$list filter=\"[all[current]!has[encrypted]]\"><div class=\"tw-password-field\"><$edit-text tiddler=\"$:/temp/password2\" tag=\"input\" type=\"password\" default=\"\" placeholder=\"repeat\" class=\"tc-edit-texteditor\"/></div></$list>\n</div>\n <span class=\"tw-crypt-button\"> <$list filter=\"[all[current]!has[encrypted]]\"> <$button message=\"tw-encrypt-tiddler\" set=\"$:/state/encrypt\" setTo=\"\" >Encrypt</$button></$list><$list filter=\"[is[current]has[encrypted]]\"> <$button message=\"tw-decrypt-tiddler\" set=\"$:/state/encrypt\" setTo=\"\" >Decrypt</$button></$list></span>\n</div>\n</$reveal></$encryptTiddler>\n"
},
"$:/plugins/danielo515/encryptTiddler/encrypttiddler.js": {
"title": "$:/plugins/danielo515/encryptTiddler/encrypttiddler.js",
"text": "/*\\\ntitle: $:/plugins/danielo515/encryptTiddler/encrypttiddler.js\ntype: application/javascript\nmodule-type: widget\n\nencrypttiddler widget\n\n\n\\*/\n\n/*jslint node: true, browser: true */\n\n/*global $tw: false */\n\"use strict\";\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\n\nvar encryptTiddlerWidget = function encryptTiddlerWidget(parseTreeNode, options) {\n this.initialise(parseTreeNode, options);\n this.addEventListeners([{\n type: \"tw-encrypt-tiddler\",\n handler: \"handleEncryptevent\"\n }, {\n type: \"tw-decrypt-tiddler\",\n handler: \"handleDecryptevent\"\n }]);\n};\n/*\nInherit from the base widget class\n*/\n\n\nencryptTiddlerWidget.prototype = new Widget();\n/*\nRender this widget into the DOM\n*/\n\nencryptTiddlerWidget.prototype.render = function (parent, nextSibling) {\n console.log(\"Render\");\n this.parentDomNode = parent;\n this.computeAttributes();\n this.execute();\n this.renderChildren(parent, nextSibling);\n};\n/*\nCompute the internal state of the widget\n*/\n\n\nencryptTiddlerWidget.prototype.execute = function () {\n // Get attributes\n this.tiddlerTitle = this.getAttribute(\"tiddler\", this.getVariable(\"currentTiddler\"));\n this.filter = this.getAttribute(\"filter\", undefined);\n this.passwordTiddler1 = this.getAttribute(\"passwordTiddler1\");\n this.passwordTiddler2 = this.getAttribute(\"passwordTiddler2\"); // Construct the child widgets\n\n console.log(this.targetTiddler);\n this.makeChildWidgets();\n};\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\n\n\nencryptTiddlerWidget.prototype.refresh = function (changedTiddlers) {\n var changedAttributes = this.computeAttributes();\n\n if (changedAttributes.tiddler || changedAttributes.filter) {\n this.refreshSelf();\n return true;\n } else {\n return this.refreshChildren(changedTiddlers);\n }\n};\n\nencryptTiddlerWidget.prototype.getTiddlersToProcess = function () {\n if (this.filter) {\n //we have a filter to work with\n return this.wiki.filterTiddlers(this.filter);\n } //single tiddler case\n\n\n var tiddler = this.wiki.getTiddler(this.tiddlerTitle);\n return tiddler ? [tiddler.fields.title] : [];\n};\n\nencryptTiddlerWidget.prototype.handleEncryptevent = function (event) {\n var password = this.getPassword(true);\n var tiddlers = this.getTiddlersToProcess();\n var placeholderText = this.wiki.getTiddlerText(\"$:/plugins/danielo515/encryptTiddler/placeholderText\", \"!!This is an encrypted Tiddler\");\n\n if (tiddlers.length > 0 && password) {\n var self = this;\n $tw.utils.each(tiddlers, function (title) {\n var tiddler = self.wiki.getTiddler(title);\n var fields = {\n text: placeholderText,\n encrypted: self.encryptFields(title, password)\n };\n self.saveTiddler(tiddler, fields);\n });\n } else {\n console.log(\"We did not find any tiddler to encrypt or password not set!\");\n }\n};\n\nencryptTiddlerWidget.prototype.handleDecryptevent = function (event) {\n var password = this.getPassword(false);\n var tiddlers = this.getTiddlersToProcess();\n\n if (tiddlers.length > 0 && password) {\n var self = this;\n $tw.utils.each(tiddlers, function (title) {\n var tiddler = self.wiki.getTiddler(title);\n var fields = self.decryptFields(tiddler, password);\n if (fields) self.saveTiddler(tiddler, fields);\n });\n }\n};\n\nencryptTiddlerWidget.prototype.saveTiddler = function (tiddler, fields) {\n this.wiki.addTiddler(new $tw.Tiddler(this.wiki.getModificationFields(), tiddler, this.clearNonStandardFields(tiddler), fields));\n};\n\nencryptTiddlerWidget.prototype.encryptFields = function (title, password) {\n var jsonData = this.wiki.getTiddlerAsJson(title);\n return $tw.crypto.encrypt(jsonData, password);\n};\n\nencryptTiddlerWidget.prototype.decryptFields = function (tiddler, password) {\n var JSONfields = $tw.crypto.decrypt(tiddler.fields.encrypted, password);\n\n if (JSONfields !== null) {\n return JSON.parse(JSONfields);\n }\n\n console.log(\"Error decrypting \" + tiddler.fields.title + \". Probably bad password\");\n return false;\n};\n\nencryptTiddlerWidget.prototype.getPasswordFromTiddler = function (title) {\n var tiddler = this.wiki.getTiddler(title);\n\n if (tiddler) {\n var password = tiddler.fields.text;\n this.saveTiddler(tiddler); //reset password tiddler\n\n return password;\n }\n\n return false;\n};\n\nencryptTiddlerWidget.prototype.getPassword = function (confirm) {\n var password1 = this.getPasswordFromTiddler(this.passwordTiddler1);\n if (!confirm) return password1;\n var password2 = this.getPasswordFromTiddler(this.passwordTiddler2);\n\n if (password1 === password2) {\n return password1;\n }\n\n return null;\n}; // This function erases every field of a tiddler that is not standard and also\n// the text field\n\n\nencryptTiddlerWidget.prototype.clearNonStandardFields = function (tiddler) {\n var standardFieldNames = \"title tags modified modifier created creator\".split(\" \");\n var clearFields = {};\n\n for (var fieldName in tiddler.fields) {\n if (standardFieldNames.indexOf(fieldName) === -1) {\n clearFields[fieldName] = undefined;\n }\n }\n\n console.log(\"Cleared fields \" + JSON.stringify(clearFields));\n return clearFields;\n};\n\nexports.encryptTiddler = encryptTiddlerWidget;",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/danielo515/encryptTiddler/Filters/encrypted-tiddlers": {
"title": "$:/plugins/danielo515/encryptTiddler/Filters/encrypted-tiddlers",
"description": "All encrypted tiddlers",
"filter": "[has[encrypted]]",
"tags": "$:/tags/Filter"
},
"$:/plugins/danielo515/encryptTiddler/Filters/normal-unencrypted-tiddlers": {
"title": "$:/plugins/danielo515/encryptTiddler/Filters/normal-unencrypted-tiddlers",
"filter": "[!is[system]!has[encrypted]]",
"description": "Non-encrypted normal tiddlers",
"tags": "$:/tags/Filter"
},
"$:/plugins/danielo515/encryptTiddler/language/batch": {
"title": "$:/plugins/danielo515/encryptTiddler/language/batch",
"text": "Use below controls to encrypt or decrypt a bunch of tiddlers. Encryption ''controls are hidden'' until you type something in the search box. All listed tiddlers will be affected. The presence of a small padlock (<span class=\"pc-listItem-lock\">{{$:/core/images/locked-padlock}}</span>) next to the tiddler title indicates that particular tiddler is already encrypted."
},
"$:/plugins/danielo515/encryptTiddler/ui/listItemTemplate": {
"title": "$:/plugins/danielo515/encryptTiddler/ui/listItemTemplate",
"text": "<div class=\"tc-menu-list-item\">\n<$link to={{!!title}}>\n<$view field=\"title\"/>\n<$list filter=\"[all[current]has[encrypted]]\">\n<span class=\"pc-listItem-lock\">{{$:/core/images/locked-padlock}}</span>\n</$list>\n</$link>\n</div>"
},
"$:/plugins/danielo515/encryptTiddler/openPopup": {
"title": "$:/plugins/danielo515/encryptTiddler/openPopup",
"created": "20140406151910358",
"creator": "Danielo",
"modified": "20140608121417975",
"modifier": "Danielo515",
"tags": "button encrypt export",
"type": "text/vnd.tiddlywiki",
"text": "<$reveal state=\"$:/state/encrypt\" type=\"nomatch\" text={{!!title}} animate=\"no\"><$button set=\"$:/state/encrypt\" setTo={{!!title}} class=\"tc-btn-invisible\">{{$:/plugins/danielo515/encryptTiddler/unlocked}}</$button></$reveal><$reveal state=\"$:/state/encrypt\" type=\"match\" text={{!!title}} animate=\"no\"><$button set=\"$:/state/encrypt\" setTo=\"\" class=\"tc-btn-invisible\">{{$:/plugins/danielo515/encryptTiddler/unlocked}}</$button></$reveal>"
},
"$:/plugins/danielo515/encryptTiddler/styles": {
"title": "$:/plugins/danielo515/encryptTiddler/styles",
"created": "20140406110705085",
"creator": "danielo",
"tags": "$:/tags/Stylesheet encrypt export",
"type": "text/plain",
"text": ".tw-password-field {\n\tdisplay: block;\n\tfont-size:1em;\n\tline-height:0;\n\tmargin: 0;\n}\n\n/*It is for use in combination with tc-block-dropdown */\n.tw-crypt-dropdown{\n\tline-height:0;\n\tpadding: 8px;\n\tdisplay: flex;\n\tflex-direction: row;\n\talign-items: center;\n\tjustify-content: center;\n}\n\n.pc-batch-controls .tw-crypt-dropdown{\n\tright: 0px;\n}\n\n.pc-batch-controls{\n\tposition:relative;\n}\n\n.pc-listItem-lock svg{\n\theight: 1em;\n\twidth: 1em;\n\tfill: #aaaaaa;\n}\n\n.tw-password-field input{\n\tfont-size:0.5em;\n}\n\n.tw-crypt-button {\n\tdisplay: inline-block;\n\tpadding: 8px;\n}\n\n.tw-crypt-button button{\n\tfont-size:0.5em;\n\ttext-transform: uppercase;\n\tborder-radius: 4px;\n}\n"
},
"$:/plugins/danielo515/encryptTiddler/unlocked": {
"title": "$:/plugins/danielo515/encryptTiddler/unlocked",
"created": "20140406101339943",
"creator": "danielo515",
"modified": "20140608121532690",
"modifier": "danielo515",
"tags": "encrypt export",
"type": "text/vnd.tiddlywiki",
"text": "<svg version=\"1.1\" id=\"Capa_1\" xmlns=\"http://www.w3.org/2000/svg\" class=\"tc-image-button\"\n\t viewBox=\"0 0 100 100\" style=\"enable-background:new 0 0 100 100;\" xml:space=\"preserve\">\n<g>\n\t<path d=\"M77.555,50H35.304V31.63c0-4.057,1.435-7.521,4.305-10.391c2.87-2.87,6.333-4.305,10.391-4.305\n\t\tc4.056,0,7.52,1.435,10.39,4.305s4.305,6.335,4.305,10.391c0,0.996,0.363,1.857,1.091,2.583c0.727,0.729,1.588,1.09,2.583,1.09\n\t\th3.674c0.995,0,1.856-0.361,2.583-1.09c0.727-0.727,1.091-1.588,1.091-2.583c0-7.079-2.517-13.136-7.549-18.17\n\t\tC63.136,8.428,57.08,5.912,50,5.912c-7.081,0-13.137,2.516-18.169,7.548c-5.033,5.034-7.549,11.091-7.549,18.17V50h-1.837\n\t\tc-1.531,0-2.833,0.536-3.904,1.608c-1.072,1.072-1.607,2.372-1.607,3.902v33.067c0,1.532,0.535,2.832,1.607,3.904\n\t\tc1.071,1.072,2.372,1.608,3.904,1.608h55.11c1.53,0,2.832-0.536,3.904-1.608c1.071-1.072,1.607-2.372,1.607-3.904V55.51\n\t\tc0-1.529-0.536-2.83-1.607-3.902C80.387,50.536,79.085,50,77.555,50z M54.315,72.937V83.72c0,2.173-1.762,3.935-3.935,3.935H49.62\n\t\tc-2.173,0-3.935-1.762-3.935-3.935V72.937c-2.31-1.443-3.852-4.001-3.852-6.925c0-4.511,3.657-8.167,8.167-8.167\n\t\ts8.167,3.657,8.167,8.167C58.167,68.937,56.625,71.495,54.315,72.937z\"/>\n</g>\n</svg>\n"
}
}
}
Als je ik niet bent, heb je hier niet veel te zoeken :-)
{
"tiddlers": {
"$:/plugins/flibbles/relink/js/bulkops.js": {
"text": "/*\\\nmodule-type: startup\n\nReplaces the relinkTiddler defined in $:/core/modules/wiki-bulkops.js\n\nThis is a startup instead of a wikimethods module-type because it's the only\nway to ensure this runs after the old relinkTiddler method is applied.\n\n\\*/\n(function(){\n\n/*jslint node: false, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar language = require('$:/plugins/flibbles/relink/js/language.js');\nvar utils = require(\"$:/plugins/flibbles/relink/js/utils.js\");\n\nexports.name = \"redefine-relinkTiddler\";\nexports.synchronous = true;\n// load-modules is when wikimethods are applied in\n// ``$:/core/modules/startup/load-modules.js``\nexports.after = ['load-modules'];\n\nexports.startup = function() {\n\t$tw.Wiki.prototype.relinkTiddler = relinkTiddler;\n};\n\n/** Walks through all relinkable tiddlers and relinks them.\n * This replaces the existing function in core Tiddlywiki.\n */\nfunction relinkTiddler(fromTitle, toTitle, options) {\n\toptions = options || {};\n\tvar failures = [];\n\tvar indexer = utils.getIndexer(this);\n\tvar records = indexer.relinkLookup(fromTitle, toTitle, options);\n\tfor (var title in records) {\n\t\tvar entries = records[title],\n\t\t\tchanges = Object.create(null),\n\t\t\tupdate = false,\n\t\t\tfails = false;\n\t\tfor (var field in entries) {\n\t\t\tvar entry = entries[field];\n\t\t\tfails = fails || entry.impossible;\n\t\t\tif (entry.output) {\n\t\t\t\tchanges[field] = entry.output;\n\t\t\t\tupdate = true;\n\t\t\t}\n\t\t}\n\t\tif (fails) {\n\t\t\tfailures.push(title);\n\t\t}\n\t\t// If any fields changed, update tiddler\n\t\tif (update) {\n\t\t\tconsole.log(\"Renaming '\"+fromTitle+\"' to '\"+toTitle+\"' in '\" + title + \"'\");\n\n\t\t\tvar tiddler = this.getTiddler(title);\n\t\t\tvar newTiddler = new $tw.Tiddler(tiddler,changes,this.getModificationFields())\n\t\t\tnewTiddler = $tw.hooks.invokeHook(\"th-relinking-tiddler\",newTiddler,tiddler);\n\t\t\tthis.addTiddler(newTiddler);\n\t\t\t// If the title changed, we need to perform a nested rename\n\t\t\tif (newTiddler.fields.title !== title) {\n\t\t\t\tthis.deleteTiddler(title);\n\t\t\t\tthis.relinkTiddler(title, newTiddler.fields.title,options);\n\t\t\t}\n\t\t}\n\t};\n\tif (failures.length > 0) {\n\t\tvar options = $tw.utils.extend(\n\t\t\t{ variables: {to: toTitle, from: fromTitle},\n\t\t\t wiki: this},\n\t\t\toptions );\n\t\tlanguage.reportFailures(failures, options);\n\t}\n};\n\n})();\n",
"module-type": "startup",
"title": "$:/plugins/flibbles/relink/js/bulkops.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/indexer.js": {
"text": "/*\\\nmodule-type: indexer\n\nIndexes results from tiddler reference reports so we don't have to call them\nso much.\n\n\\*/\n\n\"use strict\";\n\nvar utils = require(\"./utils.js\");\nvar TiddlerContext = utils.getContext('tiddler');\n\nfunction Indexer(wiki) {\n\tthis.wiki = wiki;\n};\n\nIndexer.prototype.init = function() {\n\tthis.rebuild();\n};\n\nIndexer.prototype.rebuild = function() {\n\tthis.index = null;\n\tthis.backIndex = null;\n\tthis.contexts = Object.create(null);\n\tthis.changedTiddlers = undefined;\n\tthis.lastRelinkFrom = undefined;\n};\n\nIndexer.prototype.update = function(updateDescriptor) {\n\tif (!this.index) {\n\t\treturn;\n\t}\n\tvar title;\n\tif (!this.changedTiddlers) {\n\t\tthis.changedTiddlers = Object.create(null);\n\t}\n\tif (updateDescriptor.old.exists) {\n\t\ttitle = updateDescriptor.old.tiddler.fields.title;\n\t\tthis.changedTiddlers[title] = {deleted: true};\n\t\tthis._purge(title);\n\t}\n\tif (updateDescriptor['new'].exists) {\n\t\t// If its the same tiddler as old, this overrides the 'deleted' entry\n\t\ttitle = updateDescriptor['new'].tiddler.fields.title;\n\t\tthis.changedTiddlers[title] = {modified: true};\n\t}\n};\n\nIndexer.prototype.lookup = function(title) {\n\tthis._upkeep();\n\treturn this.index[title];\n};\n\nIndexer.prototype.reverseLookup = function(title) {\n\tthis._upkeep();\n\treturn this.backIndex[title] || Object.create(null);\n};\n\nIndexer.prototype.relinkLookup = function(fromTitle, toTitle, options) {\n\tthis._upkeep();\n\tvar shortlist = undefined;\n\tif (this.lastRelinkFrom === fromTitle) {\n\t\tif (this.lastRelinkTo === toTitle) {\n\t\t\t// We need to reintroduce the relink cache, where temporary info\n\t\t\t// was stored.\n\t\t\toptions.cache = this.lastRelinkCache;\n\t\t\treturn this.lastRelinkResult;\n\t\t}\n\t\tshortlist = Object.keys(this.lastRelinkResult);\n\t}\n\tthis.lastRelinkResult = utils.getRelinkResults(this.wiki, fromTitle, toTitle, this.context, shortlist, options);\n\tthis.lastRelinkTo = toTitle;\n\tthis.lastRelinkFrom = fromTitle;\n\tthis.lastRelinkCache = options.cache;\n\treturn this.lastRelinkResult;\n};\n\nIndexer.prototype._upkeep = function() {\n\tvar title;\n\tif (this.changedTiddlers && (this.context.changed(this.changedTiddlers) || this.context.parent.changed(this.changedTiddlers))) {\n\t\t// If global macro context or whitelist context changed, wipe all\n\t\tthis.rebuild();\n\t}\n\tif (!this.index) {\n\t\tthis.index = Object.create(null);\n\t\tthis.backIndex = Object.create(null);\n\t\tthis.context = utils.getWikiContext(this.wiki);\n\t\tvar titles = this.wiki.getRelinkableTitles();\n\t\tfor (var i = 0; i < titles.length; i++) {\n\t\t\tthis._populate(titles[i]);\n\t\t};\n\t} else if (this.changedTiddlers) {\n\t\t// If there are cached changes, we apply them now.\n\t\tfor (title in this.contexts) {\n\t\t\tvar tiddlerContext = this.contexts[title];\n\t\t\tif (tiddlerContext.changed(this.changedTiddlers)) {\n\t\t\t\tthis._purge(title);\n\t\t\t\tthis._populate(title);\n\t\t\t\tthis._dropResults(title);\n\t\t\t\t// Wipe this change, so we don't risk updating it twice.\n\t\t\t\tthis.changedTiddlers[title] = undefined;\n\t\t\t}\n\t\t}\n\t\tfor (title in this.changedTiddlers) {\n\t\t\tvar change = this.changedTiddlers[title];\n\t\t\tif (change && change.modified) {\n\t\t\t\tthis._purge(title);\n\t\t\t\tthis._populate(title);\n\t\t\t\tthis._dropResults(title);\n\t\t\t}\n\t\t}\n\t\tthis.changedTiddlers = undefined;\n\t}\n};\n\nIndexer.prototype._purge = function(title) {\n\tfor (var entry in this.index[title]) {\n\t\tdelete this.backIndex[entry][title];\n\t}\n\tdelete this.contexts[title];\n\tdelete this.index[title];\n};\n\n// This drops the cached relink results if unsanctioned tiddlers were changed\nIndexer.prototype._dropResults = function(title) {\n\tvar tiddler = this.wiki.getTiddler(title);\n\tif (title !== this.lastRelinkFrom\n\t&& title !== this.lastRelinkTo\n\t&& (!tiddler\n\t\t|| !$tw.utils.hop(tiddler.fields, 'draft.of') // is a draft\n\t\t|| tiddler.fields['draft.of'] !== this.lastRelinkFrom // draft of target\n\t\t|| references(this.index[title], this.lastRelinkFrom))) { // draft references target\n\t\t// This is not the draft of the last relinked title,\n\t\t// so our cached results should be wiped.\n\t\tthis.lastRelinkFrom = undefined;\n\t}\n};\n\nfunction references(list, item) {\n\treturn list !== undefined && list[item];\n};\n\nIndexer.prototype._populate = function(title) {\n\t// Fetch the report for a title, and populate the indexes with result\n\tvar tiddlerContext = new TiddlerContext(this.wiki, this.context, title);\n\tvar references = utils.getTiddlerRelinkReferences(this.wiki, title, tiddlerContext);\n\tthis.index[title] = references;\n\tif (tiddlerContext.hasImports()) {\n\t\tthis.contexts[title] = tiddlerContext;\n\t}\n\tfor (var ref in references) {\n\t\tthis.backIndex[ref] = this.backIndex[ref] || Object.create(null);\n\t\tthis.backIndex[ref][title] = references[ref];\n\t}\n};\n\nexports.RelinkIndexer = Indexer;\n",
"module-type": "indexer",
"title": "$:/plugins/flibbles/relink/js/indexer.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/language.js": {
"text": "/*\\\nmodule-type: library\n\nThis handles all logging and alerts Relink emits.\n\n\\*/\n\nexports.getString = function(title, options) {\n\ttitle = \"$:/plugins/flibbles/relink/language/\" + title;\n\treturn options.wiki.renderTiddler(\"text/plain\", title, options);\n};\n\nvar logger;\n\nexports.reportFailures = function(failureList, options) {\n\tif (!logger) {\n\t\tlogger = new $tw.utils.Logger(\"Relinker\");\n\t}\n\tvar alertString = this.getString(\"Error/ReportFailedRelinks\", options)\n\tvar alreadyReported = Object.create(null);\n\tvar reportList = [];\n\t$tw.utils.each(failureList, function(f) {\n\t\tif (!alreadyReported[f]) {\n\t\t\tif ($tw.browser) {\n\t\t\t\t// This might not make the link if the title is complicated.\n\t\t\t\t// Whatever.\n\t\t\t\treportList.push(\"\\n* [[\" + f + \"]]\");\n\t\t\t} else {\n\t\t\t\treportList.push(\"\\n* \" + f);\n\t\t\t}\n\t\t\talreadyReported[f] = true;\n\t\t}\n\t});\n\tlogger.alert(alertString + \"\\n\" + reportList.join(\"\"));\n};\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/language.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/mangler.js": {
"text": "/*\\\nmodule-type: widget\n\nCreates a mangler widget for field validation. This isn't meant to be used\nby the user. It's only used in Relink configuration.\n\n\\*/\n\nvar Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nvar language = require('$:/plugins/flibbles/relink/js/language.js');\nvar utils = require('$:/plugins/flibbles/relink/js/utils.js');\n\nvar RelinkManglerWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n\tthis.addEventListeners([\n\t\t{type: \"relink-add-field\", handler: \"handleAddFieldEvent\"},\n\t\t{type: \"relink-add-operator\", handler: \"handleAddOperatorEvent\"},\n\t\t{type: \"relink-add-parameter\", handler: \"handleAddParameterEvent\"},\n\t\t{type: \"relink-add-attribute\", handler: \"handleAddAttributeEvent\"}\n\t]);\n};\n\nexports.relinkmangler = RelinkManglerWidget;\n\nRelinkManglerWidget.prototype = new Widget();\n\n// This wraps alert so it can be monkeypatched during testing.\nRelinkManglerWidget.prototype.alert = function(message) {\n\talert(message);\n};\n\nRelinkManglerWidget.prototype.handleAddFieldEvent = function(event) {\n\tvar param = event.paramObject;\n\tif (typeof param !== \"object\" || !param.field) {\n\t\t// Can't handle it.\n\t\treturn true;\n\t}\n\tvar trimmedName = param.field.toLowerCase().trim();\n\tif (!trimmedName) {\n\t\t// Still can't handle it, but don't warn.\n\t\treturn true;\n\t}\n\tif(!$tw.utils.isValidFieldName(trimmedName)) {\n\t\tthis.alert($tw.language.getString(\n\t\t\t\"InvalidFieldName\",\n\t\t\t{variables:\n\t\t\t\t{fieldName: trimmedName}\n\t\t\t}\n\t\t));\n\t} else {\n\t\tadd(this.wiki, \"fields\", trimmedName);\n\t}\n\treturn true;\n};\n\n/**Not much validation, even though there are definitely illegal\n * operator names. If you input on, Relink won't relink it, but it\n * won't choke on it either. Tiddlywiki will...\n */\nRelinkManglerWidget.prototype.handleAddOperatorEvent = function(event) {\n\tvar param = event.paramObject;\n\tif (param) {\n\t\tadd(this.wiki, \"operators\", param.operator);\n\t}\n\treturn true;\n};\n\nRelinkManglerWidget.prototype.handleAddParameterEvent = function(event) {\n\tvar param = event.paramObject;\n\tif (param && param.macro && param.parameter) {\n\t\tif (/\\s/.test(param.macro.trim())) {\n\t\t\tthis.alert(language.getString(\n\t\t\t\t\"Error/InvalidMacroName\",\n\t\t\t\t{ variables: {macroName: param.macro},\n\t\t\t\t wiki: this.wiki\n\t\t\t\t}\n\t\t\t));\n\t\t} else if (/[ \\/]/.test(param.parameter.trim())) {\n\t\t\tthis.alert(language.getString(\n\t\t\t\t\"Error/InvalidParameterName\",\n\t\t\t\t{ variables: {parameterName: param.parameter},\n\t\t\t\t wiki: this.wiki\n\t\t\t\t}\n\t\t\t));\n\t\t} else {\n\t\t\tadd(this.wiki, \"macros\", param.macro, param.parameter);\n\t\t}\n\t}\n\treturn true;\n};\n\nRelinkManglerWidget.prototype.handleAddAttributeEvent = function(event) {\n\tvar param = event.paramObject;\n\tif (param && param.element && param.attribute) {\n\t\tif (/[ \\/]/.test(param.element.trim())) {\n\t\t\tthis.alert(language.getString(\n\t\t\t\t\"Error/InvalidElementName\",\n\t\t\t\t{ variables: {elementName: param.element},\n\t\t\t\t wiki: this.wiki\n\t\t\t\t}\n\t\t\t));\n\t\t} else if (/[ \\/]/.test(param.attribute.trim())) {\n\t\t\tthis.alert(language.getString(\n\t\t\t\t\"Error/InvalidAttributeName\",\n\t\t\t\t{ variables: {attributeName: param.attribute},\n\t\t\t\t wiki: this.wiki\n\t\t\t\t}\n\t\t\t));\n\t\t} else {\n\t\t\tadd(this.wiki, \"attributes\", param.element, param.attribute);\n\t\t}\n\t}\n\treturn true;\n};\n\nfunction add(wiki, category/*, path parts*/) {\n\tvar path = \"$:/config/flibbles/relink/\" + category;\n\tfor (var x = 2; x < arguments.length; x++) {\n\t\tvar part = arguments[x];\n\t\t// Abort if it's falsy, or only whitespace. Also, trim spaces\n\t\tif (!part || !(part = part.trim())) {\n\t\t\treturn;\n\t\t}\n\t\tpath = path + \"/\" + part;\n\t}\n\tvar def = utils.getDefaultType(wiki);\n\twiki.addTiddler({title: path, text: def});\n};\n",
"module-type": "widget",
"title": "$:/plugins/flibbles/relink/js/mangler.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/settings.js": {
"text": "/*\\\nmodule-type: library\n\nThis handles the fetching and distribution of relink settings.\n\n\\*/\n\nvar utils = require('./utils');\n\n///// Legacy. You used to be able to access the type from utils.\nexports.getType = utils.getType;\n/////\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/settings.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/utils.js": {
"text": "/*\\\nmodule-type: library\n\nUtility methods for relink.\n\n// TODO: The lists of plugins should neither be relinked nor reported.\n\\*/\n\nvar macroFilter = \"[[$:/core/ui/PageMacros]] [all[shadows+tiddlers]tag[$:/tags/Macro]!has[draft.of]]\";\n\n/**This works nearly identically to $tw.modules.getModulesByTypeAsHashmap\n * except that this also takes care of migrating V1 relink modules.\n */\nexports.getModulesByTypeAsHashmap = function(moduleType, nameField) {\n\tvar results = Object.create(null);\n\t$tw.modules.forEachModuleOfType(moduleType, function(title, module) {\n\t\tvar key = module[nameField];\n\t\tif (key !== undefined) {\n\t\t\tresults[key] = module;\n\t\t} else {\n\t\t\tfor (var entry in module) {\n\t\t\t\tresults[entry] = {\n\t\t\t\t\trelink: module[entry],\n\t\t\t\t\treport: function() {}};\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n\nexports.getTiddlerRelinkReferences = function(wiki, title, context) {\n\tvar tiddler = wiki.getTiddler(title),\n\t\treferences = Object.create(null),\n\t\toptions = {settings: context, wiki: wiki};\n\tif (tiddler) {\n\t\ttry {\n\t\t\tfor (var relinker in getRelinkOperators()) {\n\t\t\t\tgetRelinkOperators()[relinker].report(tiddler, function(title, blurb) {\n\t\t\t\t\treferences[title] = references[title] || [];\n\t\t\t\t\treferences[title].push(blurb);\n\t\t\t\t}, options);\n\t\t\t}\n\t\t} catch (e) {\n\t\t\tif (e.message) {\n\t\t\t\te.message = e.message + \"\\nWhen reporting '\" + title + \"' Relink references\";\n\t\t\t}\n\t\t\tthrow e;\n\t\t}\n\t}\n\treturn references;\n};\n\n/** Returns a pair like this,\n * { title: {field: entry, ... }, ... }\n */\nexports.getRelinkResults = function(wiki, fromTitle, toTitle, context, tiddlerList, options) {\n\toptions = options || {};\n\toptions.wiki = options.wiki || wiki;\n\tfromTitle = (fromTitle || \"\").trim();\n\ttoTitle = (toTitle || \"\").trim();\n\tvar changeList = Object.create(null);\n\tif(fromTitle && toTitle) {\n\t\tif (tiddlerList === undefined) {\n\t\t\ttiddlerList = wiki.getRelinkableTitles();\n\t\t}\n\t\tfor (var i = 0; i < tiddlerList.length; i++) {\n\t\t\tvar title = tiddlerList[i];\n\t\t\tvar tiddler = wiki.getTiddler(title);\n\t\t\tif(tiddler && !tiddler.fields[\"plugin-type\"]) {\n\t\t\t\ttry {\n\t\t\t\t\tvar entries = Object.create(null),\n\t\t\t\t\t\toperators = getRelinkOperators();\n\t\t\t\t\toptions.settings = new Contexts.tiddler(wiki, context, title);\n\t\t\t\t\tfor (var operation in operators) {\n\t\t\t\t\t\toperators[operation].relink(tiddler, fromTitle, toTitle, entries, options);\n\t\t\t\t\t}\n\t\t\t\t\tfor (var field in entries) {\n\t\t\t\t\t\t// So long as there is one key,\n\t\t\t\t\t\t// add it to the change list.\n\t\t\t\t\t\tchangeList[title] = entries;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\t}\n\t\t\t\t} catch (e) {\n\t\t\t\t\t// Should we test for instanceof Error instead?: yes\n\t\t\t\t\t// Does that work in the testing environment?: no\n\t\t\t\t\tif (e.message) {\n\t\t\t\t\t\te.message = e.message + \"\\nWhen relinking '\" + title + \"'\";\n\t\t\t\t\t}\n\t\t\t\t\tthrow e;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\treturn changeList;\n};\n\nvar Contexts = $tw.modules.applyMethods('relinkcontext');\n\nexports.getContext = function(name) {\n\treturn Contexts[name];\n};\n\nexports.getWikiContext = function(wiki) {\n\t// This gives a fresh context every time. It is up to the indexer or\n\t// the cache to preserve those contexts for as long as needed.\n\tvar whitelist = new Contexts.whitelist(wiki);\n\treturn new Contexts.import(wiki, whitelist, macroFilter);\n};\n\n/** Returns the Relink indexer, or a dummy object which pretends to be one.\n */\nexports.getIndexer = function(wiki) {\n\tif (!wiki._relink_indexer) {\n\t\twiki._relink_indexer = (wiki.getIndexer && wiki.getIndexer(\"RelinkIndexer\")) || new (require('$:/plugins/flibbles/relink/js/utils/backupIndexer.js'))(wiki);\n\t}\n\treturn wiki._relink_indexer;\n};\n\n/**Relinking supports a cache that persists throughout a whole relink op.\n * This is because the Tiddlywiki caches may get wiped multiple times\n * throughout the course of a relink.\n */\nexports.getCacheForRun = function(options, cacheName, initializer) {\n\toptions.cache = options.cache || Object.create(null);\n\tif (!$tw.utils.hop(options.cache, cacheName)) {\n\t\toptions.cache[cacheName] = initializer();\n\t}\n\treturn options.cache[cacheName];\n};\n\n/**Returns a specific relinker.\n * This is useful for wikitext rules which need to parse a filter or a list\n */\nexports.getType = function(name) {\n\tvar Handler = getFieldTypes()[name];\n\treturn Handler ? new Handler() : undefined;\n};\n\nexports.getTypes = function() {\n\t// We don't return fieldTypes, because we don't want it modified,\n\t// and we need to filter out legacy names.\n\tvar rtn = Object.create(null);\n\tfor (var type in getFieldTypes()) {\n\t\tvar typeObject = getFieldTypes()[type];\n\t\trtn[typeObject.typeName] = typeObject;\n\t}\n\treturn rtn;\n};\n\nexports.getDefaultType = function(wiki) {\n\tvar tiddler = wiki.getTiddler(\"$:/config/flibbles/relink/settings/default-type\");\n\tvar defaultType = tiddler && tiddler.fields.text;\n\t// make sure the default actually exists, otherwise default\n\treturn fieldTypes[defaultType] ? defaultType : \"title\";\n};\n\nvar fieldTypes;\n\nfunction getFieldTypes() {\n\tif (!fieldTypes) {\n\t\tfieldTypes = Object.create(null);\n\t\t$tw.modules.forEachModuleOfType(\"relinkfieldtype\", function(title, exports) {\n\t\t\tfunction NewType() {};\n\t\t\tNewType.prototype = exports;\n\t\t\tNewType.typeName = exports.name;\n\t\t\tfieldTypes[exports.name] = NewType;\n\t\t\t// For legacy, if the NewType doesn't have a report method, we add one\n\t\t\tif (!exports.report) {\n\t\t\t\texports.report = function() {};\n\t\t\t}\n\t\t\t// Also for legacy, some of the field types can go by other names\n\t\t\tif (exports.aliases) {\n\t\t\t\t$tw.utils.each(exports.aliases, function(alias) {\n\t\t\t\t\tfieldTypes[alias] = NewType;\n\t\t\t\t});\n\t\t\t}\n\t\t});\n\t}\n\treturn fieldTypes;\n}\n\nvar relinkOperators;\n\nfunction getRelinkOperators() {\n\tif (!relinkOperators) {\n\t\trelinkOperators = exports.getModulesByTypeAsHashmap('relinkoperator', 'name');\n\t}\n\treturn relinkOperators;\n};\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/utils.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/wikimethods.js": {
"text": "/*\\\nmodule-type: wikimethod\n\nIntroduces some utility methods used by Relink.\n\n\\*/\n\nvar utils = require(\"./utils.js\");\n\nexports.getTiddlerRelinkReferences = function(title) {\n\treturn utils.getIndexer(this).lookup(title);\n};\n\nexports.getTiddlerRelinkBackreferences = function(title) {\n\treturn utils.getIndexer(this).reverseLookup(title);\n};\n\nexports.getRelinkableTitles = function() {\n\tvar toUpdate = \"$:/config/flibbles/relink/to-update\";\n\tvar wiki = this;\n\treturn this.getCacheForTiddler(toUpdate, \"relink-toUpdate\", function() {\n\t\tvar tiddler = wiki.getTiddler(toUpdate);\n\t\tif (tiddler) {\n\t\t\treturn wiki.compileFilter(tiddler.fields.text);\n\t\t} else {\n\t\t\treturn wiki.allTitles;\n\t\t}\n\t})();\n};\n",
"module-type": "wikimethod",
"title": "$:/plugins/flibbles/relink/js/wikimethods.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/filteroperators/all_relinkable.js": {
"text": "/*\\\nmodule-type: allfilteroperator\n\nFilter function for [all[relinkable]].\nReturns all tiddlers subject to relinking.\n\n\\*/\n\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.relinkable = function(source,prefix,options) {\n\treturn options.wiki.getRelinkableTitles();\n};\n\n})();\n",
"module-type": "allfilteroperator",
"title": "$:/plugins/flibbles/relink/js/filteroperators/all_relinkable.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/filteroperators/references.js": {
"text": "/*\\\nmodule-type: relinkfilteroperator\n\nGiven a title as an operand, returns all non-shadow tiddlers that have any\nsort of updatable reference to it.\n\n`relink:backreferences[]]`\n`relink:references[]]`\n\nReturns all tiddlers that reference `fromTiddler` somewhere inside them.\n\nInput is ignored. Maybe it shouldn't do this.\n\\*/\n\nvar LinkedList = $tw.utils.LinkedList;\n\nif (!LinkedList) {\n\t/* If the linked list isn't available, make a quick crappy version. */\n\tLinkedList = function() {this.array=[];};\n\n\tLinkedList.prototype.pushTop = function(array) {\n\t\t$tw.utils.pushTop(this.array, array);\n\t};\n\n\tLinkedList.prototype.toArray = function() {\n\t\treturn this.array;\n\t};\n};\n\nexports.backreferences = function(source,operator,options) {\n\tvar results = new LinkedList();\n\tsource(function(tiddler,title) {\n\t\tresults.pushTop(Object.keys(options.wiki.getTiddlerRelinkBackreferences(title,options)));\n\t});\n\treturn results.toArray();\n};\n\nexports.references = function(source,operator,options) {\n\tvar results = new LinkedList();\n\tsource(function(tiddler,title) {\n\t\tvar refs = options.wiki.getTiddlerRelinkReferences(title,options);\n\t\tif (refs) {\n\t\t\tresults.pushTop(Object.keys(refs));\n\t\t}\n\t});\n\treturn results.toArray();\n};\n",
"module-type": "relinkfilteroperator",
"title": "$:/plugins/flibbles/relink/js/filteroperators/references.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/filteroperators/relink.js": {
"text": "/*\\\nmodule-type: filteroperator\n\nThis filter acts as a namespace for several small, simple filters, such as\n\n`[relink:impossible[]]`\n\n\\*/\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar language = require('$:/plugins/flibbles/relink/js/language.js');\n\nvar relinkFilterOperators;\n\nfunction getRelinkFilterOperators() {\n\tif(!relinkFilterOperators) {\n\t\trelinkFilterOperators = {};\n\t\t$tw.modules.applyMethods(\"relinkfilteroperator\",\n\t\t relinkFilterOperators);\n\t}\n\treturn relinkFilterOperators;\n}\n\nexports.relink = function(source,operator,options) {\n\tvar suffixPair = parseSuffix(operator.suffix);\n\tvar relinkFilterOperator = getRelinkFilterOperators()[suffixPair[0]];\n\tif (relinkFilterOperator) {\n\t\tvar newOperator = $tw.utils.extend({}, operator);\n\t\tnewOperator.suffix = suffixPair[1];\n\t\treturn relinkFilterOperator(source, newOperator, options);\n\t} else {\n\t\treturn [language.getString(\"Error/RelinkFilterOperator\", options)];\n\t}\n};\n\nfunction parseSuffix(suffix) {\n\tvar index = suffix? suffix.indexOf(\":\"): -1;\n\tif (index >= 0) {\n\t\treturn [suffix.substr(0, index), suffix.substr(index+1)];\n\t} else {\n\t\treturn [suffix];\n\t}\n}\n",
"module-type": "filteroperator",
"title": "$:/plugins/flibbles/relink/js/filteroperators/relink.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/filteroperators/report.js": {
"text": "/*\\\nmodule-type: relinkfilteroperator\n\nGiven a title as an operand, returns a string for each occurrence of that title\nwithin each input title.\n\n[[title]] +[relink:report[fromTiddler]]`\n\nReturns string representation of fromTiddler occurrences in title.\n\\*/\n\nexports.report = function(source,operator,options) {\n\tvar fromTitle = operator.operand,\n\t\tresults = [];\n\tif (fromTitle) {\n\t\tvar blurbs = options.wiki.getTiddlerRelinkBackreferences(fromTitle);\n\t\tsource(function(tiddler, title) {\n\t\t\tif (blurbs[title]) {\n\t\t\t\tresults = results.concat(blurbs[title]);\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n",
"module-type": "relinkfilteroperator",
"title": "$:/plugins/flibbles/relink/js/filteroperators/report.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/filteroperators/signatures.js": {
"text": "/*\\\nmodule-type: relinkfilteroperator\n\nThis filter returns all input tiddlers which are a source of\nrelink configuration.\n\n`[all[tiddlers+system]relink:source[macros]]`\n\n\\*/\n\nvar utils = require('$:/plugins/flibbles/relink/js/utils.js');\n\nexports.signatures = function(source,operator,options) {\n\tvar plugin = operator.operand || null;\n\tvar set = getSet(options);\n\tif (plugin === \"$:/core\") {\n\t\t// Core doesn't actually have any settings. We mean Relink\n\t\tplugin = \"$:/plugins/flibbles/relink\";\n\t}\n\tvar signatures = [];\n\tfor (var signature in set) {\n\t\tvar source = set[signature].source;\n\t\tif (options.wiki.getShadowSource(source) === plugin) {\n\t\t\tsignatures.push(signature);\n\t\t}\n\t}\n\treturn signatures;\n};\n\nexports.type = function(source,operator,options) {\n\tvar results = [];\n\tvar set = getSet(options);\n\tsource(function(tiddler, signature) {\n\t\tif (set[signature]) {\n\t\t\tresults.push(set[signature].name);\n\t\t}\n\t});\n\treturn results;\n};\n\nexports.types = function(source,operator,options) {\n\tvar def = utils.getDefaultType(options.wiki);\n\tvar types = Object.keys(utils.getTypes());\n\ttypes.sort();\n\t// move default to front\n\ttypes.sort(function(x,y) { return x === def ? -1 : y === def ? 1 : 0; });\n\treturn types;\n};\n\nexports.source = function(source,operator,options) {\n\tvar results = [];\n\tvar category = operator.suffix;\n\tvar set = getSet(options);\n\tsource(function(tiddler, signature) {\n\t\tif (set[signature]) {\n\t\t\tresults.push(set[signature].source);\n\t\t}\n\t});\n\treturn results;\n};\n\nfunction getSet(options) {\n\treturn options.wiki.getGlobalCache(\"relink-signatures\", function() {\n\t\tvar config = utils.getWikiContext(options.wiki);\n\t\tvar set = Object.create(null);\n\t\tvar categories = {\n\t\t\tattributes: config.getAttributes(),\n\t\t\tfields: config.getFields(),\n\t\t\tmacros: config.getMacros(),\n\t\t\toperators: config.getOperators()};\n\t\t$tw.utils.each(categories, function(list, category) {\n\t\t\t$tw.utils.each(list, function(item, key) {\n\t\t\t\tset[category + \"/\" + key] = item;\n\t\t\t});\n\t\t});\n\t\treturn set;\n\t});\n};\n",
"module-type": "relinkfilteroperator",
"title": "$:/plugins/flibbles/relink/js/filteroperators/signatures.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/filteroperators/splitafter.js": {
"text": "/*\\\ntitle: $:/core/modules/filters/splitbefore.js\ntype: application/javascript\nmodule-type: relinkfilteroperator\n\nFilter operator that splits each result on the last occurance of the specified separator and returns the last bit.\n\nWhat does this have to do with relink? Nothing. I need this so I can render\nthe configuration menu. I //could// use [splitregexp[]], but then I'd be\nlimited to Tiddlywiki v5.1.20 or later.\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.splitafter = function(source,operator,options) {\n\tvar results = [];\n\tsource(function(tiddler,title) {\n\t\tvar index = title.lastIndexOf(operator.operand);\n\t\tif(index < 0) {\n\t\t\t$tw.utils.pushTop(results,title);\n\t\t} else {\n\t\t\t$tw.utils.pushTop(results,title.substr(index+1));\n\t\t}\n\t});\n\treturn results;\n};\n\n})();\n\n",
"title": "$:/plugins/flibbles/relink/js/filteroperators/splitafter.js",
"type": "application/javascript",
"module-type": "relinkfilteroperator"
},
"$:/plugins/flibbles/relink/js/filteroperators/wouldchange.js": {
"text": "/*\\\nmodule-type: relinkfilteroperator\n\nwouldchange: Generator.\n\nGiven each input title, it returns all the tiddlers that would be changed if the currentTiddler were to be renamed to the operand.\n\nimpossible: filters all source titles for ones that encounter errors on failure.\n\nTHESE ARE INTERNAL FILTER OPERATOR AND ARE NOT INTENDED TO BE USED BY USERS.\n\n\\*/\n\nvar language = require(\"$:/plugins/flibbles/relink/js/language.js\");\nvar utils = require(\"$:/plugins/flibbles/relink/js/utils.js\");\n\nexports.wouldchange = function(source,operator,options) {\n\tvar from = options.widget && options.widget.getVariable(\"currentTiddler\"),\n\t\tto = operator.operand,\n\t\tindexer = utils.getIndexer(options.wiki),\n\t\trecords = indexer.relinkLookup(from, to, options);\n\treturn Object.keys(records);\n};\n\nexports.impossible = function(source,operator,options) {\n\tvar from = options.widget && options.widget.getVariable(\"currentTiddler\"),\n\t\tto = operator.operand,\n\t\tresults = [],\n\t\tindexer = utils.getIndexer(options.wiki),\n\t\trecords = indexer.relinkLookup(from, to, options);\n\tsource(function(tiddler, title) {\n\t\tvar fields = records[title];\n\t\tif (fields) {\n\t\t\tfor (var field in fields) {\n\t\t\t\tif (fields[field].impossible) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n\treturn results;\n};\n",
"module-type": "relinkfilteroperator",
"title": "$:/plugins/flibbles/relink/js/filteroperators/wouldchange.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/fieldtypes/filter.js": {
"text": "/*\\\nThis specifies logic for updating filters to reflect title changes.\n\\*/\n\nvar refHandler = require(\"$:/plugins/flibbles/relink/js/fieldtypes/reference\");\nvar Rebuilder = require(\"$:/plugins/flibbles/relink/js/utils/rebuilder\");\n\nexports.name = \"filter\";\n\nexports.report = function(filter, callback, options) {\n\t// I cheat here for now. Relink handles reporting too in cases where\n\t// fromTitle is undefined. toTitle is the callback in those cases.\n\texports.relink(filter, undefined, callback, options);\n};\n\n/**Returns undefined if no change was made.\n */\nexports.relink = function(filter, fromTitle, toTitle, options) {\n\tvar relinker = new Rebuilder(filter),\n\t\tp = 0, // Current position in the filter string\n\t\tmatch, noPrecedingWordBarrier,\n\t\twordBarrierRequired=false;\n\tvar whitespaceRegExp = /\\s+/mg,\n\t\toperandRegExp = /((?:\\+|\\-|~|=|\\:\\w+)?)(?:(\\[)|(?:\"([^\"]*)\")|(?:'([^']*)')|([^\\s\\[\\]]+))/mg,\n\t\tblurbs = [];\n\twhile(p < filter.length) {\n\t\t// Skip any whitespace\n\t\twhitespaceRegExp.lastIndex = p;\n\t\tmatch = whitespaceRegExp.exec(filter);\n\t\tnoPrecedingWordBarrier = false;\n\t\tif(match && match.index === p) {\n\t\t\tp = p + match[0].length;\n\t\t} else if (p != 0) {\n\t\t\tif (wordBarrierRequired) {\n\t\t\t\trelinker.add(' ', p, p);\n\t\t\t\twordBarrierRequired = false;\n\t\t\t} else {\n\t\t\t\tnoPrecedingWordBarrier = true;\n\t\t\t}\n\t\t}\n\t\t// Match the start of the operation\n\t\tif(p < filter.length) {\n\t\t\tvar val;\n\t\t\toperandRegExp.lastIndex = p;\n\t\t\tmatch = operandRegExp.exec(filter);\n\t\t\tif(!match || match.index !== p) {\n\t\t\t\t// It's a bad filter\n\t\t\t\treturn undefined;\n\t\t\t}\n\t\t\tif(match[1]) { // prefix\n\t\t\t\tp += match[1].length;\n\t\t\t}\n\t\t\tif(match[2]) { // Opening square bracket\n\t\t\t\t// We check if this is a standalone title,\n\t\t\t\t// like `[[MyTitle]]`. We treat those like\n\t\t\t\t// `\"MyTitle\"` or `MyTitle`. Not like a run.\n\t\t\t\tvar standaloneTitle = /\\[\\[([^\\]]+)\\]\\]/g;\n\t\t\t\tstandaloneTitle.lastIndex = p;\n\t\t\t\tvar alone = standaloneTitle.exec(filter);\n\t\t\t\tif (!alone || alone.index != p) {\n\t\t\t\t\tif (fromTitle === undefined) {\n\t\t\t\t\t\t// toTitle is a callback method in this case.\n\t\t\t\t\t\tp =reportFilterOperation(filter, function(title, blurb){\n\t\t\t\t\t\t\tif (match[1]) {\n\t\t\t\t\t\t\t\tblurbs.push([title, match[1] + (blurb || '')]);\n\t\t\t\t\t\t\t} else {\n\t\t\t\t\t\t\t\tblurbs.push([title, blurb]);\n\t\t\t\t\t\t\t}\n\t\t\t\t\t\t},p,options.settings,options);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tp =relinkFilterOperation(relinker,fromTitle,toTitle,filter,p,options.settings,options);\n\t\t\t\t\t}\n\t\t\t\t\t// It's a legit run\n\t\t\t\t\tif (p === undefined) {\n\t\t\t\t\t\t// The filter is malformed\n\t\t\t\t\t\t// We do nothing.\n\t\t\t\t\t\treturn undefined;\n\t\t\t\t\t}\n\t\t\t\t\tcontinue;\n\t\t\t\t}\n\t\t\t\tbracketTitle = alone[1];\n\t\t\t\toperandRegExp.lastIndex = standaloneTitle.lastIndex;\n\t\t\t\tval = alone[1];\n\t\t\t} else {\n\t\t\t\t// standalone Double quoted string, single\n\t\t\t\t// quoted string, or noquote ahead.\n\t\t\t\tval = match[3] || match[4] || match[5];\n\t\t\t}\n\t\t\t// From here on, we're dealing with a standalone title\n\t\t\t// expression. like `\"MyTitle\"` or `[[MyTitle]]`\n\t\t\t// We're much more flexible about relinking these.\n\t\t\tvar preference = undefined;\n\t\t\tif (match[3]) {\n\t\t\t\tpreference = '\"';\n\t\t\t} else if (match[4]) {\n\t\t\t\tpreference = \"'\";\n\t\t\t} else if (match[5]) {\n\t\t\t\tpreference = '';\n\t\t\t}\n\t\t\tif (fromTitle === undefined) {\n\t\t\t\t// Report it\n\t\t\t\tblurbs.push([val, match[1]]);\n\t\t\t} else if (val === fromTitle) {\n\t\t\t\t// Relink it\n\t\t\t\tvar entry = {name: \"title\"};\n\t\t\t\tvar newVal = wrapTitle(toTitle, preference);\n\t\t\t\tif (newVal === undefined || (options.inBraces && newVal.indexOf('}}}') >= 0)) {\n\t\t\t\t\tif (!options.placeholder) {\n\t\t\t\t\t\trelinker.impossible = true;\n\t\t\t\t\t\tp = operandRegExp.lastIndex;\n\t\t\t\t\t\tcontinue;\n\t\t\t\t\t}\n\n\t\t\t\t\tnewVal = \"[<\"+options.placeholder.getPlaceholderFor(toTitle)+\">]\";\n\t\t\t\t}\n\t\t\t\tif (newVal[0] != '[') {\n\t\t\t\t\t// not bracket enclosed\n\t\t\t\t\t// this requires whitespace\n\t\t\t\t\t// arnound it\n\t\t\t\t\tif (noPrecedingWordBarrier && !match[1]) {\n\t\t\t\t\t\trelinker.add(' ', p, p);\n\t\t\t\t\t}\n\t\t\t\t\twordBarrierRequired = true;\n\t\t\t\t}\n\t\t\t\tentry.output = toTitle;\n\t\t\t\tentry.operator = {operator: \"title\"};\n\t\t\t\tentry.quotation = preference;\n\t\t\t\tif (entry.impossible) {\n\t\t\t\t\trelinker.impossible = true;\n\t\t\t\t}\n\t\t\t\trelinker.add(newVal,p,operandRegExp.lastIndex);\n\t\t\t}\n\t\t\tp = operandRegExp.lastIndex;\n\t\t}\n\t}\n\tif (fromTitle === undefined) {\n\t\t// We delay the blurb calls until now in case it's a malformed\n\t\t// filter string. We don't want to report some, only to find out\n\t\t// it's bad.\n\t\tfor (var i = 0; i < blurbs.length; i++) {\n\t\t\ttoTitle(blurbs[i][0], blurbs[i][1]);\n\t\t}\n\t}\n\tif (relinker.changed() || relinker.impossible) {\n\t\treturn {output: relinker.results(), impossible: relinker.impossible };\n\t}\n\treturn undefined;\n};\n\n/* Same as this.relink, except this has the added constraint that the return\n * value must be able to be wrapped in curly braces. (i.e. '{{{...}}}')\n */\nexports.relinkInBraces = function(filter, fromTitle, toTitle, options) {\n\tvar braceOptions = $tw.utils.extend({inBraces: true}, options);\n\tvar entry = this.relink(filter, fromTitle, toTitle, braceOptions);\n\tif (entry && entry.output && !canBeInBraces(entry.output)) {\n\t\t// It was possible, but it won't fit in braces, so we must give up\n\t\tdelete entry.output;\n\t\tentry.impossible = true;\n\t}\n\treturn entry;\n};\n\nfunction wrapTitle(value, preference) {\n\tvar choices = {\n\t\t\"\": function(v) {return /^[^\\s\\[\\]]*[^\\s\\[\\]\\}]$/.test(v); },\n\t\t\"[\": canBePrettyOperand,\n\t\t\"'\": function(v) {return v.indexOf(\"'\") < 0; },\n\t\t'\"': function(v) {return v.indexOf('\"') < 0; }\n\t};\n\tvar wrappers = {\n\t\t\"\": function(v) {return v; },\n\t\t\"[\": function(v) {return \"[[\"+v+\"]]\"; },\n\t\t\"'\": function(v) {return \"'\"+v+\"'\"; },\n\t\t'\"': function(v) {return '\"'+v+'\"'; }\n\t};\n\tif (choices[preference]) {\n\t\tif (choices[preference](value)) {\n\t\t\treturn wrappers[preference](value);\n\t\t}\n\t}\n\tfor (var quote in choices) {\n\t\tif (choices[quote](value)) {\n\t\t\treturn wrappers[quote](value);\n\t\t}\n\t}\n\t// No quotes will work on this\n\treturn undefined;\n}\n\nfunction relinkFilterOperation(relinker, fromTitle, toTitle, filterString, p, context, options) {\n\tvar nextBracketPos, operator;\n\t// Skip the starting square bracket\n\tif(filterString.charAt(p++) !== \"[\") {\n\t\t// Missing [ in filter expression\n\t\treturn undefined;\n\t}\n\t// Process each operator in turn\n\toperator = parseOperator(filterString, p);\n\tdo {\n\t\tvar entry = undefined, type;\n\t\tif (operator === undefined) {\n\t\t\treturn undefined;\n\t\t}\n\t\tp = operator.opStart;\n\t\tswitch (operator.bracket) {\n\t\t\tcase \"{\": // Curly brackets\n\t\t\t\ttype = \"indirect\";\n\t\t\t\tnextBracketPos = filterString.indexOf(\"}\",p);\n\t\t\t\tvar operand = filterString.substring(p,nextBracketPos);\n\t\t\t\t// We've got a live reference. relink or report\n\t\t\t\tentry = refHandler.relinkInBraces(operand, fromTitle, toTitle, options);\n\t\t\t\tif (entry && entry.output) {\n\t\t\t\t\t// We don't check the context.\n\t\t\t\t\t// All indirect operands convert.\n\t\t\t\t\trelinker.add(entry.output,p,nextBracketPos);\n\t\t\t\t}\n\t\t\t\tbreak;\n\t\t\tcase \"[\": // Square brackets\n\t\t\t\ttype = \"string\";\n\t\t\t\tnextBracketPos = filterString.indexOf(\"]\",p);\n\t\t\t\tvar operand = filterString.substring(p,nextBracketPos);\n\t\t\t\t// Check if this is a relevant operator\n\t\t\t\tvar handler = fieldType(context, operator);\n\t\t\t\tif (!handler) {\n\t\t\t\t\t// This operator isn't managed. Bye.\n\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t\tentry = handler.relink(operand, fromTitle, toTitle, options);\n\t\t\t\tif (!entry || !entry.output) {\n\t\t\t\t\t// The fromTitle wasn't in the operand.\n\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t\tvar wrapped;\n\t\t\t\tif (!canBePrettyOperand(entry.output) || (options.inBraces && entry.output.indexOf('}}}') >= 0)) {\n\t\t\t\t\tif (!options.placeholder) {\n\t\t\t\t\t\tdelete entry.output;\n\t\t\t\t\t\tentry.impossible = true;\n\t\t\t\t\t\tbreak;\n\t\t\t\t\t}\n\t\t\t\t\tvar ph = options.placeholder.getPlaceholderFor(entry.output, handler.name);\n\t\t\t\t\twrapped = \"<\"+ph+\">\";\n\t\t\t\t} else {\n\t\t\t\t\twrapped = \"[\"+entry.output+\"]\";\n\t\t\t\t}\n\t\t\t\trelinker.add(wrapped, p-1, nextBracketPos+1);\n\t\t\t\tbreak;\n\t\t\tcase \"<\": // Angle brackets\n\t\t\t\tnextBracketPos = filterString.indexOf(\">\",p);\n\t\t\t\tbreak;\n\t\t\tcase \"/\": // regexp brackets\n\t\t\t\tvar rex = /^((?:[^\\\\\\/]*|\\\\.)*)\\/(?:\\(([mygi]+)\\))?/g,\n\t\t\t\t\trexMatch = rex.exec(filterString.substring(p));\n\t\t\t\tif(rexMatch) {\n\t\t\t\t\tnextBracketPos = p + rex.lastIndex - 1;\n\t\t\t\t}\n\t\t\t\telse {\n\t\t\t\t\t// Unterminated regular expression\n\t\t\t\t\treturn undefined;\n\t\t\t\t}\n\t\t\t\tbreak;\n\t\t}\n\t\tif (entry) {\n\t\t\tif (entry.impossible) {\n\t\t\t\trelinker.impossible = true;\n\t\t\t}\n\t\t}\n\n\t\tif(nextBracketPos === -1) {\n\t\t\t// Missing closing bracket in filter expression\n\t\t\treturn undefined;\n\t\t}\n\t\tp = nextBracketPos + 1;\n\t\t// Check for multiple operands\n\t\tswitch (filterString.charAt(p)) {\n\t\tcase ',':\n\t\t\tp++;\n\t\t\tif(/^[\\[\\{<\\/]/.test(filterString.substring(p))) {\n\t\t\t\toperator.bracket = filterString.charAt(p);\n\t\t\t\toperator.opStart = p + 1;\n\t\t\t\toperator.index++;\n\t\t\t} else {\n\t\t\t\treturn undefined;\n\t\t\t}\n\t\t\tcontinue;\n\t\tdefault:\n\t\t\toperator = parseOperator(filterString, p);\n\t\t\tcontinue;\n\t\tcase ']':\n\t\t}\n\t\tbreak;\n\t} while(true);\n\t// Skip the ending square bracket\n\tif(filterString.charAt(p++) !== \"]\") {\n\t\t// Missing ] in filter expression\n\t\treturn undefined;\n\t}\n\t// Return the parsing position\n\treturn p;\n}\n\nfunction reportFilterOperation(filterString, callback, p, context, options) {\n\tvar nextBracketPos, operator;\n\t// Skip the starting square bracket\n\tif(filterString.charAt(p++) !== \"[\") {\n\t\t// Missing [ in filter expression\n\t\treturn undefined;\n\t}\n\toperator = parseOperator(filterString, p);\n\t// Process each operator in turn\n\tdo {\n\t\tif (operator === undefined) {\n\t\t\treturn undefined;\n\t\t}\n\t\tp = operator.opStart;\n\t\tswitch (operator.bracket) {\n\t\t\tcase \"{\": // Curly brackets\n\t\t\t\tnextBracketPos = filterString.indexOf(\"}\",p);\n\t\t\t\tvar operand = filterString.substring(p,nextBracketPos);\n\t\t\t\t// Just report it\n\t\t\t\trefHandler.report(operand, function(title, blurb) {\n\t\t\t\t\tcallback(title, operatorBlurb(operator, '{' + (blurb || '') + '}'));\n\t\t\t\t}, options);\n\t\t\t\tbreak;\n\t\t\tcase \"[\": // Square brackets\n\t\t\t\tnextBracketPos = filterString.indexOf(\"]\",p);\n\t\t\t\tvar operand = filterString.substring(p,nextBracketPos);\n\t\t\t\t// Check if this is a relevant operator\n\t\t\t\tvar handler = fieldType(context, operator);\n\t\t\t\tif (!handler) {\n\t\t\t\t\t// This operator isn't managed. Bye.\n\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t\t// We just have to report it. Nothing more.\n\t\t\t\thandler.report(operand, function(title, blurb) {\n\t\t\t\t\tcallback(title, operatorBlurb(operator, '[' + (blurb || '') + ']'));\n\t\t\t\t}, options);\n\t\t\t\tbreak;\n\n\t\t\tcase \"<\": // Angle brackets\n\t\t\t\tnextBracketPos = filterString.indexOf(\">\",p);\n\t\t\t\tbreak;\n\t\t\tcase \"/\": // regexp brackets\n\t\t\t\tvar rex = /^((?:[^\\\\\\/]*|\\\\.)*)\\/(?:\\(([mygi]+)\\))?/g,\n\t\t\t\t\trexMatch = rex.exec(filterString.substring(p));\n\t\t\t\tif(rexMatch) {\n\t\t\t\t\tnextBracketPos = p + rex.lastIndex - 1;\n\t\t\t\t}\n\t\t\t\telse {\n\t\t\t\t\t// Unterminated regular expression\n\t\t\t\t\treturn undefined;\n\t\t\t\t}\n\t\t\t\tbreak;\n\t\t}\n\n\t\tif(nextBracketPos === -1) {\n\t\t\t// Missing closing bracket in filter expression\n\t\t\treturn undefined;\n\t\t}\n\t\tp = nextBracketPos + 1;\n\t\t// Check for multiple operands\n\t\tswitch (filterString.charAt(p)) {\n\t\tcase ',':\n\t\t\tp++;\n\t\t\tif(/^[\\[\\{<\\/]/.test(filterString.substring(p))) {\n\t\t\t\toperator.bracket = filterString.charAt(p);\n\t\t\t\toperator.opStart = p + 1;\n\t\t\t\toperator.index++;\n\t\t\t} else {\n\t\t\t\treturn undefined;\n\t\t\t}\n\t\t\tcontinue;\n\t\tdefault:\n\t\t\toperator = parseOperator(filterString, p);\n\t\t\tcontinue;\n\t\tcase ']':\n\t\t}\n\t\tbreak;\n\t} while(true);\n\t// Skip the ending square bracket\n\tif(filterString.charAt(p++) !== \"]\") {\n\t\t// Missing ] in filter expression\n\t\treturn undefined;\n\t}\n\t// Return the parsing position\n\treturn p;\n}\n\nfunction parseOperator(filterString, p) {\n\tvar nextBracketPos, operator = {index: 1};\n\t// Check for an operator prefix\n\tif(filterString.charAt(p) === \"!\") {\n\t\toperator.prefix = \"!\";\n\t\tp++;\n\t}\n\t// Get the operator name\n\tnextBracketPos = filterString.substring(p).search(/[\\[\\{<\\/]/);\n\tif(nextBracketPos === -1) {\n\t\t// Missing [ in filter expression\n\t\treturn undefined;\n\t}\n\tnextBracketPos += p;\n\toperator.bracket = filterString.charAt(nextBracketPos);\n\toperator.operator = filterString.substring(p,nextBracketPos);\n\n\t// Any suffix?\n\tvar colon = operator.operator.indexOf(':');\n\tif(colon > -1) {\n\t\toperator.suffix = operator.operator.substring(colon + 1);\n\t\toperator.operator = operator.operator.substring(0,colon) || \"field\";\n\t}\n\t// Empty operator means: title\n\telse if(operator.operator === \"\") {\n\t\toperator.operator = \"title\";\n\t\toperator.default = true;\n\t}\n\toperator.opStart = nextBracketPos + 1;\n\treturn operator;\n};\n\nfunction operatorBlurb(operator, enquotedOperand) {\n\tvar suffix = operator.suffix ? (':' + operator.suffix) : '';\n\t// commas to indicate which number operand\n\tsuffix += (new Array(operator.index)).join(',');\n\tvar op = operator.default ? '' : operator.operator;\n\treturn '[' + (operator.prefix || '') + op + suffix + enquotedOperand + ']';\n};\n\n// Returns the relinker needed for a given operator, or returns undefined.\nfunction fieldType(context, operator) {\n\treturn (operator.suffix &&\n\t context.getOperator(operator.operator + ':' + operator.suffix, operator.index)) ||\n\t context.getOperator(operator.operator, operator.index);\n};\n\nfunction canBePrettyOperand(value) {\n\treturn value.indexOf(']') < 0;\n};\n\nfunction canBeInBraces(value) {\n\treturn value.indexOf(\"}}}\") < 0 && value.substr(value.length-2) !== '}}';\n};\n",
"module-type": "relinkfieldtype",
"title": "$:/plugins/flibbles/relink/js/fieldtypes/filter.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/fieldtypes/list.js": {
"text": "/*\\\nThis manages replacing titles that occur within stringLists, like,\n\nTiddlerA [[Tiddler with spaces]] [[Another Title]]\n\\*/\n\nexports.name = \"list\";\n\nexports.report = function(value, callback, options) {\n\tvar list = $tw.utils.parseStringArray(value);\n\tfor (var i = 0; i < list.length; i++) {\n\t\tcallback(list[i]);\n\t}\n};\n\n/**Returns undefined if no change was made.\n * Parameter: value can literally be a list. This can happen for builtin\n * types 'list' and 'tag'. In those cases, we also return list.\n */\nexports.relink = function(value, fromTitle, toTitle, options) {\n\tvar isModified = false,\n\t\tactualList = false,\n\t\tlist;\n\tif (typeof value !== \"string\") {\n\t\t// Not a string. Must be a list.\n\t\t// clone it, since we may make changes to this possibly\n\t\t// frozen list.\n\t\tlist = (value || []).slice(0);\n\t\tactualList = true;\n\t} else {\n\t\tlist = $tw.utils.parseStringArray(value || \"\");\n\t}\n\t$tw.utils.each(list,function (title,index) {\n\t\tif(title === fromTitle) {\n\t\t\tlist[index] = toTitle;\n\t\t\tisModified = true;\n\t\t}\n\t});\n\tif (isModified) {\n\t\tvar entry = {name: \"list\"};\n\t\t// It doesn't parse correctly alone, it won't\n\t\t// parse correctly in any list.\n\t\tif (!canBeListItem(toTitle)) {\n\t\t\tentry.impossible = true;\n\t\t} else if (actualList) {\n\t\t\tentry.output = list;\n\t\t} else {\n\t\t\tentry.output = $tw.utils.stringifyList(list);\n\t\t}\n\t\treturn entry;\n\t}\n\treturn undefined;\n};\n\nfunction canBeListItem(value) {\n\tvar regexp = /\\]\\][^\\S\\xA0]/m;\n\treturn !regexp.test(value);\n};\n",
"module-type": "relinkfieldtype",
"title": "$:/plugins/flibbles/relink/js/fieldtypes/list.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/fieldtypes/reference.js": {
"text": "/*\\\nThis manages replacing titles that occur inside text references,\n\ntiddlerTitle\ntiddlerTitle!!field\n!!field\ntiddlerTitle##propertyIndex\n\\*/\n\nexports.name = \"reference\";\n\nexports.report = function(value, callback, options) {\n\tif (value) {\n\t\tvar reference = $tw.utils.parseTextReference(value),\n\t\t\ttitle = reference.title,\n\t\t\tblurb;\n\t\tif (title) {\n\t\t\tif (reference.field) {\n\t\t\t\tblurb = '!!' + reference.field;\n\t\t\t} else if (reference.index) {\n\t\t\t\tblurb = '##' + reference.index;\n\t\t\t}\n\t\t\tcallback(title, blurb);\n\t\t}\n\t}\n};\n\nexports.relink = function(value, fromTitle, toTitle, options) {\n\tvar entry;\n\tif (value) {\n\t\tvar reference = $tw.utils.parseTextReference(value);\n\t\tif (reference.title === fromTitle) {\n\t\t\tif (!exports.canBePretty(toTitle)) {\n\t\t\t\tentry = {impossible: true};\n\t\t\t} else {\n\t\t\t\treference.title = toTitle;\n\t\t\t\tentry = {output: exports.toString(reference)};\n\t\t\t}\n\t\t}\n\t}\n\treturn entry;\n};\n\n/* Same as this.relink, except this has the added constraint that the return\n * value must be able to be wrapped in curly braces.\n */\nexports.relinkInBraces = function(value, fromTitle, toTitle, options) {\n\tvar log = this.relink(value, fromTitle, toTitle, options);\n\tif (log && log.output && toTitle.indexOf(\"}\") >= 0) {\n\t\tdelete log.output;\n\t\tlog.impossible = true;\n\t}\n\treturn log;\n};\n\nexports.toString = function(textReference) {\n\tvar title = textReference.title || '';\n\tif (textReference.field) {\n\t\treturn title + \"!!\" + textReference.field;\n\t} else if (textReference.index) {\n\t\treturn title + \"##\" + textReference.index;\n\t}\n\treturn title;\n};\n\nexports.canBePretty = function(title) {\n\treturn !title || (title.indexOf(\"!!\") < 0 && title.indexOf(\"##\") < 0);\n};\n",
"module-type": "relinkfieldtype",
"title": "$:/plugins/flibbles/relink/js/fieldtypes/reference.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/fieldtypes/title.js": {
"text": "/*\\\nThis specifies logic for replacing a single-tiddler field. This is the\nsimplest kind of field type. One title swaps out for the other.\n\\*/\n\n// NOTE TO MODDERS: If you're making your own field types, the name must be\n// alpha characters only.\nexports.name = 'title';\n\nexports.report = function(value, callback, options) {\n\tcallback(value);\n};\n\n/**Returns undefined if no change was made.\n */\nexports.relink = function(value, fromTitle, toTitle, options) {\n\tif (value === fromTitle) {\n\t\treturn {output: toTitle};\n\t}\n\treturn undefined;\n};\n\n// This is legacy support for when 'title' was known as 'field'\nexports.aliases = ['field', 'yes'];\n",
"module-type": "relinkfieldtype",
"title": "$:/plugins/flibbles/relink/js/fieldtypes/title.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/fieldtypes/wikitext.js": {
"text": "/*\\\nThis specifies logic for updating filters to reflect title changes.\n\\*/\n\nexports.name = \"wikitext\";\n\nvar type = 'text/vnd.tiddlywiki';\n\nvar WikiParser = require(\"$:/core/modules/parsers/wikiparser/wikiparser.js\")[type];\nvar Rebuilder = require(\"$:/plugins/flibbles/relink/js/utils/rebuilder.js\");\nvar utils = require('$:/plugins/flibbles/relink/js/utils');\nvar WikitextContext = utils.getContext('wikitext');\n\nfunction collectRules() {\n\tvar rules = Object.create(null);\n\t$tw.modules.forEachModuleOfType(\"relinkwikitextrule\", function(title, exports) {\n\t\tvar names = exports.name;\n\t\tif (typeof names === \"string\") {\n\t\t\tnames = [names];\n\t\t}\n\t\tif (names !== undefined) {\n\t\t\tfor (var i = 0; i < names.length; i++) {\n\t\t\t\trules[names[i]] = exports;\n\t\t\t}\n\t\t}\n\t});\n\treturn rules;\n}\n\nfunction WikiWalker(type, text, options) {\n\tthis.options = options;\n\tif (!this.relinkMethodsInjected) {\n\t\tvar rules = collectRules();\n\t\t$tw.utils.each([this.pragmaRuleClasses, this.blockRuleClasses, this.inlineRuleClasses], function(classList) {\n\t\t\tfor (var name in classList) {\n\t\t\t\tif (rules[name]) {\n\t\t\t\t\tdelete rules[name].name;\n\t\t\t\t\t$tw.utils.extend(classList[name].prototype, rules[name]);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t\tWikiWalker.prototype.relinkMethodsInjected = true;\n\t}\n\tthis.context = new WikitextContext(options.settings);\n\tWikiParser.call(this, type, text, options);\n};\n\nWikiWalker.prototype = Object.create(WikiParser.prototype);\n\nWikiWalker.prototype.parsePragmas = function() {\n\tvar entries = this.tree;\n\twhile (true) {\n\t\tthis.skipWhitespace();\n\t\tif (this.pos >= this.sourceLength) {\n\t\t\tbreak;\n\t\t}\n\t\tvar nextMatch = this.findNextMatch(this.pragmaRules, this.pos);\n\t\tif (!nextMatch || nextMatch.matchIndex !== this.pos) {\n\t\t\tbreak;\n\t\t}\n\t\tentries.push.apply(entries, this.handleRule(nextMatch));\n\t}\n\treturn entries;\n};\n\nWikiWalker.prototype.parseInlineRunUnterminated = function(options) {\n\tvar entries = [];\n\tvar nextMatch = this.findNextMatch(this.inlineRules, this.pos);\n\twhile (this.pos < this.sourceLength && nextMatch) {\n\t\tif (nextMatch.matchIndex > this.pos) {\n\t\t\tthis.pos = nextMatch.matchIndex;\n\t\t}\n\t\tentries.push.apply(entries, this.handleRule(nextMatch));\n\t\tnextMatch = this.findNextMatch(this.inlineRules, this.pos);\n\t}\n\tthis.pos = this.sourceLength;\n\treturn entries;\n};\n\nWikiWalker.prototype.parseInlineRunTerminated = function(terminatorRegExp,options) {\n\tvar entries = [];\n\toptions = options || {};\n\tterminatorRegExp.lastIndex = this.pos;\n\tvar terminatorMatch = terminatorRegExp.exec(this.source);\n\tvar inlineRuleMatch = this.findNextMatch(this.inlineRules,this.pos);\n\twhile(this.pos < this.sourceLength && (terminatorMatch || inlineRuleMatch)) {\n\t\tif (terminatorMatch) {\n\t\t\tif (!inlineRuleMatch || inlineRuleMatch.matchIndex >= terminatorMatch.index) {\n\t\t\t\tthis.pos = terminatorMatch.index;\n\t\t\t\tif (options.eatTerminator) {\n\t\t\t\t\tthis.pos += terminatorMatch[0].length;\n\t\t\t\t}\n\t\t\t\treturn entries;\n\t\t\t}\n\t\t}\n\t\tif (inlineRuleMatch) {\n\t\t\tif (inlineRuleMatch.matchIndex > this.pos) {\n\t\t\t\tthis.pos = inlineRuleMatch.matchIndex;\n\t\t\t}\n\t\t\tentries.push.apply(entries, this.handleRule(inlineRuleMatch));\n\t\t\tinlineRuleMatch = this.findNextMatch(this.inlineRules, this.pos);\n\t\t\tterminatorRegExp.lastIndex = this.pos;\n\t\t\tterminatorMatch = terminatorRegExp.exec(this.source);\n\t\t}\n\t}\n\tthis.pos = this.sourceLength;\n\treturn entries;\n\n};\n\nWikiWalker.prototype.parseBlock = function(terminatorRegExp) {\n\tvar terminatorRegExp = /(\\r?\\n\\r?\\n)/mg;\n\tthis.skipWhitespace();\n\tif (this.pos >= this.sourceLength) {\n\t\treturn [];\n\t}\n\tvar nextMatch = this.findNextMatch(this.blockRules, this.pos);\n\tif(nextMatch && nextMatch.matchIndex === this.pos) {\n\t\treturn this.handleRule(nextMatch);\n\t}\n\treturn this.parseInlineRun(terminatorRegExp);\n};\n\nWikiWalker.prototype.amendRules = function(type, names) {\n\tvar only;\n\tWikiParser.prototype.amendRules.call(this, type, names);\n\tif (type === \"only\") {\n\t\tonly = true;\n\t} else if (type === \"except\") {\n\t\tonly = false;\n\t} else {\n\t\treturn;\n\t}\n\tif (only !== (names.indexOf(\"macrodef\") >= 0) && this.options.macrodefCanBeDisabled) {\n\t\tthis.options.placeholder = undefined\n\t}\n\tif (only !== (names.indexOf(\"html\") >= 0)) {\n\t\tthis.context.allowWidgets = disabled;\n\t}\n\tif (only !== (names.indexOf(\"prettylink\") >= 0)) {\n\t\tthis.context.allowPrettylinks = disabled;\n\t}\n};\n\nfunction disabled() { return false; };\n\n/// Reporter\n\nfunction WikiReporter(type, text, callback, options) {\n\tthis.callback = callback;\n\tWikiWalker.call(this, type, text, options);\n};\n\nWikiReporter.prototype = Object.create(WikiWalker.prototype);\n\nWikiReporter.prototype.handleRule = function(ruleInfo) {\n\tif (ruleInfo.rule.report) {\n\t\truleInfo.rule.report(this.source, this.callback, this.options);\n\t} else {\n\t\tif (ruleInfo.rule.matchRegExp !== undefined) {\n\t\t\tthis.pos = ruleInfo.rule.matchRegExp.lastIndex;\n\t\t} else {\n\t\t\t// We can't easily determine the end of this\n\t\t\t// rule match. We'll \"parse\" it so that\n\t\t\t// parser.pos gets updated, but we throw away\n\t\t\t// the results.\n\t\t\truleInfo.rule.parse();\n\t\t}\n\t}\n};\n\nexports.report = function(wikitext, callback, options) {\n\t// Unfortunately it's the side-effect of creating this that reports.\n\tnew WikiReporter(options.type, wikitext, callback, options);\n};\n\n/// Relinker\n\nfunction WikiRelinker(type, text, fromTitle, toTitle, options) {\n\tthis.fromTitle = fromTitle;\n\tthis.toTitle = toTitle;\n\tthis.placeholder = options.placeholder;\n\tif (this.placeholder) {\n\t\tthis.placeholder.parser = this;\n\t}\n\tWikiWalker.call(this, type, text, options);\n};\n\nWikiRelinker.prototype = Object.create(WikiWalker.prototype);\n\nWikiRelinker.prototype.handleRule = function(ruleInfo) {\n\tif (ruleInfo.rule.relink) {\n\t\tvar start = ruleInfo.matchIndex;\n\t\tvar newEntry = ruleInfo.rule.relink(this.source, this.fromTitle, this.toTitle, this.options);\n\t\tif (newEntry !== undefined) {\n\t\t\tif (newEntry.output) {\n\t\t\t\tnewEntry.start = start;\n\t\t\t\tnewEntry.end = this.pos;\n\t\t\t}\n\t\t\treturn [newEntry];\n\t\t}\n\t} else {\n\t\tif (ruleInfo.rule.matchRegExp !== undefined) {\n\t\t\tthis.pos = ruleInfo.rule.matchRegExp.lastIndex;\n\t\t} else {\n\t\t\t// We can't easily determine the end of this\n\t\t\t// rule match. We'll \"parse\" it so that\n\t\t\t// parser.pos gets updated, but we throw away\n\t\t\t// the results.\n\t\t\truleInfo.rule.parse();\n\t\t}\n\t}\n\treturn [];\n};\n\nexports.relink = function(wikitext, fromTitle, toTitle, options) {\n\tvar parser = new WikiRelinker(options.type, wikitext, fromTitle, toTitle, options),\n\t\twikiEntry = undefined;\n\t// Now that we have an array of entries, let's produce the wikiText entry\n\t// containing them all.\n\tif (parser.tree.length > 0) {\n\t\tvar builder = new Rebuilder(wikitext);\n\t\twikiEntry = {};\n\t\tfor (var i = 0; i < parser.tree.length; i++) {\n\t\t\tvar entry = parser.tree[i];\n\t\t\tif (entry.impossible) {\n\t\t\t\twikiEntry.impossible = true;\n\t\t\t}\n\t\t\tif (entry.output) {\n\t\t\t\tbuilder.add(entry.output, entry.start, entry.end);\n\t\t\t}\n\t\t}\n\t\twikiEntry.output = builder.results();\n\t}\n\treturn wikiEntry;\n};\n",
"module-type": "relinkfieldtype",
"title": "$:/plugins/flibbles/relink/js/fieldtypes/wikitext.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/fields.js": {
"text": "/*\\\n\nHandles all fields specified in the plugin configuration. Currently, this\nonly supports single-value fields.\n\n\\*/\n\n/*jslint node: false, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = 'fields';\n\nexports.report = function(tiddler, callback, options) {\n\tvar fields = options.settings.getFields();\n\t$tw.utils.each(fields, function(handler, field) {\n\t\tvar input = tiddler.fields[field];\n\t\tif (input) {\n\t\t\thandler.report(input, function(title, blurb) {\n\t\t\t\tif (blurb) {\n\t\t\t\t\tcallback(title, field + ': ' + blurb);\n\t\t\t\t} else {\n\t\t\t\t\tcallback(title, field);\n\t\t\t\t}\n\t\t\t}, options);\n\t\t}\n\t});\n};\n\nexports.relink = function(tiddler, fromTitle, toTitle, changes, options) {\n\tvar fields = options.settings.getFields();\n\t$tw.utils.each(fields, function(handler, field) {\n\t\tvar input = tiddler.fields[field];\n\t\tif (input) {\n\t\t\tvar entry = handler.relink(input, fromTitle, toTitle, options);\n\t\t\tif (entry !== undefined) {\n\t\t\t\tchanges[field] = entry;\n\t\t\t}\n\t\t}\n\t});\n};\n",
"module-type": "relinkoperator",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/fields.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text.js": {
"text": "/*\\\n\nDepending on the tiddler type, this will apply textOperators which may\nrelink titles within the body.\n\n\\*/\n\n/*jslint node: false, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar defaultOperator = \"text/vnd.tiddlywiki\";\nvar utils = require('$:/plugins/flibbles/relink/js/utils.js');\n\nexports.name = 'text';\n\nvar textOperators = utils.getModulesByTypeAsHashmap('relinktextoperator', 'type');\n\n// $:/DefaultTiddlers is a tiddler which has type \"text/vnd.tiddlywiki\",\n// but it lies. It doesn't contain wikitext. It contains a filter, so\n// we pretend it has a filter type.\n// If you want to be able to add more exceptions for your plugin, let me know.\nvar exceptions = {\n\t\"$:/DefaultTiddlers\": \"text/x-tiddler-filter\"\n};\n\nexports.report = function(tiddler, callback, options) {\n\tvar fields = tiddler.fields;\n\tif (fields.text) {\n\t\tvar type = exceptions[fields.title] || fields.type || defaultOperator;\n\t\tif (textOperators[type]) {\n\t\t\tvar entry = textOperators[type].report(tiddler, callback, options);\n\t\t}\n\t}\n};\n\nexports.relink = function(tiddler, fromTitle, toTitle, changes, options) {\n\tvar fields = tiddler.fields;\n\tif (fields.text) {\n\t\tvar type = exceptions[fields.title] || fields.type || defaultOperator;\n\t\tif (textOperators[type]) {\n\t\t\tvar entry = textOperators[type].relink(tiddler, fromTitle, toTitle, options);\n\t\t\tif (entry) {\n\t\t\t\tchanges.text = entry;\n\t\t\t}\n\t\t}\n\t}\n};\n",
"module-type": "relinkoperator",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/filtertext.js": {
"text": "/*\\\n\nThis relinks tiddlers which contain filters in their body, as oppose to\nwikitext.\n\n\\*/\n\n/*jslint node: false, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar filterHandler = require(\"$:/plugins/flibbles/relink/js/utils\").getType('filter');\n\nexports.type = 'text/x-tiddler-filter';\n\nexports.report = function(tiddler, callback, options) {\n\treturn filterHandler.report(tiddler.fields.text, callback, options);\n};\n\nexports.relink = function(tiddler, fromTitle, toTitle, options) {\n\treturn filterHandler.relink(tiddler.fields.text, fromTitle, toTitle, options)\n};\n",
"module-type": "relinktextoperator",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/filtertext.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext.js": {
"text": "/*\\\n\nChecks for fromTitle in a tiddler's text. If found, sees if it's relevant,\nand tries to swap it out if it is.\n\n\\*/\n\n/*jslint node: false, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar Placeholder = require(\"$:/plugins/flibbles/relink/js/utils/placeholder.js\");\nvar wikitextHandler = require('$:/plugins/flibbles/relink/js/utils.js').getType('wikitext');\n\nexports.type = 'text/vnd.tiddlywiki';\n\nexports.report = function(tiddler, callback, options) {\n\twikitextHandler.report(tiddler.fields.text, callback, options);\n};\n\nexports.relink = function(tiddler, fromTitle, toTitle, options) {\n\tvar placeholder = new Placeholder();\n\tvar currentOptions = Object.create(options);\n\tcurrentOptions.placeholder = placeholder;\n\tvar entry = wikitextHandler.relink(tiddler.fields.text, fromTitle, toTitle, currentOptions);\n\tif (entry && entry.output) {\n\t\t// If there's output, we've also got to prepend any macros\n\t\t// that the placeholder defined.\n\t\tvar preamble = placeholder.getPreamble();\n\t\tentry.output = preamble + entry.output;\n\t}\n\treturn entry;\n};\n",
"module-type": "relinktextoperator",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/code.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles code blocks. Or rather //doesn't// handle them, since we should\nignore their contents.\n\n\"`` [[Renamed Title]] ``\" will remain unchanged.\n\n\\*/\n\nexports.name = [\"codeinline\", \"codeblock\"];\n\nexports.relink = function(text) {\n\tvar reEnd;\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\t// I'm lazy. This relink method works for both codeblock and codeinline\n\tif (this.match[0].length > 2) {\n\t\t// Must be a codeblock\n\t\treEnd = /\\r?\\n```$/mg;\n\t} else {\n\t\t// Must be a codeinline\n\t\treEnd = new RegExp(this.match[1], \"mg\");\n\t}\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(text);\n\tif (match) {\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\treturn undefined;\n};\n\n// Same thing. Just skip the pos ahead.\nexports.report = exports.relink;\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/code.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/comment.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles comment blocks. Or rather //doesn't// handle them, since we should\nignore their contents.\n\n\"<!-- [[Renamed Title]] -->\" will remain unchanged.\n\n\\*/\n\nexports.name = [\"commentinline\", \"commentblock\"];\n\nexports.relink = function(text) {\n\tthis.parser.pos = this.endMatchRegExp.lastIndex;\n\treturn undefined;\n};\n\nexports.report = exports.relink;\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/comment.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/filteredtransclude.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles replacement of filtered transclusions in wiki text like,\n\n{{{ [tag[docs]] }}}\n{{{ [tag[docs]] |tooltip}}}\n{{{ [tag[docs]] ||TemplateTitle}}}\n{{{ [tag[docs]] |tooltip||TemplateTitle}}}\n{{{ [tag[docs]] }}width:40;height:50;}.class.class\n\nThis renames both the list and the template field.\n\n\\*/\n\nexports.name = ['filteredtranscludeinline', 'filteredtranscludeblock'];\n\nvar filterHandler = require(\"$:/plugins/flibbles/relink/js/utils\").getType('filter');\nvar utils = require(\"./utils.js\");\n\nexports.report = function(text, callback, options) {\n\tvar m = this.match,\n\t\tfilter = m[1],\n\t\ttemplate = $tw.utils.trim(m[3]),\n\t\tappend = template ? '||' + template + '}}}' : '}}}';\n\tfilterHandler.report(filter, function(title, blurb) {\n\t\tcallback(title, '{{{' + blurb + append);\n\t}, options);\n\tif (template) {\n\t\tcallback(template, '{{{' + $tw.utils.trim(filter).replace(/\\r?\\n/mg, ' ') + '||}}}');\n\t}\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar m = this.match,\n\t\tfilter = m[1],\n\t\ttooltip = m[2],\n\t\ttemplate = m[3],\n\t\tstyle = m[4],\n\t\tclasses = m[5],\n\t\tparser = this.parser,\n\t\tentry = {};\n\tparser.pos = this.matchRegExp.lastIndex;\n\tvar modified = false;\n\n\tvar filterEntry = filterHandler.relink(filter, fromTitle, toTitle, options);\n\tif (filterEntry !== undefined) {\n\t\tif (filterEntry.output) {\n\t\t\tfilter = filterEntry.output;\n\t\t\tmodified = true;\n\t\t}\n\t\tif (filterEntry.impossible) {\n\t\t\tentry.impossible = true;\n\t\t}\n\t}\n\n\tif ($tw.utils.trim(template) === fromTitle) {\n\t\t// preserves user-inputted whitespace\n\t\ttemplate = template.replace(fromTitle, toTitle);\n\t\tmodified = true;\n\t}\n\tif (!modified) {\n\t\tif (!entry.impossible) {\n\t\t\treturn undefined;\n\t\t}\n\t} else {\n\t\tvar output = this.makeFilteredtransclude(this.parser, filter, tooltip, template, style, classes);\n\t\tif (output === undefined) {\n\t\t\tentry.impossible = true;\n\t\t} else {\n\t\t\t// By copying over the ending newline of the original\n\t\t\t// text if present, thisrelink method thus works for\n\t\t\t// both the inline and block rule\n\t\t\tentry.output = output + utils.getEndingNewline(m[0]);\n\t\t}\n\t}\n\treturn entry;\n};\n\nexports.makeFilteredtransclude = function(parser, filter, tooltip, template, style, classes) {\n\tif (canBePretty(filter) && canBePrettyTemplate(template)) {\n\t\treturn prettyList(filter, tooltip, template, style, classes);\n\t}\n\tif (classes !== undefined) {\n\t\tclasses = classes.split('.').join(' ');\n\t}\n\treturn utils.makeWidget(parser, '$list', {\n\t\tfilter: filter,\n\t\ttooltip: tooltip,\n\t\ttemplate: template,\n\t\tstyle: style || undefined,\n\t\titemClass: classes});\n};\n\nfunction prettyList(filter, tooltip, template, style, classes) {\n\tif (tooltip === undefined) {\n\t\ttooltip = '';\n\t} else {\n\t\ttooltip = \"|\" + tooltip;\n\t}\n\tif (template === undefined) {\n\t\ttemplate = '';\n\t} else {\n\t\ttemplate = \"||\" + template;\n\t}\n\tif (classes === undefined) {\n\t\tclasses = '';\n\t} else {\n\t\tclasses = \".\" + classes;\n\t}\n\tstyle = style || '';\n\treturn \"{{{\"+filter+tooltip+template+\"}}\"+style+\"}\"+classes;\n};\n\nfunction canBePretty(filter) {\n\treturn filter.indexOf('|') < 0 && filter.indexOf('}}') < 0;\n};\n\nfunction canBePrettyTemplate(template) {\n\treturn !template || (\n\t\ttemplate.indexOf('|') < 0\n\t\t&& template.indexOf('{') < 0\n\t\t&& template.indexOf('}') < 0);\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/filteredtransclude.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/html.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles replacement in attributes of widgets and html elements\nThis is configurable to select exactly which attributes of which elements\nshould be changed.\n\n<$link to=\"TiddlerTitle\" />\n\n\\*/\n\nvar utils = require(\"./utils.js\");\nvar Rebuilder = require(\"$:/plugins/flibbles/relink/js/utils/rebuilder\");\nvar relinkUtils = require('$:/plugins/flibbles/relink/js/utils.js');\nvar refHandler = relinkUtils.getType('reference');\nvar filterHandler = relinkUtils.getType('filter');\nvar ImportContext = relinkUtils.getContext('import');\nvar macrocall = require(\"./macrocall.js\");\n\nexports.name = \"html\";\n\nexports.report = function(text, callback, options) {\n\tvar managedElement = this.parser.context.getAttribute(this.nextTag.tag);\n\tvar importFilterAttr;\n\tvar element = this.nextTag.tag;\n\tfor (var attributeName in this.nextTag.attributes) {\n\t\tvar attr = this.nextTag.attributes[attributeName];\n\t\tvar nextEql = text.indexOf('=', attr.start);\n\t\t// This is the rare case of changing tiddler\n\t\t// \"true\" to something else when \"true\" is\n\t\t// implicit, like <$link to /> We ignore those.\n\t\tif (nextEql < 0 || nextEql > attr.end) {\n\t\t\tcontinue;\n\t\t}\n\t\tif (this.nextTag.tag === \"$importvariables\" && attributeName === \"filter\") {\n\t\t\timportFilterAttr = attr;\n\t\t}\n\t\tvar oldLength, quotedValue = undefined, entry;\n\t\tif (attr.type === \"string\") {\n\t\t\tvar handler = getAttributeHandler(this.parser.context, this.nextTag, attributeName, options);\n\t\t\tif (!handler) {\n\t\t\t\t// We don't manage this attribute. Bye.\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\thandler.report(attr.value, function(title, blurb) {\n\t\t\t\tif (blurb) {\n\t\t\t\t\tcallback(title, '<' + element + ' ' + attributeName + '=\"' + blurb + '\" />');\n\t\t\t\t} else {\n\t\t\t\t\tcallback(title, '<' + element + ' ' + attributeName + ' />');\n\t\t\t\t}\n\t\t\t}, options);\n\t\t} else if (attr.type === \"indirect\") {\n\t\t\tentry = refHandler.report(attr.textReference, function(title, blurb) {\n\t\t\t\tcallback(title, '<' + element + ' ' + attributeName + '={{' + (blurb || '') + '}} />');\n\t\t\t}, options);\n\t\t} else if (attr.type === \"filtered\") {\n\t\t\tentry = filterHandler.report(attr.filter, function(title, blurb) {\n\t\t\t\tcallback(title, '<' + element + ' ' + attributeName + '={{{' + blurb + '}}} />');\n\t\t\t}, options);\n\t\t} else if (attr.type === \"macro\") {\n\t\t\tvar macro = attr.value;\n\t\t\tentry = macrocall.reportAttribute(this.parser, macro, function(title, blurb) {\n\t\t\t\tcallback(title, '<' + element + ' ' + attributeName + '=' + blurb + ' />');\n\t\t\t}, options);\n\t\t}\n\t\tif (quotedValue === undefined) {\n\t\t\tcontinue;\n\t\t}\n\t\tif (this.nextTag.tag === \"$importvariables\" && attributeName === \"filter\") {\n\t\t\t// If this is an import variable filter, we gotta\n\t\t\t// remember this new value when we import lower down.\n\t\t\timportFilterAttr = quotedValue;\n\t\t}\n\t}\n\tif (importFilterAttr) {\n\t\tprocessImportFilter(this.parser, importFilterAttr, options);\n\t}\n\tthis.parse();\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar managedElement = this.parser.context.getAttribute(this.nextTag.tag),\n\t\tbuilder = new Rebuilder(text, this.nextTag.start);\n\tvar importFilterAttr;\n\tvar widgetEntry = {};\n\twidgetEntry.attributes = Object.create(null);\n\twidgetEntry.element = this.nextTag.tag;\n\tfor (var attributeName in this.nextTag.attributes) {\n\t\tvar attr = this.nextTag.attributes[attributeName];\n\t\tvar nextEql = text.indexOf('=', attr.start);\n\t\t// This is the rare case of changing tiddler\n\t\t// \"true\" to something else when \"true\" is\n\t\t// implicit, like <$link to /> We ignore those.\n\t\tif (nextEql < 0 || nextEql > attr.end) {\n\t\t\tcontinue;\n\t\t}\n\t\tif (this.nextTag.tag === \"$importvariables\" && attributeName === \"filter\") {\n\t\t\timportFilterAttr = attr;\n\t\t}\n\t\tvar oldLength, quotedValue = undefined, entry;\n\t\tvar nestedOptions = Object.create(options);\n\t\tnestedOptions.settings = this.parser.context;\n\t\tswitch (attr.type) {\n\t\tcase 'string':\n\t\t\tvar handler = getAttributeHandler(this.parser.context, this.nextTag, attributeName, options);\n\t\t\tif (!handler) {\n\t\t\t\t// We don't manage this attribute. Bye.\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\tentry = handler.relink(attr.value, fromTitle, toTitle, nestedOptions);\n\t\t\tif (entry === undefined) {\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\tif (entry.output) {\n\t\t\t\tvar quote = utils.determineQuote(text, attr);\n\t\t\t\toldLength = attr.value.length + (quote.length * 2);\n\t\t\t\tquotedValue = utils.wrapAttributeValue(entry.output,quote);\n\t\t\t\tif (quotedValue === undefined) {\n\t\t\t\t\t// The value was unquotable. We need to make\n\t\t\t\t\t// a macro in order to replace it.\n\t\t\t\t\tif (!options.placeholder) {\n\t\t\t\t\t\t// but we can't...\n\t\t\t\t\t\tentry.impossible = true;\n\t\t\t\t\t} else {\n\t\t\t\t\t\tvar value = options.placeholder.getPlaceholderFor(entry.output,handler.name)\n\t\t\t\t\t\tquotedValue = \"<<\"+value+\">>\";\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t\tbreak;\n\t\tcase 'indirect':\n\t\t\tentry = refHandler.relinkInBraces(attr.textReference, fromTitle, toTitle, options);\n\t\t\tif (entry === undefined) {\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\tif (entry.output) {\n\t\t\t\t// +4 for '{{' and '}}'\n\t\t\t\toldLength = attr.textReference.length + 4;\n\t\t\t\tquotedValue = \"{{\"+entry.output+\"}}\";\n\t\t\t}\n\t\t\tbreak;\n\t\tcase 'filtered':\n\t\t\tentry = filterHandler.relinkInBraces(attr.filter, fromTitle, toTitle, options);\n\t\t\tif (entry === undefined) {\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\tif (entry.output) {\n\t\t\t\t// +6 for '{{{' and '}}}'\n\t\t\t\toldLength = attr.filter.length + 6;\n\t\t\t\tquotedValue = \"{{{\"+ entry.output +\"}}}\";\n\t\t\t}\n\t\t\tbreak;\n\t\tcase 'macro':\n\t\t\tvar macro = attr.value;\n\t\t\tentry = macrocall.relinkAttribute(this.parser, macro, text, fromTitle, toTitle, options);\n\t\t\tif (entry === undefined) {\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\tif (entry.output) {\n\t\t\t\t// already includes '<<' and '>>'\n\t\t\t\toldLength = macro.end-macro.start;\n\t\t\t\tquotedValue = entry.output;\n\t\t\t}\n\t\t}\n\t\tif (entry.impossible) {\n\t\t\twidgetEntry.impossible = true;\n\t\t}\n\t\tif (quotedValue === undefined) {\n\t\t\tcontinue;\n\t\t}\n\t\tif (this.nextTag.tag === \"$importvariables\" && attributeName === \"filter\") {\n\t\t\t// If this is an import variable filter, we gotta\n\t\t\t// remember this new value when we import lower down.\n\t\t\timportFilterAttr = quotedValue;\n\t\t}\n\t\t// We count backwards from the end to preserve whitespace\n\t\tvar valueStart = attr.end - oldLength;\n\t\tbuilder.add(quotedValue, valueStart, attr.end);\n\t}\n\tif (importFilterAttr) {\n\t\tprocessImportFilter(this.parser, importFilterAttr, options);\n\t}\n\tvar tag = this.parse()[0];\n\tif (tag.children) {\n\t\tfor (var i = 0; i < tag.children.length; i++) {\n\t\t\tvar child = tag.children[i];\n\t\t\tif (child.output) {\n\t\t\t\tbuilder.add(child.output, child.start, child.end);\n\t\t\t}\n\t\t\tif (child.impossible) {\n\t\t\t\twidgetEntry.impossible = true;\n\t\t\t}\n\t\t}\n\t}\n\tif (builder.changed() || widgetEntry.impossible) {\n\t\twidgetEntry.output = builder.results(this.parser.pos);\n\t\treturn widgetEntry;\n\t}\n\treturn undefined;\n};\n\n/** Returns the field handler for the given attribute of the given widget.\n * If this returns undefined, it means we don't handle it. So skip.\n */\nfunction getAttributeHandler(context, widget, attributeName, options) {\n\tif (widget.tag === \"$macrocall\") {\n\t\tvar nameAttr = widget.attributes[\"$name\"];\n\t\tif (nameAttr) {\n\t\t\tvar macro = context.getMacro(nameAttr.value);\n\t\t\tif (macro) {\n\t\t\t\treturn macro[attributeName];\n\t\t\t}\n\t\t}\n\t} else {\n\t\tvar element = context.getAttribute(widget.tag);\n\t\tif (element) {\n\t\t\treturn element[attributeName];\n\t\t}\n\t}\n\treturn undefined;\n};\n\nfunction computeAttribute(context, attribute, options) {\n\tvar value;\n\tif(attribute.type === \"filtered\") {\n\t\tvar parentWidget = context.widget;\n\t\tvalue = options.wiki.filterTiddlers(attribute.filter,parentWidget)[0] || \"\";\n\t} else if(attribute.type === \"indirect\") {\n\t\tvar parentWidget = context.widget;\n\t\tvalue = options.wiki.getTextReference(attribute.textReference,\"\",parentWidget.variables.currentTiddler.value);\n\t} else if(attribute.type === \"macro\") {\n\t\tvar parentWidget = context.widget;\n\t\tvalue = parentWidget.getVariable(attribute.value.name,{params: attribute.value.params});\n\t} else { // String attribute\n\t\tvalue = attribute.value;\n\t}\n\treturn value;\n};\n\n// This processes a <$importvariables> filter attribute and adds any new\n// variables to our parser.\nfunction processImportFilter(parser, importAttribute, options) {\n\tif (typeof importAttribute === \"string\") {\n\t\t// It was changed. Reparse it. It'll be a quoted\n\t\t// attribute value. Add a dummy attribute name.\n\t\timportAttribute = $tw.utils.parseAttribute(\"p=\"+importAttribute, 0)\n\t}\n\tvar context = parser.context;\n\tvar importFilter = computeAttribute(context, importAttribute, options);\n\tparser.context = new ImportContext(options.wiki, context, importFilter);\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/html.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/image.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles replacement in wiki text inline rules, like,\n\n[img[tiddler.jpg]]\n\n[img width=23 height=24 [Description|tiddler.jpg]]\n\n\\*/\n\nvar Rebuilder = require(\"$:/plugins/flibbles/relink/js/utils/rebuilder\");\nvar refHandler = require(\"$:/plugins/flibbles/relink/js/fieldtypes/reference\");\nvar filterHandler = require(\"$:/plugins/flibbles/relink/js/utils\").getType('filter');\nvar macrocall = require(\"./macrocall.js\");\nvar utils = require(\"./utils.js\");\n\nexports.name = \"image\";\n\nexports.report = function(text, callback, options) {\n\tvar ptr = this.nextImage.start + 4; //[img\n\tvar inSource = false;\n\tfor (var attributeName in this.nextImage.attributes) {\n\t\tvar attr = this.nextImage.attributes[attributeName];\n\t\tif (attributeName === \"source\" || attributeName === \"tooltip\") {\n\t\t\tif (inSource) {\n\t\t\t\tptr = text.indexOf('|', ptr);\n\t\t\t} else {\n\t\t\t\tptr = text.indexOf('[', ptr);\n\t\t\t\tinSource = true;\n\t\t\t}\n\t\t\tptr += 1;\n\t\t}\n\t\tif (attributeName === \"source\") {\n\t\t\tvar tooltip = this.nextImage.attributes.tooltip;\n\t\t\tvar blurb = '[img[' + (tooltip ? tooltip.value : '') + ']]';\n\t\t\tcallback(attr.value, blurb);\n\t\t\tptr = text.indexOf(attr.value, ptr);\n\t\t\tptr = text.indexOf(']]', ptr) + 2;\n\t\t} else if (attributeName !== \"tooltip\") {\n\t\t\tptr = reportAttribute(this.parser, attr, callback, options);\n\t\t}\n\t}\n\tthis.parser.pos = ptr;\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar ptr = this.nextImage.start,\n\t\tbuilder = new Rebuilder(text, ptr),\n\t\tmakeWidget = false,\n\t\tskipSource = false,\n\t\timageEntry;\n\tif (this.nextImage.attributes.source.value === fromTitle && !canBePretty(toTitle, this.nextImage.attributes.tooltip)) {\n\t\tif (this.parser.context.allowWidgets() && (utils.wrapAttributeValue(toTitle) || options.placeholder)) {\n\t\t\tmakeWidget = true;\n\t\t\tbuilder.add(\"<$image\", ptr, ptr+4);\n\t\t} else {\n\t\t\t// We won't be able to make a placeholder to replace\n\t\t\t// the source attribute. We check now so we don't\n\t\t\t// prematurely convert into a widget.\n\t\t\t// Keep going in case other attributes need replacing.\n\t\t\tskipSource = true;\n\t\t}\n\t}\n\tptr += 4; //[img\n\tvar inSource = false;\n\tfor (var attributeName in this.nextImage.attributes) {\n\t\tvar attr = this.nextImage.attributes[attributeName];\n\t\tif (attributeName === \"source\" || attributeName === \"tooltip\") {\n\t\t\tif (inSource) {\n\t\t\t\tptr = text.indexOf('|', ptr);\n\t\t\t} else {\n\t\t\t\tptr = text.indexOf('[', ptr);\n\t\t\t\tinSource = true;\n\t\t\t}\n\t\t\tif (makeWidget) {\n\t\t\t\tif (\" \\t\\n\".indexOf(text[ptr-1]) >= 0) {\n\t\t\t\t\tbuilder.add('', ptr, ptr+1);\n\t\t\t\t} else {\n\t\t\t\t\tbuilder.add(' ', ptr, ptr+1);\n\t\t\t\t}\n\t\t\t}\n\t\t\tptr += 1;\n\t\t}\n\t\tif (attributeName === \"source\") {\n\t\t\tptr = text.indexOf(attr.value, ptr);\n\t\t\tif (attr.value === fromTitle) {\n\t\t\t\tif (makeWidget) {\n\t\t\t\t\tvar quotedValue = utils.wrapAttributeValue(toTitle);\n\t\t\t\t\tif (quotedValue === undefined) {\n\t\t\t\t\t\tvar key = options.placeholder.getPlaceholderFor(toTitle);\n\t\t\t\t\t\tbuilder.add(\"source=<<\"+key+\">>\", ptr, ptr+fromTitle.length);\n\t\t\t\t\t} else {\n\t\t\t\t\t\tbuilder.add(\"source=\"+quotedValue, ptr, ptr+fromTitle.length);\n\t\t\t\t\t}\n\t\t\t\t} else if (!skipSource) {\n\t\t\t\t\tbuilder.add(toTitle, ptr, ptr+fromTitle.length);\n\t\t\t\t} else {\n\t\t\t\t\tbuilder.impossible = true;\n\t\t\t\t}\n\t\t\t}\n\t\t\tptr = text.indexOf(']]', ptr);\n\t\t\tif (makeWidget) {\n\t\t\t\tbuilder.add(\"/>\", ptr, ptr+2);\n\t\t\t}\n\t\t\tptr += 2;\n\t\t} else if (attributeName === \"tooltip\") {\n\t\t\tif (makeWidget) {\n\t\t\t\tptr = text.indexOf(attr.value, ptr);\n\t\t\t\tvar quotedValue = utils.wrapAttributeValue(attr.value);\n\t\t\t\tbuilder.add(\"tooltip=\"+quotedValue, ptr, ptr+attr.value.length);\n\t\t\t}\n\t\t} else {\n\t\t\tptr = relinkAttribute(this.parser, attr, builder, fromTitle, toTitle, options);\n\t\t}\n\t}\n\tthis.parser.pos = ptr;\n\tif (builder.changed() || builder.impossible) {\n\t\timageEntry = {\n\t\t\toutput: builder.results(ptr),\n\t\t\timpossible: builder.impossible };\n\t}\n\treturn imageEntry;\n};\n\nfunction reportAttribute(parser, attribute, callback, options) {\n\tvar text = parser.source;\n\tvar ptr = text.indexOf(attribute.name, attribute.start);\n\tvar end;\n\tptr += attribute.name.length;\n\tptr = text.indexOf('=', ptr);\n\tif (attribute.type === \"string\") {\n\t\tptr = text.indexOf(attribute.value, ptr)\n\t\tvar quote = utils.determineQuote(text, attribute);\n\t\t// ignore first quote. We already passed it\n\t\tend = ptr + quote.length + attribute.value.length;\n\t} else if (attribute.type === \"indirect\") {\n\t\tptr = text.indexOf('{{', ptr);\n\t\tvar end = ptr + attribute.textReference.length + 4;\n\t\trefHandler.report(attribute.textReference, function(title, blurb) {\n\t\t\tcallback(title, '[img ' + attribute.name + '={{' + (blurb || '') + '}}]');\n\t\t}, options);\n\t} else if (attribute.type === \"filtered\") {\n\t\tptr = text.indexOf('{{{', ptr);\n\t\tvar end = ptr + attribute.filter.length + 6;\n\t\tfilterHandler.report(attribute.filter, function(title, blurb) {\n\t\t\tcallback(title, '[img ' + attribute.name + '={{{' + blurb + '}}}]');\n\t\t}, options);\n\t} else if (attribute.type === \"macro\") {\n\t\tptr = text.indexOf(\"<<\", ptr);\n\t\tvar end = attribute.value.end;\n\t\tvar macro = attribute.value;\n\t\toldValue = attribute.value;\n\t\tmacrocall.reportAttribute(parser, macro, function(title, blurb) {\n\t\t\tcallback(title, '[img ' + attribute.name + '=' + blurb + ']');\n\t\t}, options);\n\t}\n\treturn end;\n};\n\nfunction relinkAttribute(parser, attribute, builder, fromTitle, toTitle, options) {\n\tvar text = builder.text;\n\tvar ptr = text.indexOf(attribute.name, attribute.start);\n\tvar end;\n\tptr += attribute.name.length;\n\tptr = text.indexOf('=', ptr);\n\tif (attribute.type === \"string\") {\n\t\tptr = text.indexOf(attribute.value, ptr)\n\t\tvar quote = utils.determineQuote(text, attribute);\n\t\t// ignore first quote. We already passed it\n\t\tend = ptr + quote.length + attribute.value.length;\n\t} else if (attribute.type === \"indirect\") {\n\t\tptr = text.indexOf('{{', ptr);\n\t\tvar end = ptr + attribute.textReference.length + 4;\n\t\tvar ref = refHandler.relinkInBraces(attribute.textReference, fromTitle, toTitle, options);\n\t\tif (ref) {\n\t\t\tif (ref.impossible) {\n\t\t\t\tbuilder.impossible = true;\n\t\t\t}\n\t\t\tif (ref.output) {\n\t\t\t\tbuilder.add(\"{{\"+ref.output+\"}}\", ptr, end);\n\t\t\t}\n\t\t}\n\t} else if (attribute.type === \"filtered\") {\n\t\tptr = text.indexOf('{{{', ptr);\n\t\tvar end = ptr + attribute.filter.length + 6;\n\t\tvar filter = filterHandler.relinkInBraces(attribute.filter, fromTitle, toTitle, options);\n\t\tif (filter !== undefined) {\n\t\t\tif (filter.impossible) {\n\t\t\t\tbuilder.impossible = true;\n\t\t\t}\n\t\t\tif (filter.output) {\n\t\t\t\tvar quoted = \"{{{\"+filter.output+\"}}}\";\n\t\t\t\tbuilder.add(quoted, ptr, end);\n\t\t\t}\n\t\t}\n\t} else if (attribute.type === \"macro\") {\n\t\tptr = text.indexOf(\"<<\", ptr);\n\t\tvar end = attribute.value.end;\n\t\tvar macro = attribute.value;\n\t\toldValue = attribute.value;\n\t\tvar macroEntry = macrocall.relinkAttribute(parser, macro, text, fromTitle, toTitle, options);\n\t\tif (macroEntry !== undefined) {\n\t\t\tif (macroEntry.impossible) {\n\t\t\t\tbuilder.impossible = true;\n\t\t\t}\n\t\t\tif (macroEntry.output) {\n\t\t\t\tbuilder.add(macroEntry.output, ptr, end);\n\t\t\t}\n\t\t}\n\t}\n\treturn end;\n};\n\nfunction canBePretty(title, tooltip) {\n\treturn title.indexOf(']') < 0 && (tooltip || title.indexOf('|') < 0);\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/image.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/import.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles import pragmas\n\n\\import [tag[MyTiddler]]\n\\*/\n\nvar utils = require(\"$:/plugins/flibbles/relink/js/utils.js\");\nvar filterRelinker = utils.getType('filter');\nvar ImportContext = utils.getContext('import');\n\nexports.name = \"import\";\n\nexports.report = function(text, callback, options) {\n\t// This moves the pos for us\n\tvar parseTree = this.parse();\n\tvar filter = parseTree[0].attributes.filter.value || '';\n\tfilterRelinker.report(filter, function(title, blurb) {\n\t\tif (blurb) {\n\t\t\tblurb = '\\\\import ' + blurb;\n\t\t} else {\n\t\t\tblurb = '\\\\import';\n\t\t}\n\t\tcallback(title, blurb);\n\t}, options);\n\t// Before we go, we need to actually import the variables\n\t// it's calling for, and any /relink pragma\n\tthis.parser.context = new ImportContext(options.wiki, this.parser.context, filter);\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\t// In this one case, I'll let the parser parse out the filter and move\n\t// the ptr.\n\tvar start = this.matchRegExp.lastIndex,\n\t\tparseTree = this.parse(),\n\t\tfilter = parseTree[0].attributes.filter.value || '',\n\t\tentry = filterRelinker.relink(filter, fromTitle, toTitle, options);\n\tif (entry !== undefined && entry.output) {\n\t\tvar newline = text.substring(start+filter.length, this.parser.pos);\n\t\tfilter = entry.output;\n\t\tentry.output = \"\\\\import \" + filter + newline;\n\t}\n\n\t// Before we go, we need to actually import the variables\n\t// it's calling for, and any /relink pragma\n\tthis.parser.context = new ImportContext(options.wiki, this.parser.context, filter);\n\n\treturn entry;\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/import.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/macrocall.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles macro calls.\n\n<<myMacro '[[MyFilter]]' 'myTitle'>>\n\n\\*/\n\nvar utils = require(\"./utils.js\");\nvar Rebuilder = require(\"$:/plugins/flibbles/relink/js/utils/rebuilder\");\nvar EntryNode = require('$:/plugins/flibbles/relink/js/utils/entry');\n\nexports.name = [\"macrocallinline\", \"macrocallblock\"];\n\n// Error thrown when a macro's definition is needed, but can't be found.\nfunction CannotFindMacroDef() {};\nCannotFindMacroDef.prototype.impossible = true;\nCannotFindMacroDef.prototype.name = \"macroparam\";\n// Failed relinks due to missing definitions aren't reported for now.\n// I may want to do something special later on.\nCannotFindMacroDef.prototype.report = function() { return []; };\n\nexports.report = function(text, callback, options) {\n\tvar macroInfo = getInfoFromRule(this);\n\tthis.parser.pos = macroInfo.end;\n\tthis.reportAttribute(this.parser, macroInfo, callback, options);\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar macroInfo = getInfoFromRule(this);\n\tvar managedMacro = this.parser.context.getMacro(macroInfo.name);\n\tthis.parser.pos = macroInfo.end;\n\tif (!managedMacro) {\n\t\t// We don't manage this macro. Bye.\n\t\treturn undefined;\n\t}\n\tvar mayBeWidget = this.parser.context.allowWidgets();\n\tvar names = getParamNames(this.parser, macroInfo.name, macroInfo.params, options);\n\tif (names === undefined) {\n\t\t// Needed the definition, and couldn't find it. So if a single\n\t\t// parameter needs to placeholder, just fail.\n\t\tmayBeWidget = false;\n\t}\n\tvar entry = relinkMacroInvocation(this.parser, macroInfo, text, fromTitle, toTitle, mayBeWidget, options);\n\tif (entry && entry.output) {\n\t\tentry.output = macroToString(entry.output, text, names, options);\n\t}\n\treturn entry;\n};\n\n/** Relinks macros that occur as attributes, like <$element attr=<<...>> />\n * Processes the same, except it can't downgrade into a widget if the title\n * is complicated.\n */\nexports.relinkAttribute = function(parser, macro, text, fromTitle, toTitle, options) {\n\tvar entry = relinkMacroInvocation(parser, macro, text, fromTitle, toTitle, false, options);\n\tif (entry && entry.output) {\n\t\tentry.output = macroToStringMacro(entry.output, text, options);\n\t}\n\treturn entry;\n};\n\n/** As in, report a macrocall invocation that is an html attribute. */\nexports.reportAttribute = function(parser, macro, callback, options) {\n\tvar managedMacro = parser.context.getMacro(macro.name);\n\tif (!managedMacro) {\n\t\t// We don't manage this macro. Bye.\n\t\treturn undefined;\n\t}\n\tfor (var managedArg in managedMacro) {\n\t\tvar index;\n\t\ttry {\n\t\t\tindex = getParamIndexWithinMacrocall(parser, macro.name, managedArg, macro.params, options);\n\t\t} catch (e) {\n\t\t\tcontinue;\n\t\t}\n\t\tif (index < 0) {\n\t\t\t// The argument was not supplied. Move on to next.\n\t\t\tcontinue;\n\t\t}\n\t\tvar param = macro.params[index];\n\t\tvar handler = managedMacro[managedArg];\n\t\tvar nestedOptions = Object.create(options);\n\t\tnestedOptions.settings = parser.context;\n\t\tvar entry = handler.report(param.value, function(title, blurb) {\n\t\t\tvar rtn = managedArg;\n\t\t\tif (blurb) {\n\t\t\t\trtn += ': \"' + blurb + '\"';\n\t\t\t}\n\t\t\tcallback(title, '<<' + macro.name + ' ' + rtn + '>>');\n\t\t}, nestedOptions);\n\t}\n};\n\n/**Processes the given macro,\n * macro: {name:, params:, start:, end:}\n * each parameters: {name:, end:, value:}\n * Macro invocation returned is the same, but relinked, and may have new keys:\n * parameters: {type: macro, start:, newValue: (quoted replacement value)}\n * Output of the returned entry isn't a string, but a macro object. It needs\n * to be converted.\n */\nfunction relinkMacroInvocation(parser, macro, text, fromTitle, toTitle, mayBeWidget, options) {\n\tvar managedMacro = parser.context.getMacro(macro.name);\n\tvar modified = false;\n\tif (!managedMacro) {\n\t\t// We don't manage this macro. Bye.\n\t\treturn undefined;\n\t}\n\tvar outMacro = $tw.utils.extend({}, macro);\n\tvar macroEntry = {};\n\toutMacro.params = macro.params.slice();\n\tfor (var managedArg in managedMacro) {\n\t\tvar index;\n\t\ttry {\n\t\t\tindex = getParamIndexWithinMacrocall(parser, macro.name, managedArg, macro.params, options);\n\t\t} catch (e) {\n\t\t\tif (e instanceof CannotFindMacroDef) {\n\t\t\t\tmacroEntry.impossible = true;\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t}\n\t\tif (index < 0) {\n\t\t\t// this arg either was not supplied, or we can't find\n\t\t\t// the definition, so we can't tie it to an anonymous\n\t\t\t// argument. Either way, move on to the next.\n\t\t\tcontinue;\n\t\t}\n\t\tvar param = macro.params[index];\n\t\tvar handler = managedMacro[managedArg];\n\t\tvar nestedOptions = Object.create(options);\n\t\tnestedOptions.settings = parser.context;\n\t\tvar entry = handler.relink(param.value, fromTitle, toTitle, nestedOptions);\n\t\tif (entry === undefined) {\n\t\t\tcontinue;\n\t\t}\n\t\t// Macro parameters can only be string parameters, not\n\t\t// indirect, or macro, or filtered\n\t\tif (entry.impossible) {\n\t\t\tmacroEntry.impossible = true;\n\t\t}\n\t\tif (!entry.output) {\n\t\t\tcontinue;\n\t\t}\n\t\tvar quote = utils.determineQuote(text, param);\n\t\tvar quoted = utils.wrapParameterValue(entry.output, quote);\n\t\tvar newParam = $tw.utils.extend({}, param);\n\t\tif (quoted === undefined) {\n\t\t\tif (!mayBeWidget || !options.placeholder) {\n\t\t\t\tmacroEntry.impossible = true;\n\t\t\t\tcontinue;\n\t\t\t}\n\t\t\tvar ph = options.placeholder.getPlaceholderFor(entry.output,handler.name);\n\t\t\tnewParam.newValue = \"<<\"+ph+\">>\";\n\t\t\tnewParam.type = \"macro\";\n\t\t} else {\n\t\t\tnewParam.start = newParam.end - (newParam.value.length + (quote.length*2));\n\t\t\tnewParam.value = entry.output;\n\t\t\tnewParam.newValue = quoted;\n\t\t}\n\t\toutMacro.params[index] = newParam;\n\t\tmodified = true;\n\t}\n\tif (modified || macroEntry.impossible) {\n\t\tif (modified) {\n\t\t\tmacroEntry.output = outMacro;\n\t\t}\n\t\treturn macroEntry;\n\t}\n\treturn undefined;\n};\n\nfunction getInfoFromRule(rule) {\n\t// Get all the details of the match\n\tvar macroInfo = rule.nextCall;\n\tif (!macroInfo) {\n\t\t// rule.match is used <v5.1.24\n\t\tvar match = rule.match,\n\t\t\toffset = $tw.utils.skipWhiteSpace(match[0], match[1].length+2);\n\t\tmacroInfo = {\n\t\t\tname: match[1],\n\t\t\tstart: rule.matchRegExp.lastIndex - match[0].length,\n\t\t\tend: rule.matchRegExp.lastIndex,\n\t\t};\n\t\tmacroInfo.params = parseParams(match[2], offset+macroInfo.start);\n\t}\n\treturn macroInfo;\n};\n\nfunction mustBeAWidget(macro) {\n\tfor (var i = 0; i < macro.params.length; i++) {\n\t\tif (macro.params[i].type === \"macro\") {\n\t\t\treturn true;\n\t\t}\n\t}\n\treturn false\n};\n\n/**Given a macro object ({name:, params:, start: end:}), and the text where\n * it was parsed from, returns a new macro that maintains any syntactic\n * structuring.\n */\nfunction macroToString(macro, text, names, options) {\n\tif (mustBeAWidget(macro)) {\n\t\tvar attrs = [];\n\t\tfor (var i = 0; i < macro.params.length; i++) {\n\t\t\tvar p = macro.params[i];\n\t\t\tvar val;\n\t\t\tif (p.newValue) {\n\t\t\t\tval = p.newValue;\n\t\t\t} else {\n\t\t\t\tval = utils.wrapAttributeValue(p.value);\n\t\t\t}\n\t\t\tattrs.push(\" \"+names[i]+\"=\"+val);\n\t\t}\n\t\treturn \"<$macrocall $name=\"+utils.wrapAttributeValue(macro.name)+attrs.join('')+\"/>\";\n\t} else {\n\t\treturn macroToStringMacro(macro, text, options);\n\t}\n};\n\nfunction macroToStringMacro(macro, text, options) {\n\tvar builder = new Rebuilder(text, macro.start);\n\tfor (var i = 0; i < macro.params.length; i++) {\n\t\tvar param = macro.params[i];\n\t\tif (param.newValue) {\n\t\t\tbuilder.add(param.newValue, param.start, param.end);\n\t\t}\n\t}\n\treturn builder.results(macro.end);\n};\n\n/** Returns -1 if param definitely isn't in macrocall.\n */\nfunction getParamIndexWithinMacrocall(parser, macroName, param, params, options) {\n\tvar index, i, anonsExist = false;\n\tfor (i = 0; i < params.length; i++) {\n\t\tvar name = params[i].name;\n\t\tif (name === param) {\n\t\t\treturn i;\n\t\t}\n\t\tif (name === undefined) {\n\t\t\tanonsExist = true;\n\t\t}\n\t}\n\tif (!anonsExist) {\n\t\t// If no anonymous parameters are present, and we didn't find\n\t\t// it among the named ones, it must not be there.\n\t\treturn -1;\n\t}\n\tvar expectedIndex = indexOfParameterDef(parser, macroName, param, options);\n\t// We've got to skip over all the named parameter instances.\n\tif (expectedIndex >= 0) {\n\t\tvar anonI = 0;\n\t\tfor (i = 0; i < params.length; i++) {\n\t\t\tif (params[i].name === undefined) {\n\t\t\t\tif (anonI === expectedIndex) {\n\t\t\t\t\treturn i;\n\t\t\t\t}\n\t\t\t\tanonI++;\n\t\t\t} else {\n\t\t\t\tvar indexOfOther = indexOfParameterDef(parser, macroName, params[i].name, options);\n\t\t\t\tif (indexOfOther < expectedIndex) {\n\t\t\t\t\tanonI++;\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t}\n\treturn -1;\n};\n\n// Looks up the definition of a macro, and figures out what the expected index\n// is for the given parameter.\nfunction indexOfParameterDef(parser, macroName, paramName, options) {\n\tvar def = parser.context.getMacroDefinition(macroName);\n\tif (def === undefined) {\n\t\tthrow new CannotFindMacroDef();\n\t}\n\tvar params = def.params || [];\n\tfor (var i = 0; i < params.length; i++) {\n\t\tif (params[i].name === paramName) {\n\t\t\treturn i;\n\t\t}\n\t}\n\treturn -1;\n};\n\nfunction getParamNames(parser, macroName, params, options) {\n\tvar used = Object.create(null);\n\tvar rtn = new Array(params.length);\n\tvar anonsExist = false;\n\tvar i;\n\tfor (i = 0; i < params.length; i++) {\n\t\tvar name = params[i].name;\n\t\tif (name) {\n\t\t\trtn[i] = name;\n\t\t\tused[name] = true;\n\t\t} else {\n\t\t\tanonsExist = true;\n\t\t}\n\t}\n\tif (anonsExist) {\n\t\tvar def = parser.context.getMacroDefinition(macroName);\n\t\tif (def === undefined) {\n\t\t\t// If there are anonymous parameters, and we can't\n\t\t\t// find the definition, then we can't hope to create\n\t\t\t// a widget.\n\t\t\treturn undefined;\n\t\t}\n\t\tvar defParams = def.params || [];\n\t\tvar defPtr = 0;\n\t\tfor (i = 0; i < params.length; i++) {\n\t\t\tif (rtn[i] === undefined) {\n\t\t\t\twhile(defPtr < defParams.length && used[defParams[defPtr].name]) {\n\t\t\t\t\tdefPtr++;\n\t\t\t\t}\n\t\t\t\tif (defPtr >= defParams.length) {\n\t\t\t\t\tbreak;\n\t\t\t\t}\n\t\t\t\trtn[i] = defParams[defPtr].name;\n\t\t\t\tused[defParams[defPtr].name] = true;\n\t\t\t}\n\t\t}\n\t}\n\treturn rtn;\n};\n\nfunction parseParams(paramString, pos) {\n\tvar params = [],\n\t\treParam = /\\s*(?:([A-Za-z0-9\\-_]+)\\s*:)?(?:\\s*(?:\"\"\"([\\s\\S]*?)\"\"\"|\"([^\"]*)\"|'([^']*)'|\\[\\[([^\\]]*)\\]\\]|([^\"'\\s]+)))/mg,\n\t\tparamMatch = reParam.exec(paramString);\n\twhile(paramMatch) {\n\t\t// Process this parameter\n\t\tvar paramInfo = { };\n\t\t// We need to find the group match that isn't undefined.\n\t\tfor (var i = 2; i <= 6; i++) {\n\t\t\tif (paramMatch[i] !== undefined) {\n\t\t\t\tparamInfo.value = paramMatch[i];\n\t\t\t\tbreak;\n\t\t\t}\n\t\t}\n\t\tif(paramMatch[1]) {\n\t\t\tparamInfo.name = paramMatch[1];\n\t\t}\n\t\t//paramInfo.start = pos;\n\t\tparamInfo.end = reParam.lastIndex + pos;\n\t\tparams.push(paramInfo);\n\t\t// Find the next match\n\t\tparamMatch = reParam.exec(paramString);\n\t}\n\treturn params;\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/macrocall.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/macrodef.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles pragma macro definitions. Except we only update placeholder macros\nthat we may have previously install.\n\n\\define relink-?() Tough title\n\n\\*/\n\nvar utils = require(\"$:/plugins/flibbles/relink/js/utils\");\nvar VariableContext = utils.getContext('variable');\n\nexports.name = \"macrodef\";\n\nexports.report = function(text, callback, options) {\n\tvar setParseTreeNode = this.parse(),\n\t\tm = this.match,\n\t\tname = m[1];\n\tthis.parser.context = new VariableContext(this.parser.context, setParseTreeNode[0]);\n\t// Parse set the pos pointer, but we don't want to skip the macro body.\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar endMatch = getBodyMatch(text, this.parser.pos, m[3]);\n\tif (endMatch) {\n\t\tvar value = endMatch[2],\n\t\t\thandler = utils.getType(getActiveType(name, m[2]) || 'wikitext');\n\t\tif (handler) {\n\t\t\tvar entry = handler.report(value, function(title, blurb) {\n\t\t\t\tvar macroStr = '\\\\define ' + name + '()';\n\t\t\t\tif (blurb) {\n\t\t\t\t\tmacroStr += ' ' + blurb;\n\t\t\t\t}\n\t\t\t\tcallback(title, macroStr);\n\t\t\t}, options);\n\t\t}\n\t\tthis.parser.pos = endMatch.index + endMatch[0].length;\n\t}\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar setParseTreeNode = this.parse(),\n\t\tentry,\n\t\tm = this.match,\n\t\tname = m[1],\n\t\tparams = m[2],\n\t\tmultiline = m[3];\n\tthis.parser.context = new VariableContext(this.parser.context, setParseTreeNode[0]);\n\t// Parse set the pos pointer, but we don't want to skip the macro body.\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar endMatch = getBodyMatch(text, this.parser.pos, multiline);\n\tif (endMatch) {\n\t\tvar value = endMatch[2],\n\t\t\ttype = getActiveType(name, params),\n\t\t\thandler = utils.getType(type || 'wikitext');\n\t\tif (handler) {\n\t\t\t// If this is an active relink placeholder, then let's remember it\n\t\t\tif (type && options.placeholder) {\n\t\t\t\toptions.placeholder.registerExisting(name, value);\n\t\t\t}\n\t\t\t// Relink the contents\n\t\t\tentry = handler.relink(value, fromTitle, toTitle, options);\n\t\t\tif (entry && entry.output) {\n\t\t\t\tentry.output = m[0] + endMatch[1] + entry.output + endMatch[0];\n\t\t\t}\n\t\t}\n\t\tthis.parser.pos = endMatch.index + endMatch[0].length;\n\t}\n\treturn entry;\n};\n\n// Return another match for the body, but tooled uniquely\n// m[1] = whitespace before body\n// m[2] = body\n// m.index + m[0].length -> end of match\nfunction getBodyMatch(text, pos, isMultiline) {\n\tvar whitespace,\n\t\tvalueRegExp;\n\tif (isMultiline) {\n\t\tvalueRegExp = /\\r?\\n\\\\end[^\\S\\n\\r]*(?:\\r?\\n|$)/mg;\n\t\twhitespace = '';\n\t} else {\n\t\tvalueRegExp = /(?:\\r?\\n|$)/mg;\n\t\tvar newPos = $tw.utils.skipWhiteSpace(text, pos);\n\t\twhitespace = text.substring(pos, newPos);\n\t\tpos = newPos;\n\t}\n\tvalueRegExp.lastIndex = pos;\n\tvar match = valueRegExp.exec(text);\n\tif (match) {\n\t\tmatch[1] = whitespace;\n\t\tmatch[2] = text.substring(pos, match.index);\n\t}\n\treturn match;\n};\n\nfunction getActiveType(macroName, parameters) {\n\tvar placeholder = /^relink-(?:(\\w+)-)?\\d+$/.exec(macroName);\n\t// normal macro or special placeholder?\n\tif (placeholder && parameters === '') {\n\t\treturn placeholder[1] || 'title';\n\t}\n\treturn undefined;\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/macrodef.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/prettylink.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles replacement in wiki text inline rules, like,\n\n[[Introduction]]\n\n[[link description|TiddlerTitle]]\n\n\\*/\n\nvar utils = require(\"./utils.js\");\n\nexports.name = \"prettylink\";\n\nexports.report = function(text, callback, options) {\n\tvar text = this.match[1],\n\t\tlink = this.match[2] || text;\n\tif (!$tw.utils.isLinkExternal(link)) {\n\t\tcallback(link, '[[' + text + ']]');\n\t}\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar caption, m = this.match;\n\tif (m[2] === fromTitle) {\n\t\t// format is [[caption|MyTiddler]]\n\t\tcaption = m[1];\n\t} else if (m[2] !== undefined || m[1] !== fromTitle) {\n\t\t// format is [[MyTiddler]], and it doesn't match\n\t\treturn undefined;\n\t}\n\tvar entry = { output: utils.makePrettylink(this.parser, toTitle, caption) };\n\tif (entry.output === undefined) {\n\t\tentry.impossible = true;\n\t}\n\treturn entry;\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/prettylink.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/relink.js": {
"text": "/*\\\nmodule-type: wikirule\n\nThis defines the \\relink inline pragma used to locally declare\nrelink rules for macros.\n\nIt takes care of providing its own relink and report rules.\n\n\\*/\n\nvar utils = require('$:/plugins/flibbles/relink/js/utils.js');\nvar language = require('$:/plugins/flibbles/relink/js/language.js');\n\nexports.name = \"relink\";\nexports.types = {pragma: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\tthis.matchRegExp = /^\\\\relink[^\\S\\n]+([^(\\s]+)([^\\r\\n]*)(\\r?\\n)?/mg;\n};\n\n/**This makes the widget that the macro library will later parse to determine\n * new macro relink state.\n *\n * It's a <$set> widget so it can appear BEFORE \\define pragma and not\n * prevent that pragma from being scooped up by importvariables.\n * (importvariables stops scooping as soon as it sees something besides $set) */\nexports.parse = function() {\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar macroName;\n\tvar macroParams = Object.create(null);\n\tvar error = undefined;\n\tvar rtn = [];\n\tvar self = this;\n\tthis.interpretSettings(function(macro, parameter, type) {\n\t\tmacroName = macro;\n\t\tif (type && !utils.getType(type)) {\n\t\t\terror = language.getString(\"Error/UnrecognizedType\",\n\t\t\t\t{variables: {type: type}, wiki: self.parser.wiki});\n\t\t}\n\t\tmacroParams[parameter] = type;\n\t});\n\t// If no macroname. Return nothing, this rule will be ignored by parsers\n\tif (macroName) {\n\t\tvar relink = Object.create(null);\n\t\trelink[macroName] = macroParams;\n\t\trtn.push({\n\t\t\ttype: \"set\",\n\t\t\tattributes: {\n\t\t\t\tname: {type: \"string\", value: \"\"}\n\t\t\t},\n\t\t\tchildren: [],\n\t\t\tisMacroDefinition: true,\n\t\t\trelink: relink});\n\t}\n\tif (error) {\n\t\trtn.push({\n\t\t\ttype: \"element\", tag: \"span\", attributes: {\n\t\t\t\t\"class\": {\n\t\t\t\t\ttype: \"string\",\n\t\t\t\t\tvalue: \"tc-error tc-relink-error\"\n\t\t\t\t}\n\t\t\t}, children: [\n\t\t\t\t{type: \"text\", text: error}\n\t\t\t]});\n\t}\n\treturn rtn;\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar parser = this.parser;\n\tvar currentTiddler = parser.context.widget.variables.currentTiddler.value;\n\tparser.pos = this.matchRegExp.lastIndex;\n\tthis.interpretSettings(function(macro, parameter, type) {\n\t\toptions.settings.addSetting(parser.wiki, macro, parameter, type, currentTiddler);\n\t});\n\t// Return nothing, because this rule is ignored by the parser\n\treturn undefined;\n};\n\nexports.interpretSettings = function(block) {\n\tvar paramString = this.match[2];\n\tif (paramString !== \"\") {\n\t\tvar macro = this.match[1];\n\t\tvar reParam = /\\s*([A-Za-z0-9\\-_]+)(?:\\s*:\\s*([^\\s]+))?/mg;\n\t\tvar paramMatch = reParam.exec(paramString);\n\t\twhile (paramMatch) {\n\t\t\tvar parameter = paramMatch[1];\n\t\t\tvar type = paramMatch[2];\n\t\t\tblock(macro, parameter, type);\n\t\t\tparamMatch = reParam.exec(paramString);\n\t\t}\n\t}\n};\n",
"module-type": "wikirule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/relink.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/rules.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nParses and acknowledges any pragma rules a tiddler has.\n\n\\rules except html wikilink\n\n\\*/\n\nexports.name = \"rules\";\n\n/**This is all we have to do. The rules rule doesn't parse. It just amends\n * the rules, which is exactly what I want it to do too.\n * It also takes care of moving the pos pointer forward.\n */\nexports.relink = function() {\n\tthis.parse();\n\treturn undefined;\n};\n\n// Same deal\nexports.report = exports.relink;\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/rules.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/syslink.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles sys links\n\n$:/sys/link\n\nbut not:\n\n~$:/sys/link\n\n\\*/\n\nvar utils = require(\"./utils.js\");\n\nexports.name = \"syslink\";\n\nexports.report = function(text, callback, options) {\n\tvar title = this.match[0];\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tif (title[0] !== \"~\") {\n\t\tcallback(title, '~' + title);\n\t}\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar entry = undefined;\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tif (this.match[0] === fromTitle && this.match[0][0] !== \"~\") {\n\t\tentry = {output: this.makeSyslink(toTitle, options)};\n\t\tif (entry.output === undefined) {\n\t\t\tentry.impossible = true;\n\t\t}\n\t}\n\treturn entry;\n};\n\nexports.makeSyslink = function(title, options) {\n\tvar match = title.match(this.matchRegExp);\n\tif (match && match[0] === title && title[0] !== \"~\") {\n\t\treturn title;\n\t} else {\n\t\treturn utils.makePrettylink(this.parser, title);\n\t}\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/syslink.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/transclude.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles replacement of transclusions in wiki text like,\n\n{{RenamedTiddler}}\n{{RenamedTiddler||TemplateTitle}}\n\nThis renames both the tiddler and the template field.\n\n\\*/\n\nvar refHandler = require(\"$:/plugins/flibbles/relink/js/fieldtypes/reference\");\nvar utils = require(\"./utils.js\");\n\nexports.name = ['transcludeinline', 'transcludeblock'];\n\nexports.report = function(text, callback, options) {\n\tvar m = this.match,\n\t\trefString = $tw.utils.trim(m[1]),\n\t\tref = parseTextReference(refString);\n\t\ttemplate = $tw.utils.trim(m[2]);\n\tif (ref.title) {\n\t\tvar suffix = '';\n\t\tif (ref.index) {\n\t\t\tsuffix = '##' + ref.index;\n\t\t} else if (ref.field) {\n\t\t\tsuffix = '!!' + ref.field;\n\t\t}\n\t\tif (template) {\n\t\t\tsuffix = suffix + '||' + template;\n\t\t}\n\t\tcallback(ref.title, '{{' + suffix + '}}')\n\t}\n\tif (template) {\n\t\tcallback(template, '{{' + refString + '||}}');\n\t}\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar m = this.match,\n\t\treference = parseTextReference(m[1]),\n\t\ttemplate = m[2],\n\t\tentry = undefined,\n\t\tmodified = false;\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tif ($tw.utils.trim(reference.title) === fromTitle) {\n\t\t// preserve user's whitespace\n\t\treference.title = reference.title.replace(fromTitle, toTitle);\n\t\tmodified = true;\n\t}\n\tif ($tw.utils.trim(template) === fromTitle) {\n\t\ttemplate = template.replace(fromTitle, toTitle);\n\t\tmodified = true;\n\t}\n\tif (modified) {\n\t\tvar output = this.makeTransclude(this.parser, reference, template);\n\t\tif (output) {\n\t\t\t// Adding any newline that might have existed is\n\t\t\t// what allows this relink method to work for both\n\t\t\t// the block and inline filter wikitext rule.\n\t\t\tentry = {output: output + utils.getEndingNewline(m[0])};\n\t\t} else {\n\t\t\tentry = {impossible: true}\n\t\t}\n\t}\n\treturn entry;\n};\n\n// I have my own because the core one is deficient for my needs.\nfunction parseTextReference(textRef) {\n\t// Separate out the title, field name and/or JSON indices\n\tvar reTextRef = /^([\\w\\W]*?)(?:!!(\\S[\\w\\W]*)|##(\\S[\\w\\W]*))?$/g;\n\t\tmatch = reTextRef.exec(textRef),\n\t\tresult = {};\n\tif(match) {\n\t\t// Return the parts\n\t\tresult.title = match[1];\n\t\tresult.field = match[2];\n\t\tresult.index = match[3];\n\t} else {\n\t\t// If we couldn't parse it\n\t\tresult.title = textRef\n\t}\n\treturn result;\n};\n\n/** This converts a reference and a template into a string representation\n * of a transclude.\n */\nexports.makeTransclude = function(parser, reference, template) {\n\tvar rtn;\n\tif (!canBePrettyTemplate(template)) {\n\t\tvar widget = utils.makeWidget(parser, '$transclude', {\n\t\t\ttiddler: $tw.utils.trim(template),\n\t\t\tfield: reference.field,\n\t\t\tindex: reference.index});\n\t\tif (reference.title && widget !== undefined) {\n\t\t\trtn = utils.makeWidget(parser, '$tiddler', {tiddler: $tw.utils.trim(reference.title)}, widget);\n\t\t} else {\n\t\t\trtn = widget;\n\t\t}\n\t} else if (!canBePrettyTitle(reference.title)) {\n\t\t// This block and the next account for the 1%...\n\t\tvar reducedRef = {field: reference.field, index: reference.index};\n\t\trtn = utils.makeWidget(parser, '$tiddler', {tiddler: $tw.utils.trim(reference.title)}, prettyTransclude(reducedRef, template));\n\t} else {\n\t\t// This block takes care of 99% of all cases\n\t\trtn = prettyTransclude(reference, template);\n\t}\n\treturn rtn;\n};\n\nfunction canBePrettyTitle(value) {\n\treturn refHandler.canBePretty(value) && canBePrettyTemplate(value);\n};\n\nfunction canBePrettyTemplate(value) {\n\treturn !value || (value.indexOf('}') < 0 && value.indexOf('{') < 0 && value.indexOf('|') < 0);\n};\n\nfunction prettyTransclude(textReference, template) {\n\tif (typeof textReference !== \"string\") {\n\t\ttextReference = refHandler.toString(textReference);\n\t}\n\tif (!textReference) {\n\t\ttextReference = '';\n\t}\n\tif (template !== undefined) {\n\t\treturn \"{{\"+textReference+\"||\"+template+\"}}\";\n\t} else {\n\t\treturn \"{{\"+textReference+\"}}\";\n\t}\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/transclude.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/utils.js": {
"text": "/*\\\nmodule-type: library\n\nUtility methods for the wikitext relink rules.\n\n\\*/\n\nexports.makeWidget = function(parser, tag, attributes, body) {\n\tif (!parser.context.allowWidgets()) {\n\t\treturn undefined;\n\t}\n\tvar string = '<' + tag;\n\tfor (var attr in attributes) {\n\t\tvar value = attributes[attr];\n\t\tif (value !== undefined) {\n\t\t\tvar quoted = exports.wrapAttributeValue(value);\n\t\t\tif (!quoted) {\n\t\t\t\tif (!parser.options.placeholder) {\n\t\t\t\t\t// It's not possible to make this widget\n\t\t\t\t\treturn undefined;\n\t\t\t\t}\n\t\t\t\tvar category = getPlaceholderCategory(parser.context, tag, attr);\n\t\t\t\tquoted = '<<' + parser.placeholder.getPlaceholderFor(value, category) + '>>';\n\t\t\t}\n\t\t\tstring += ' ' + attr + '=' + quoted;\n\t\t}\n\t}\n\tif (body !== undefined) {\n\t\tstring += '>' + body + '</' + tag + '>';\n\t} else {\n\t\tstring += '/>';\n\t}\n\treturn string;\n};\n\nfunction getPlaceholderCategory(context, tag, attribute) {\n\tvar element = context.getAttribute(tag);\n\tvar rule = element && element[attribute];\n\t// titles go to relink-\\d\n\t// plaintext goes to relink-plaintext-\\d\n\t// because titles are way more common, also legacy\n\tif (rule === undefined) {\n\t\treturn 'plaintext';\n\t} else {\n\t\trule = rule.fields.text;\n\t\tif (rule === 'title') {\n\t\t\trule = undefined;\n\t\t}\n\t\treturn rule;\n\t}\n};\n\nexports.makePrettylink = function(parser, title, caption) {\n\tvar output;\n\tif (parser.context.allowPrettylinks() && canBePrettylink(title, caption)) {\n\t\tif (caption !== undefined) {\n\t\t\toutput = \"[[\" + caption + \"|\" + title + \"]]\";\n\t\t} else {\n\t\t\toutput = \"[[\" + title + \"]]\";\n\t\t}\n\t} else if (caption !== undefined) {\n\t\tvar safeCaption = sanitizeCaption(parser, caption);\n\t\tif (safeCaption !== undefined) {\n\t\t\toutput = exports.makeWidget(parser, '$link', {to: title}, safeCaption);\n\t\t}\n\t} else if (exports.shorthandPrettylinksSupported(parser.wiki)) {\n\t\toutput = exports.makeWidget(parser, '$link', {to: title});\n\t} else if (parser.context.allowWidgets() && parser.placeholder) {\n\t\t// If we don't have a caption, we must resort to\n\t\t// placeholders anyway to prevent link/caption desync\n\t\t// from later relinks.\n\t\t// It doesn't matter whether the tiddler is quotable.\n\t\tvar ph = parser.placeholder.getPlaceholderFor(title);\n\t\toutput = \"<$link to=<<\"+ph+\">>><$text text=<<\"+ph+\">>/></$link>\";\n\t}\n\treturn output;\n};\n\n/**In version 5.1.20, Tiddlywiki made it so <$link to\"something\" /> would\n * use \"something\" as a caption. This is preferable. However, Relink works\n * going back to 5.1.14, so we need to have different handling for both\n * cases.\n */\nvar _supported;\nexports.shorthandPrettylinksSupported = function(wiki) {\n\tif (_supported === undefined) {\n\t\tvar test = wiki.renderText(\"text/plain\", \"text/vnd.tiddlywiki\", \"<$link to=test/>\");\n\t\t_supported = (test === \"test\");\n\t}\n\treturn _supported;\n};\n\n/**Return true if value can be used inside a prettylink.\n */\nfunction canBePrettylink(value, customCaption) {\n\treturn value.indexOf(\"]]\") < 0 && value[value.length-1] !== ']' && (customCaption !== undefined || value.indexOf('|') < 0);\n};\n\nfunction sanitizeCaption(parser, caption) {\n\tvar plaintext = parser.wiki.renderText(\"text/plain\", \"text/vnd.tiddlywiki\", caption);\n\tif (plaintext === caption && caption.indexOf(\"</$link>\") <= 0) {\n\t\treturn caption;\n\t} else {\n\t\treturn exports.makeWidget(parser, '$text', {text: caption});\n\t}\n};\n\n/**Finds an appropriate quote mark for a given value.\n *\n *Tiddlywiki doesn't have escape characters for attribute values. Instead,\n * we just have to find the type of quotes that'll work for the given title.\n * There exist titles that simply can't be quoted.\n * If it can stick with the preference, it will.\n *\n * return: Returns the wrapped value, or undefined if it's impossible to wrap\n */\nexports.wrapAttributeValue = function(value, preference) {\n\tvar whitelist = [\"\", \"'\", '\"', '\"\"\"'];\n\tvar choices = {\n\t\t\"\": function(v) {return !/([\\/\\s<>\"'=])/.test(v) && v.length > 0; },\n\t\t\"'\": function(v) {return v.indexOf(\"'\") < 0; },\n\t\t'\"': function(v) {return v.indexOf('\"') < 0; },\n\t\t'\"\"\"': function(v) {return v.indexOf('\"\"\"') < 0 && v[v.length-1] != '\"';}\n\t};\n\tif (choices[preference] && choices[preference](value)) {\n\t\treturn wrap(value, preference);\n\t}\n\tfor (var i = 0; i < whitelist.length; i++) {\n\t\tvar quote = whitelist[i];\n\t\tif (choices[quote](value)) {\n\t\t\treturn wrap(value, quote);\n\t\t}\n\t}\n\t// No quotes will work on this\n\treturn undefined;\n};\n\n/**Like wrapAttribute value, except for macro parameters, not attributes.\n *\n * These are more permissive. Allows brackets,\n * and slashes and '<' in unquoted values.\n */\nexports.wrapParameterValue = function(value, preference) {\n\tvar whitelist = [\"\", \"'\", '\"', '[[', '\"\"\"'];\n\tvar choices = {\n\t\t\"\": function(v) {return !/([\\s>\"'=])/.test(v); },\n\t\t\"'\": function(v) {return v.indexOf(\"'\") < 0; },\n\t\t'\"': function(v) {return v.indexOf('\"') < 0; },\n\t\t\"[[\": canBePrettyOperand,\n\t\t'\"\"\"': function(v) {return v.indexOf('\"\"\"') < 0 && v[v.length-1] != '\"';}\n\t};\n\tif (choices[preference] && choices[preference](value)) {\n\t\treturn wrap(value, preference);\n\t}\n\tfor (var i = 0; i < whitelist.length; i++) {\n\t\tvar quote = whitelist[i];\n\t\tif (choices[quote](value)) {\n\t\t\treturn wrap(value, quote);\n\t\t}\n\t}\n\t// No quotes will work on this\n\treturn undefined;\n};\n\nfunction wrap(value, wrapper) {\n\tvar wrappers = {\n\t\t\"\": function(v) {return v; },\n\t\t\"'\": function(v) {return \"'\"+v+\"'\"; },\n\t\t'\"': function(v) {return '\"'+v+'\"'; },\n\t\t'\"\"\"': function(v) {return '\"\"\"'+v+'\"\"\"'; },\n\t\t\"[[\": function(v) {return \"[[\"+v+\"]]\"; }\n\t};\n\tvar chosen = wrappers[wrapper];\n\tif (chosen) {\n\t\treturn chosen(value);\n\t} else {\n\t\treturn undefined;\n\t}\n};\n\nfunction canBePrettyOperand(value) {\n\treturn value.indexOf(']') < 0;\n};\n\n/**Given some text, and a param or attribute within that text, this returns\n * what type of quotation that attribute is using.\n *\n * param: An object in the form {end:, ...}\n */\nexports.determineQuote = function(text, param) {\n\tvar pos = param.end-1;\n\tif (text[pos] === \"'\") {\n\t\treturn \"'\";\n\t}\n\tif (text[pos] === '\"') {\n\t\tif (text.substr(pos-2, 3) === '\"\"\"') {\n\t\t\treturn '\"\"\"';\n\t\t} else {\n\t\t\treturn '\"';\n\t\t}\n\t}\n\tif (text.substr(pos-1,2) === ']]' && text.substr((pos-param.value.length)-3, 2) === '[[') {\n\t\treturn \"[[\";\n\t}\n\treturn '';\n};\n\n// Finds the newline at the end of a string and returns it. Empty string if\n// none exists.\nexports.getEndingNewline = function(string) {\n\tvar l = string.length;\n\tif (string[l-1] === '\\n') {\n\t\treturn (string[l-2] === '\\r') ? \"\\r\\n\" : \"\\n\";\n\t}\n\treturn \"\";\n};\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/utils.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/wikilink.js": {
"text": "/*\\\nmodule-type: relinkwikitextrule\n\nHandles CamelCase links\n\nWikiLink\n\nbut not:\n\n~WikiLink\n\n\\*/\n\nvar utils = require(\"./utils.js\");\n\nexports.name = \"wikilink\";\n\nexports.report = function(text, callback, options) {\n\tvar title = this.match[0],\n\t\tunlink = $tw.config.textPrimitives.unWikiLink;\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tif (title[0] !== unlink) {\n\t\tcallback(title, unlink + title);\n\t}\n};\n\nexports.relink = function(text, fromTitle, toTitle, options) {\n\tvar entry = undefined,\n\t\ttitle = this.match[0];\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tif (title === fromTitle && title[0] !== $tw.config.textPrimitives.unWikiLink) {\n\t\tentry = { output: this.makeWikilink(toTitle, options) };\n\t\tif (entry.output === undefined) {\n\t\t\tentry.impossible = true;\n\t\t}\n\t}\n\treturn entry;\n};\n\nexports.makeWikilink = function(title, options) {\n\tif (title.match(this.matchRegExp) && title[0] !== $tw.config.textPrimitives.unWikiLink) {\n\t\treturn title;\n\t} else {\n\t\treturn utils.makePrettylink(this.parser, title);\n\t}\n};\n",
"module-type": "relinkwikitextrule",
"title": "$:/plugins/flibbles/relink/js/relinkoperations/text/wikitext/wikilink.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/context.js": {
"text": "/*\\\n\nBase class for relink contexts.\n\n\\*/\n\nfunction Context() {\n};\n\nexports.context = Context;\n\n// This class does no special handling of fields, operators, or attributes.\n// we pass it along to the parent.\nContext.prototype.getFields = function() {\n\treturn this.parent.getFields();\n};\n\nContext.prototype.getOperator = function(name, index) {\n\treturn this.parent.getOperator(name, index);\n};\n\nContext.prototype.getOperators = function() {\n\treturn this.parent.getOperators();\n};\n\nContext.prototype.getAttribute = function(elementName) {\n\treturn this.parent.getAttribute(elementName);\n};\n\nContext.prototype.getAttributes = function() {\n\treturn this.parent.getAttributes();\n};\n\nContext.prototype.getMacro = function(macroName) {\n\treturn this.parent.getMacro(macroName);\n};\n\nContext.prototype.getMacros = function() {\n\treturn this.parent.getMacros();\n};\n\nContext.prototype.allowPrettylinks = function() {\n\treturn this.parent.allowPrettylinks();\n};\n\nContext.prototype.allowWidgets = function() {\n\treturn this.parent.allowWidgets();\n};\n\nContext.prototype.hasImports = function(value) {\n\treturn this.parent.hasImports(value);\n};\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/context.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/import.js": {
"text": "/*\\\n\nThis handles the fetching and distribution of relink settings.\n\n\\*/\n\nvar WidgetContext = require('./widget').widget;\n\nfunction ImportContext(wiki, parent, filter) {\n\tthis.parent = parent;\n\tthis.wiki = wiki;\n\tvar importWidget = createImportWidget(filter, this.wiki, this.parent.widget);\n\tthis._compileList(importWidget.tiddlerList);\n\t// This only works if only one filter is imported\n\tthis.widget = this.getBottom(importWidget);\n\t// Trickle this up, so that any containing tiddlercontext knows that this\n\t// tiddler does some importing, and must be checked regularly.\n\tparent.hasImports(true);\n};\n\nexports.import = ImportContext;\n\nImportContext.prototype = new WidgetContext();\n\nImportContext.prototype.changed = function(changes) {\n\treturn this.widget && this.widget.refresh(changes)\n};\n\nfunction createImportWidget(filter, wiki, parent) {\n\tvar widget = wiki.makeWidget( { tree: [{\n\t\ttype: \"importvariables\",\n\t\tattributes: {\n\t\t\t\"filter\": {\n\t\t\t\ttype: \"string\",\n\t\t\t\tvalue: filter\n\t\t\t}\n\t\t}\n\t}] }, { parentWidget: parent} );\n\tif (parent) {\n\t\tparent.children.push(widget);\n\t}\n\twidget.execute();\n\twidget.renderChildren();\n\tvar importWidget = widget.children[0];\n\treturn importWidget;\n};\n\nImportContext.prototype._compileList = function(titleList) {\n\tfor (var i = 0; i < titleList.length; i++) {\n\t\tvar parser = this.wiki.parseTiddler(titleList[i]);\n\t\tif (parser) {\n\t\t\tvar parseTreeNode = parser.tree[0];\n\t\t\twhile (parseTreeNode && parseTreeNode.type === \"set\") {\n\t\t\t\tif (parseTreeNode.relink) {\n\t\t\t\t\tfor (var macroName in parseTreeNode.relink) {\n\t\t\t\t\t\tvar parameters = parseTreeNode.relink[macroName];\n\t\t\t\t\t\tfor (paramName in parameters) {\n\t\t\t\t\t\t\tthis.addSetting(this.wiki, macroName, paramName, parameters[paramName], titleList[i]);\n\t\t\t\t\t\t}\n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t\tparseTreeNode = parseTreeNode.children && parseTreeNode.children[0];\n\t\t\t}\n\t\t}\n\t}\n};\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/import.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/tiddler.js": {
"text": "/*\\\n\nContext for a tiddler. Defines nothing but makes an entry point to test if\na tiddler must be refreshed.\n\n\\*/\n\nvar WidgetContext = require('./widget.js').widget;\n\nfunction TiddlerContext(wiki, parentContext, title) {\n\tthis.title = title;\n\tthis.parent = parentContext;\n\tvar globalWidget = parentContext && parentContext.widget;\n\tvar parentWidget = wiki.makeWidget(null, {parentWidget: globalWidget});\n\tparentWidget.setVariable('currentTiddler', title);\n\tthis.widget = wiki.makeWidget(null, {parentWidget: parentWidget});\n};\n\nexports.tiddler = TiddlerContext;\n\nTiddlerContext.prototype = new WidgetContext();\n\nTiddlerContext.prototype.changed = function(changes) {\n\treturn this.widget && this.widget.refresh(changes);\n};\n\n// By default, a tiddler context does not use imports, unless an import\n// statement is later discovered somewhere in the fields.\nTiddlerContext.prototype.hasImports = function(value) {\n\treturn this._hasImports || (this._hasImports = value);\n};\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/tiddler.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/variable.js": {
"text": "/*\\\n\nThis handles the context for variables. Either from $set, $vars, or \\define\n\n\\*/\n\nvar WidgetContext = require('./widget').widget;\n\nfunction VariableContext(parent, setParseTreeNode) {\n\tthis.parent = parent;\n\t// Now create a new widget and attach it.\n\tvar attachPoint = parent.widget;\n\tvar setWidget = attachPoint.makeChildWidget(setParseTreeNode);\n\tattachPoint.children.push(setWidget);\n\tsetWidget.computeAttributes();\n\tsetWidget.execute();\n\t// point our widget to bottom, where any other contexts would attach to\n\tthis.widget = this.getBottom(setWidget);\n};\n\nexports.variable = VariableContext;\n\nVariableContext.prototype = new WidgetContext();\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/variable.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/whitelist.js": {
"text": "/*\\\n\nThis top-level context manages settings inside the whitelist. It never has\na parent.\n\n\\*/\n\nvar utils = require('../utils');\nvar Context = require('./context').context;\n\nvar prefix = \"$:/config/flibbles/relink/\";\n\nfunction WhitelistContext(wiki) {\n\tbuild(this, wiki);\n};\n\nexports.whitelist = WhitelistContext;\n\nWhitelistContext.prototype = new Context();\n\n/**Hot directories are directories for which if anything changes inside them,\n * then Relink must completely rebuild its index.\n * By default, this includes the whitelist settings, but relink-titles also\n * includes its rules disabling directory.\n * This is the FIRST solution I came up with to this problem. If you're\n * looking at this, please make a github issue so I have a chance to understand\n * your needs. This is currently a HACK solution.\n */\nWhitelistContext.hotDirectories = [prefix];\n\nWhitelistContext.prototype.getAttribute = function(elementName) {\n\treturn this.attributes[elementName];\n};\n\nWhitelistContext.prototype.getAttributes = function() {\n\treturn flatten(this.attributes);\n};\n\nWhitelistContext.prototype.getFields = function() {\n\treturn this.fields;\n};\n\nWhitelistContext.prototype.getOperator = function(operatorName, operandIndex) {\n\tvar op = this.operators[operatorName];\n\treturn op && op[operandIndex || 1];\n};\n\nWhitelistContext.prototype.getOperators = function() {\n\tvar signatures = Object.create(null);\n\tfor (var op in this.operators) {\n\t\tvar operandSet = this.operators[op];\n\t\tfor (var index in operandSet) {\n\t\t\tvar entry = operandSet[index];\n\t\t\tsignatures[entry.key] = entry;\n\t\t}\n\t}\n\treturn signatures;\n};\n\nWhitelistContext.prototype.getMacro = function(macroName) {\n\treturn this.macros[macroName];\n};\n\nWhitelistContext.prototype.getMacros = function() {\n\treturn flatten(this.macros);\n};\n\nWhitelistContext.prototype.changed = function(changedTiddlers) {\n\tfor (var i = 0; i < WhitelistContext.hotDirectories.length; i++) {\n\t\tvar dir = WhitelistContext.hotDirectories[i];\n\t\tfor (var title in changedTiddlers) {\n\t\t\tif (title.substr(0, dir.length) === dir) {\n\t\t\t\treturn true;\n\t\t\t}\n\t\t}\n\t}\n\treturn false;\n};\n\nWhitelistContext.prototype.hasImports = function(value) {\n\t// We don't care if imports are used. This is the global level.\n\treturn false;\n};\n\n/**Factories define methods that create settings given config tiddlers.\n * for factory method 'example', it will be called once for each:\n * \"$:/config/flibbles/relink/example/...\" tiddler that exists.\n * the argument \"key\" will be set to the contents of \"...\"\n *\n * The reason I build relink settings in this convoluted way is to minimize\n * the number of times tiddlywiki has to run through EVERY tiddler looking\n * for relink config tiddlers.\n *\n * Also, by exporting \"factories\", anyone who extends relink can patch in\n * their own factory methods to create settings that are generated exactly\n * once per rename.\n */\nvar factories = {\n\tattributes: function(attributes, data, key) {\n\t\tvar elem = root(key);\n\t\tvar attr = key.substr(elem.length+1);\n\t\tattributes[elem] = attributes[elem] || Object.create(null);\n\t\tattributes[elem][attr] = data;\n\t},\n\tfields: function(fields, data, name) {\n\t\tfields[name] = data;\n\t},\n\tmacros: function(macros, data, key) {\n\t\t// We take the last index, not the first, because macro\n\t\t// parameters can't have slashes, but macroNames can.\n\t\tvar name = dir(key);\n\t\tvar arg = key.substr(name.length+1);\n\t\tmacros[name] = macros[name] || Object.create(null);\n\t\tmacros[name][arg] = data;\n\t},\n\toperators: function(operators, data, key) {\n\t\t// We take the last index, not the first, because the operator\n\t\t// may have a slash to indicate parameter number\n\t\tvar pair = key.split('/');\n\t\tvar name = pair[0];\n\t\tdata.key = key;\n\t\toperators[name] = operators[name] || Object.create(null);\n\t\toperators[name][pair[1] || 1] = data;\n\t}\n};\n\nfunction build(settings, wiki) {\n\tfor (var name in factories) {\n\t\tsettings[name] = Object.create(null);\n\t}\n\twiki.eachShadowPlusTiddlers(function(tiddler, title) {\n\t\tif (title.substr(0, prefix.length) === prefix) {\n\t\t\tvar remainder = title.substr(prefix.length);\n\t\t\tvar category = root(remainder);\n\t\t\tvar factory = factories[category];\n\t\t\tif (factory) {\n\t\t\t\tvar name = remainder.substr(category.length+1);\n\t\t\t\tvar data = utils.getType(tiddler.fields.text.trim());\n\t\t\t\tif (data) {\n\t\t\t\t\tdata.source = title;\n\t\t\t\t\t// Secret feature. You can access a config tiddler's\n\t\t\t\t\t// fields from inside the fieldtype handler. Cool\n\t\t\t\t\t// tricks can be done with this.\n\t\t\t\t\tdata.fields = tiddler.fields;\n\t\t\t\t\tfactory(settings[category], data, name);\n\t\t\t\t}\n\t\t\t}\n\t\t}\n\t});\n};\n\n/* Returns first bit of a path. path/to/tiddler -> path\n */\nfunction root(string) {\n\tvar index = string.indexOf('/');\n\tif (index >= 0) {\n\t\treturn string.substr(0, index);\n\t}\n};\n\n/* Returns all but the last bit of a path. path/to/tiddler -> path/to\n */\nfunction dir(string) {\n\tvar index = string.lastIndexOf('/');\n\tif (index >= 0) {\n\t\treturn string.substr(0, index);\n\t}\n}\n\n/* Turns {dir: {file1: 'value1', file2: 'value2'}}\n * into {dir/file1: 'value1', dir/file2: 'value2'}\n */\nfunction flatten(set) {\n\tvar signatures = Object.create(null);\n\tfor (var outerName in set) {\n\t\tvar setItem = set[outerName];\n\t\tfor (var innerName in setItem) {\n\t\t\tsignatures[outerName + \"/\" + innerName] = setItem[innerName];\n\t\t}\n\t}\n\treturn signatures;\n};\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/whitelist.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/widget.js": {
"text": "/*\\\n\nThis is a virtual subclass of context for contexts that exist within widgets\nof a specific tiddler.\n\nAll widget contexts must have a widget member.\n\n\\*/\n\nvar Context = require('./context.js').context;\nvar utils = require('$:/plugins/flibbles/relink/js/utils.js');\n\nfunction WidgetContext() {};\n\nexports.widget = WidgetContext;\n\nWidgetContext.prototype = new Context();\n\nWidgetContext.prototype.getMacroDefinition = function(variableName) {\n\t// widget.variables is prototyped, so it looks up into all its parents too\n\treturn this.widget.variables[variableName] || $tw.macros[variableName];\n};\n\nWidgetContext.prototype.addSetting = function(wiki, macroName, parameter, type, sourceTitle) {\n\tthis.macros = this.macros || Object.create(null);\n\tvar macro = this.macros[macroName];\n\ttype = type || utils.getDefaultType(wiki);\n\tif (macro === undefined) {\n\t\tmacro = this.macros[macroName] = Object.create(null);\n\t}\n\tvar handler = utils.getType(type);\n\tif (handler) {\n\t\thandler.source = sourceTitle;\n\t\t// We attach the fields of the defining tiddler for the benefit\n\t\t// of any 3rd party field types that want access to them.\n\t\tvar tiddler = wiki.getTiddler(sourceTitle);\n\t\thandler.fields = tiddler.fields;\n\t\tmacro[parameter] = handler;\n\t}\n};\n\nWidgetContext.prototype.getMacros = function() {\n\tvar signatures = this.parent.getMacros();\n\tif (this.macros) {\n\t\tfor (var macroName in this.macros) {\n\t\t\tvar macro = this.macros[macroName];\n\t\t\tfor (var param in macro) {\n\t\t\t\tsignatures[macroName + \"/\" + param] = macro[param];\n\t\t\t}\n\t\t}\n\t}\n\treturn signatures;\n};\n\n/**This does strange handling because it's possible for a macro to have\n * its individual parameters whitelisted in separate places.\n * Don't know WHY someone would do this, but it can happen.\n */\nWidgetContext.prototype.getMacro = function(macroName) {\n\tvar theseSettings = this.macros && this.macros[macroName];\n\tvar parentSettings;\n\tif (this.parent) {\n\t\tparentSettings = this.parent.getMacro(macroName);\n\t}\n\tif (theseSettings && parentSettings) {\n\t\t// gotta merge them without changing either. This is expensive,\n\t\t// but it'll happen rarely.\n\t\tvar rtnSettings = $tw.utils.extend(Object.create(null), theseSettings, parentSettings);\n\t\treturn rtnSettings;\n\t}\n\treturn theseSettings || parentSettings;\n};\n\n/**Returns the deepest descendant of the given widget.\n */\nWidgetContext.prototype.getBottom = function(widget) {\n\twhile (widget.children.length > 0) {\n\t\twidget = widget.children[0];\n\t}\n\treturn widget;\n};\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/widget.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/contexts/wikitext.js": {
"text": "/*\\\n\nContext for wikitext. It can contain rules about what's allowed in this\ncurrent layer of wikitext.\n\n\\*/\n\nvar WidgetContext = require('./widget.js').widget;\n\nfunction WikitextContext(parentContext) {\n\tthis.parent = parentContext;\n\tthis.widget = parentContext.widget;\n};\n\nexports.wikitext = WikitextContext;\n\nWikitextContext.prototype = new WidgetContext();\n\n// Unless this specific context has rules about it, widgets and prettyLInks are allowed.\nWikitextContext.prototype.allowWidgets = enabled;\nWikitextContext.prototype.allowPrettylinks = enabled;\n\nfunction enabled() { return true; };\n",
"module-type": "relinkcontext",
"title": "$:/plugins/flibbles/relink/js/contexts/wikitext.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/utils/backupIndexer.js": {
"text": "/*\\\nmodule-type: library\n\nThis is a backup indexer Relink uses if the real one is disabled, or we're\n<V5.1.23. It's not nearly as good, but it caches some.\n\n\\*/\n\n\"use strict\";\n\nvar utils = require(\"$:/plugins/flibbles/relink/js/utils.js\");\nvar TiddlerContext = utils.getContext('tiddler');\n\n// This is the indexer we use if the current wiki doesn't support indexers.\nfunction BackupIndexer(wiki) {\n\tthis.wiki = wiki;\n};\n\nmodule.exports = BackupIndexer;\n\nBackupIndexer.prototype.lookup = function(title) {\n\treturn getCache(this.wiki).lookup[title];\n};\n\nBackupIndexer.prototype.reverseLookup = function(title) {\n\tvar index = getCache(this.wiki);\n\tif (!index.reverse[title]) {\n\t\tvar record = Object.create(null);\n\t\tfor (var other in index.lookup) {\n\t\t\tif (index.lookup[other][title]) {\n\t\t\t\trecord[other] = index.lookup[other][title];\n\t\t\t}\n\t\t}\n\t\tindex.reverse[title] = record;\n\t}\n\treturn index.reverse[title];\n};\n\n/* The backup indexer doesn't bother caching relink reports. It would always\n * be called after a draft tiddler had changed, which would defeat the point.\n */\nBackupIndexer.prototype.relinkLookup = function(fromTitle, toTitle, options) {\n\tvar cache = getCache(this.wiki);\n\tif (cache.lastRelinkFrom === fromTitle && cache.lastRelinkTo === toTitle) {\n\t\toptions.cache = cache.lastRelinkCache;\n\t\treturn cache.lastRelink;\n\t}\n\tcache.lastRelink = utils.getRelinkResults(this.wiki, fromTitle, toTitle, cache.context, undefined, options);\n\tcache.lastRelinkFrom = fromTitle;\n\tcache.lastRelinkTo = toTitle;\n\tcache.lastRelinkCache = options.cache;\n\treturn cache.lastRelink;\n};\n\nfunction getCache(wiki) {\n\treturn wiki.getGlobalCache('relink', function() {\n\t\tvar tiddlerList = wiki.getRelinkableTitles();\n\t\tvar index = Object.create(null);\n\t\tvar wikiContext = utils.getWikiContext(wiki);\n\t\tfor (var i = 0; i < tiddlerList.length; i++) {\n\t\t\tvar title = tiddlerList[i];\n\t\t\tvar tiddler = wiki.getTiddler(title);\n\t\t\tif (tiddler && !tiddler.fields[\"plugin-type\"]) {\n\t\t\t\tvar context = new TiddlerContext(wiki, wikiContext, title);\n\t\t\t\tindex[title] = utils.getTiddlerRelinkReferences(wiki, title, context);\n\t\t\t}\n\t\t}\n\t\treturn {\n\t\t\tlookup: index,\n\t\t\treverse: Object.create(null),\n\t\t\tcontext: wikiContext};\n\t});\n};\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/utils/backupIndexer.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/utils/entry.js": {
"text": "/*\\\n\nEntries are deprecated. Don't use them. These classes are here just so that\nany 3rd party modules built for Relink V1 don't break.\n\nJust return an object like, {output: \"string\", impossible: true|undefined}\n\n\\*/\n\nfunction EntryNode() {\n\tthis.children = [];\n};\n\nmodule.exports = EntryNode;\n\n/** PURE VIRTUAL\n * EntryNode.prototype.report = function() -> [\"string\", ...]\n */\n\nEntryNode.newType = function() {\n\treturn EntryNode;\n};\n\nEntryNode.prototype.add = function(entry) {\n\tthis.children.push(entry);\n};\n\nfunction EntryCollection() {\n\tthis.children = Object.create(null);\n\tthis.types = Object.create(null);\n};\n\nEntryNode.newCollection = function(name) {\n\treturn EntryCollection;\n};\n\n// Again. I reiterate. Don't use this. All this is just legacy support.\nObject.defineProperty(EntryCollection, 'impossible', {\n\tget: function() {\n\t\tvar imp = this._impossible;\n\t\tthis.eachChild(function(child) { imp = imp || child.impossible; });\n\t\treturn imp;\n\t},\n\tset: function(impossible) {\n\t\tthis._impossible = true;\n\t}\n});\n\nEntryCollection.prototype.eachChild = function(method) {\n\tfor (var child in this.children) {\n\t\tmethod(this.children[child]);\n\t}\n};\n\nEntryCollection.prototype.addChild = function(child, name, type) {\n\tthis.children[name] = child;\n\tthis.types[name] = type;\n};\n\nEntryCollection.prototype.hasChildren = function() {\n\treturn Object.keys(this.children).length > 0;\n};\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/utils/entry.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/utils/placeholder.js": {
"text": "/*\\\n\nA method which doles out placeholders when requested, and constructs\nthe necessary supporting pragma when requested.\n\n\\*/\n\nvar utils = require('../utils');\n\nfunction Placeholder() {\n\tthis.placeholders = Object.create(null);\n\tthis.reverseMap = {};\n\tthis.used = Object.create(null);\n};\n\nmodule.exports = Placeholder;\n\nPlaceholder.prototype.getPlaceholderFor = function(value, category) {\n\tthis.reverseMap[category] = this.reverseMap[category] || Object.create(null);\n\tvar placeholder = this.reverseMap[category][value];\n\tif (placeholder) {\n\t\treturn placeholder;\n\t}\n\tvar config = (this.parser && this.parser.context) || utils.getWikiContext(this.parser.wiki);\n\tvar number = 0;\n\tvar prefix = \"relink-\"\n\tif (category && category !== \"title\") {\n\t\t// I don't like \"relink-title-1\". \"relink-1\" should be for\n\t\t// titles. lists, and filters can have descriptors though.\n\t\tprefix += category + \"-\";\n\t}\n\tdo {\n\t\tnumber += 1;\n\t\tplaceholder = prefix + number;\n\t} while (config.getMacroDefinition(placeholder) || this.used[placeholder]);\n\tthis.placeholders[placeholder] = value;\n\tthis.reverseMap[category][value] = placeholder;\n\tthis.used[placeholder] = true;\n\treturn placeholder;\n};\n\n// For registering placeholders that already existed\nPlaceholder.prototype.registerExisting = function(key, value) {\n\tthis.reverseMap[value] = key;\n\tthis.used[key] = true;\n};\n\nPlaceholder.prototype.getPreamble = function() {\n\tvar results = [];\n\tvar keys = Object.keys(this.placeholders);\n\tif (keys.length > 0) {\n\t\tkeys.sort();\n\t\tfor (var i = 0; i < keys.length; i++) {\n\t\t\tvar name = keys[i];\n\t\t\tvar val = this.placeholders[name];\n\t\t\tresults.push(\"\\\\define \"+name+\"() \"+val+\"\\n\");\n\t\t}\n\t}\n\treturn results.join('');\n};\n\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/utils/placeholder.js",
"type": "application/javascript"
},
"$:/plugins/flibbles/relink/js/utils/rebuilder.js": {
"text": "/*\\\n\nThis helper class aids in reconstructing an existing string with new parts.\n\n\\*/\n\nfunction Rebuilder(text, start) {\n\tthis.text = text;\n\tthis.index = start || 0;\n\tthis.pieces = [];\n};\n\nmodule.exports = Rebuilder;\n\n/**Pieces must be added consecutively.\n * Start and end are the indices in the old string specifying where to graft\n * in the new piece.\n */\nRebuilder.prototype.add = function(value, start, end) {\n\tthis.pieces.push(this.text.substring(this.index, start), value);\n\tthis.index = end;\n};\n\nRebuilder.prototype.changed = function() {\n\treturn this.pieces.length > 0;\n};\n\nRebuilder.prototype.results = function(end) {\n\tif (this.changed()) {\n\t\tthis.pieces.push(this.text.substring(this.index, end));\n\t\treturn this.pieces.join('');\n\t}\n\treturn undefined;\n};\n",
"module-type": "library",
"title": "$:/plugins/flibbles/relink/js/utils/rebuilder.js",
"type": "application/javascript"
},
"$:/config/flibbles/relink/attributes/$button/set": {
"title": "$:/config/flibbles/relink/attributes/$button/set",
"text": "reference"
},
"$:/config/flibbles/relink/attributes/$button/setTo": {
"title": "$:/config/flibbles/relink/attributes/$button/setTo",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$button/to": {
"title": "$:/config/flibbles/relink/attributes/$button/to",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$checkbox/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$checkbox/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$checkbox/tag": {
"title": "$:/config/flibbles/relink/attributes/$checkbox/tag",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$count/filter": {
"title": "$:/config/flibbles/relink/attributes/$count/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$draggable/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$draggable/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$draggable/filter": {
"title": "$:/config/flibbles/relink/attributes/$draggable/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$edit-bitmap/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$edit-bitmap/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$edit-text/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$edit-text/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$edit/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$edit/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$encrypt/filter": {
"title": "$:/config/flibbles/relink/attributes/$encrypt/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$fieldmangler/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$fieldmangler/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$fields/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$fields/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$image/source": {
"title": "$:/config/flibbles/relink/attributes/$image/source",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$importvariables/filter": {
"title": "$:/config/flibbles/relink/attributes/$importvariables/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$linkcatcher/to": {
"title": "$:/config/flibbles/relink/attributes/$linkcatcher/to",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$linkcatcher/set": {
"title": "$:/config/flibbles/relink/attributes/$linkcatcher/set",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$link/to": {
"title": "$:/config/flibbles/relink/attributes/$link/to",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$list/filter": {
"title": "$:/config/flibbles/relink/attributes/$list/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$list/template": {
"title": "$:/config/flibbles/relink/attributes/$list/template",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$list/editTemplate": {
"title": "$:/config/flibbles/relink/attributes/$list/editTemplate",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$list/emptyMessage": {
"title": "$:/config/flibbles/relink/attributes/$list/emptyMessage",
"text": "wikitext"
},
"$:/config/flibbles/relink/attributes/$list/history": {
"title": "$:/config/flibbles/relink/attributes/$list/history",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$navigator/story": {
"title": "$:/config/flibbles/relink/attributes/$navigator/story",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$navigator/history": {
"title": "$:/config/flibbles/relink/attributes/$navigator/history",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$radio/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$radio/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$range/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$range/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$reveal/stateTitle": {
"title": "$:/config/flibbles/relink/attributes/$reveal/stateTitle",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$select/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$select/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$setvariable/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$setvariable/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$setvariable/subtiddler": {
"title": "$:/config/flibbles/relink/attributes/$setvariable/subtiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$setvariable/filter": {
"title": "$:/config/flibbles/relink/attributes/$setvariable/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$set/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$set/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$set/subtiddler": {
"title": "$:/config/flibbles/relink/attributes/$set/subtiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$set/filter": {
"title": "$:/config/flibbles/relink/attributes/$set/filter",
"text": "filter"
},
"$:/config/flibbles/relink/attributes/$tiddler/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$tiddler/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$transclude/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$transclude/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$transclude/subtiddler": {
"title": "$:/config/flibbles/relink/attributes/$transclude/subtiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$view/tiddler": {
"title": "$:/config/flibbles/relink/attributes/$view/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/attributes/$view/subtiddler": {
"title": "$:/config/flibbles/relink/attributes/$view/subtiddler",
"text": "title"
},
"$:/plugins/flibbles/relink/configuration": {
"title": "$:/plugins/flibbles/relink/configuration",
"text": "<div class=\"tc-control-panel\">\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/flibbles/relink/Configuration]!has[draft.of]]\" \"$:/plugins/flibbles/relink/ui/configuration/Fields\">>\n</div>\n"
},
"$:/config/flibbles/relink/fields/caption": {
"title": "$:/config/flibbles/relink/fields/caption",
"text": "wikitext"
},
"$:/config/flibbles/relink/fields/filter": {
"title": "$:/config/flibbles/relink/fields/filter",
"text": "filter"
},
"$:/config/flibbles/relink/fields/list": {
"title": "$:/config/flibbles/relink/fields/list",
"text": "list"
},
"$:/config/flibbles/relink/fields/list-after": {
"title": "$:/config/flibbles/relink/fields/list-after",
"text": "title"
},
"$:/config/flibbles/relink/fields/list-before": {
"title": "$:/config/flibbles/relink/fields/list-before",
"text": "title"
},
"$:/config/flibbles/relink/fields/tags": {
"title": "$:/config/flibbles/relink/fields/tags",
"text": "list"
},
"$:/plugins/flibbles/relink/language/Buttons/Delete/Hint": {
"title": "$:/plugins/flibbles/relink/language/Buttons/Delete/Hint",
"text": "delete"
},
"$:/plugins/flibbles/relink/language/Buttons/LinkToInline/Hint": {
"title": "$:/plugins/flibbles/relink/language/Buttons/LinkToInline/Hint",
"text": "go to defining tiddler"
},
"$:/plugins/flibbles/relink/language/Buttons/NewAttribute/Hint": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewAttribute/Hint",
"text": "Specify a new widget/element attribute to be updated whenever a tiddler is renamed"
},
"$:/plugins/flibbles/relink/language/Buttons/NewAttribute/Caption": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewAttribute/Caption",
"text": "add"
},
"$:/plugins/flibbles/relink/language/Buttons/NewField/Hint": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewField/Hint",
"text": "Specify a new field to be updated whenever a tiddler is renamed"
},
"$:/plugins/flibbles/relink/language/Buttons/NewField/Caption": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewField/Caption",
"text": "add"
},
"$:/plugins/flibbles/relink/language/Buttons/NewOperator/Hint": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewOperator/Hint",
"text": "Specify a new filter operator to be considered whenever a tiddler is renamed"
},
"$:/plugins/flibbles/relink/language/Buttons/NewOperator/Caption": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewOperator/Caption",
"text": "add"
},
"$:/plugins/flibbles/relink/language/Buttons/NewParameter/Hint": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewParameter/Hint",
"text": "Specify a new macro parameter to be updated whenever a tiddler is renamed"
},
"$:/plugins/flibbles/relink/language/Buttons/NewParameter/Caption": {
"title": "$:/plugins/flibbles/relink/language/Buttons/NewParameter/Caption",
"text": "add"
},
"$:/plugins/flibbles/relink/language/Error/InvalidAttributeName": {
"title": "$:/plugins/flibbles/relink/language/Error/InvalidAttributeName",
"text": "Illegal characters in attribute name \"<$text text=<<attributeName>>/>\". Attributes cannot contain slashes ('/'), closing angle or square brackets ('>' or ']'), quotes or apostrophes ('\"' or \"'\"), equals ('='), or whitespace"
},
"$:/plugins/flibbles/relink/language/Error/InvalidElementName": {
"title": "$:/plugins/flibbles/relink/language/Error/InvalidElementName",
"text": "Illegal characters in element/widget name \"<$text text=<<elementName>>/>\". Element tags can only contain letters and the characters hyphen (`-`) and dollar sign (`$`)"
},
"$:/plugins/flibbles/relink/language/Error/InvalidMacroName": {
"title": "$:/plugins/flibbles/relink/language/Error/InvalidMacroName",
"text": "Illegal characters in macro name \"<$text text=<<macroName>>/>\". Macros cannot contain whitespace"
},
"$:/plugins/flibbles/relink/language/Error/InvalidParameterName": {
"title": "$:/plugins/flibbles/relink/language/Error/InvalidParameterName",
"text": "Illegal characters in parameter name \"<$text text=<<parameterName>>/>\". Parameters can only contain letters, digits, and the characters underscore (`_`) and hyphen (`-`)"
},
"$:/plugins/flibbles/relink/language/Error/RelinkFilterOperator": {
"title": "$:/plugins/flibbles/relink/language/Error/RelinkFilterOperator",
"text": "Filter Error: Unknown suffix for the 'relink' filter operator"
},
"$:/plugins/flibbles/relink/language/Error/ReportFailedRelinks": {
"title": "$:/plugins/flibbles/relink/language/Error/ReportFailedRelinks",
"text": "Relink could not update '<<from>>' to '<<to>>' inside the following tiddlers:"
},
"$:/plugins/flibbles/relink/language/Error/UnrecognizedType": {
"title": "$:/plugins/flibbles/relink/language/Error/UnrecognizedType",
"text": "Relink parse error: Unrecognized field type '<<type>>'"
},
"$:/plugins/flibbles/relink/language/Help/Attributes": {
"title": "$:/plugins/flibbles/relink/language/Help/Attributes",
"text": "See the <a href={{{[{$:/plugins/flibbles/relink!!demo}addsuffix[#Attributes]]}}}>Attributes documentation page</a> for details."
},
"$:/plugins/flibbles/relink/language/Help/Fields": {
"title": "$:/plugins/flibbles/relink/language/Help/Fields",
"text": "See the <a href={{{[{$:/plugins/flibbles/relink!!demo}addsuffix[#Fields]]}}}>Fields documentation page</a> for details."
},
"$:/plugins/flibbles/relink/language/Help/Macros": {
"title": "$:/plugins/flibbles/relink/language/Help/Macros",
"text": "See the <a href={{{[{$:/plugins/flibbles/relink!!demo}addsuffix[#Macros]]}}}>Macros documentation page</a> for details."
},
"$:/plugins/flibbles/relink/language/Help/Operators": {
"title": "$:/plugins/flibbles/relink/language/Help/Operators",
"text": "See the <a href={{{[{$:/plugins/flibbles/relink!!demo}addsuffix[#Operators]]}}}>Operators documentation page</a> for details."
},
"$:/plugins/flibbles/relink/language/TiddlerInfo/References/Empty": {
"title": "$:/plugins/flibbles/relink/language/TiddlerInfo/References/Empty",
"text": "No tiddlers contain any fields, links, macros, transclusions, or widgets referencing this one"
},
"$:/plugins/flibbles/relink/language/TiddlerInfo/References/Description": {
"title": "$:/plugins/flibbles/relink/language/TiddlerInfo/References/Description",
"text": "The following tiddlers contain fields, links, macros, transclusions, or widgets referencing this one:"
},
"$:/plugins/flibbles/relink/language/TiddlerInfo/References/Caption": {
"title": "$:/plugins/flibbles/relink/language/TiddlerInfo/References/Caption",
"text": "//Relink// References"
},
"$:/plugins/flibbles/relink/language/ui/Attributes/Caption": {
"title": "$:/plugins/flibbles/relink/language/ui/Attributes/Caption",
"text": "Attributes"
},
"$:/plugins/flibbles/relink/language/ui/Fields/Caption": {
"title": "$:/plugins/flibbles/relink/language/ui/Fields/Caption",
"text": "Fields"
},
"$:/plugins/flibbles/relink/language/ui/Macros/Caption": {
"title": "$:/plugins/flibbles/relink/language/ui/Macros/Caption",
"text": "Macros"
},
"$:/plugins/flibbles/relink/language/ui/Operators/Caption": {
"title": "$:/plugins/flibbles/relink/language/ui/Operators/Caption",
"text": "Operators"
},
"$:/plugins/flibbles/relink/license": {
"title": "$:/plugins/flibbles/relink/license",
"type": "text/vnd.tiddlywiki",
"text": "<a href={{$:/plugins/flibbles/relink!!demo}}>Relink Plugin</a> Copyright (c) 2019-<<now YYYY>> Cameron Fischer\n\n[[BSD 3-Clause License|https://raw.githubusercontent.com/flibbles/tw5-relink/master/LICENSE]]\n"
},
"$:/config/flibbles/relink/macros/csvtiddlers/filter": {
"title": "$:/config/flibbles/relink/macros/csvtiddlers/filter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/datauri/title": {
"title": "$:/config/flibbles/relink/macros/datauri/title",
"text": "title"
},
"$:/config/flibbles/relink/macros/jsontiddler/title": {
"title": "$:/config/flibbles/relink/macros/jsontiddler/title",
"text": "title"
},
"$:/config/flibbles/relink/macros/jsontiddlers/filter": {
"title": "$:/config/flibbles/relink/macros/jsontiddlers/filter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/list-links/filter": {
"title": "$:/config/flibbles/relink/macros/list-links/filter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/list-links-draggable/tiddler": {
"title": "$:/config/flibbles/relink/macros/list-links-draggable/tiddler",
"text": "title"
},
"$:/config/flibbles/relink/macros/list-links-draggable/itemTemplate": {
"title": "$:/config/flibbles/relink/macros/list-links-draggable/itemTemplate",
"text": "title"
},
"$:/config/flibbles/relink/macros/list-tagged-draggable/tag": {
"title": "$:/config/flibbles/relink/macros/list-tagged-draggable/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/list-tagged-draggable/itemTemplate": {
"title": "$:/config/flibbles/relink/macros/list-tagged-draggable/itemTemplate",
"text": "title"
},
"$:/config/flibbles/relink/macros/tabs/buttonTemplate": {
"title": "$:/config/flibbles/relink/macros/tabs/buttonTemplate",
"text": "title"
},
"$:/config/flibbles/relink/macros/tabs/default": {
"title": "$:/config/flibbles/relink/macros/tabs/default",
"text": "title"
},
"$:/config/flibbles/relink/macros/tabs/tabsList": {
"title": "$:/config/flibbles/relink/macros/tabs/tabsList",
"text": "filter"
},
"$:/config/flibbles/relink/macros/tabs/template": {
"title": "$:/config/flibbles/relink/macros/tabs/template",
"text": "title"
},
"$:/config/flibbles/relink/macros/tag/tag": {
"title": "$:/config/flibbles/relink/macros/tag/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/tag-pill/tag": {
"title": "$:/config/flibbles/relink/macros/tag-pill/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/timeline/subfilter": {
"title": "$:/config/flibbles/relink/macros/timeline/subfilter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/toc/tag": {
"title": "$:/config/flibbles/relink/macros/toc/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc/itemClassFilter": {
"title": "$:/config/flibbles/relink/macros/toc/itemClassFilter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/toc-expandable/tag": {
"title": "$:/config/flibbles/relink/macros/toc-expandable/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-expandable/itemClassFilter": {
"title": "$:/config/flibbles/relink/macros/toc-expandable/itemClassFilter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/toc-expandable/exclude": {
"title": "$:/config/flibbles/relink/macros/toc-expandable/exclude",
"text": "list"
},
"$:/config/flibbles/relink/macros/toc-selective-expandable/tag": {
"title": "$:/config/flibbles/relink/macros/toc-selective-expandable/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-selective-expandable/itemClassFilter": {
"title": "$:/config/flibbles/relink/macros/toc-selective-expandable/itemClassFilter",
"text": "filter"
},
"$:/config/flibbles/relink/macros/toc-selective-expandable/exclude": {
"title": "$:/config/flibbles/relink/macros/toc-selective-expandable/exclude",
"text": "list"
},
"$:/config/flibbles/relink/macros/toc-tabbed-external-nav/tag": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-external-nav/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-tabbed-external-nav/selectedTiddler": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-external-nav/selectedTiddler",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-tabbed-external-nav/unselectedText": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-external-nav/unselectedText",
"text": "wikitext"
},
"$:/config/flibbles/relink/macros/toc-tabbed-external-nav/missingText": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-external-nav/missingText",
"text": "wikitext"
},
"$:/config/flibbles/relink/macros/toc-tabbed-external-nav/template": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-external-nav/template",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/tag": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/tag",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/selectedTiddler": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/selectedTiddler",
"text": "title"
},
"$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/unselectedText": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/unselectedText",
"text": "wikitext"
},
"$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/missingText": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/missingText",
"text": "wikitext"
},
"$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/template": {
"title": "$:/config/flibbles/relink/macros/toc-tabbed-internal-nav/template",
"text": "title"
},
"$:/config/flibbles/relink/operators/list": {
"title": "$:/config/flibbles/relink/operators/list",
"text": "reference"
},
"$:/config/flibbles/relink/operators/tag": {
"title": "$:/config/flibbles/relink/operators/tag",
"text": "title"
},
"$:/config/flibbles/relink/operators/title": {
"title": "$:/config/flibbles/relink/operators/title",
"text": "title"
},
"$:/config/flibbles/relink/operators/field:title": {
"title": "$:/config/flibbles/relink/operators/field:title",
"text": "title"
},
"$:/language/EditTemplate/Title/Impossibles/Prompt": {
"title": "$:/language/EditTemplate/Title/Impossibles/Prompt",
"text": "''Warning:'' Not all references in the following tiddlers can be updated by //Relink// due to the complexity of the new title:"
},
"$:/language/EditTemplate/Title/References/Prompt": {
"title": "$:/language/EditTemplate/Title/References/Prompt",
"text": "The following tiddlers will be updated if relinking:"
},
"$:/language/EditTemplate/Title/Relink/Prompt": {
"title": "$:/language/EditTemplate/Title/Relink/Prompt",
"text": "Use //Relink// to update ''<$text text=<<fromTitle>>/>'' to ''<$text text=<<toTitle>>/>'' across all other tiddlers"
},
"$:/core/ui/EditTemplate/title": {
"title": "$:/core/ui/EditTemplate/title",
"tags": "$:/tags/EditTemplate",
"text": "<$edit-text field=\"draft.title\" class=\"tc-titlebar tc-edit-texteditor\" focus=\"true\" tabindex={{$:/config/EditTabIndex}}/>\n\n<$reveal state=\"!!draft.title\" type=\"nomatch\" text={{!!draft.of}} tag=\"div\">\n\n<$vars pattern=\"\"\"[\\|\\[\\]{}]\"\"\" bad-chars=\"\"\"`| [ ] { }`\"\"\">\n\n<$list filter=\"[all[current]regexp:draft.title<pattern>]\" variable=\"listItem\">\n\n<div class=\"tc-message-box\">\n\n{{$:/core/images/warning}} {{$:/language/EditTemplate/Title/BadCharacterWarning}}\n\n</div>\n\n</$list>\n\n</$vars>\n\n<$list filter=\"[{!!draft.title}!is[missing]]\" variable=\"listItem\">\n\n<div class=\"tc-message-box\">\n\n{{$:/core/images/warning}} {{$:/language/EditTemplate/Title/Exists/Prompt}}\n\n</div>\n\n</$list>\n\n<$list filter=\"[{!!draft.of}!is[missing]]\" variable=\"listItem\">\n\n<$vars fromTitle={{!!draft.of}} toTitle={{!!draft.title}}>\n\n<$checkbox tiddler=\"$:/config/RelinkOnRename\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> {{$:/language/EditTemplate/Title/Relink/Prompt}}</$checkbox>\n\n<$tiddler tiddler=<<fromTitle>> >\n\n<$list filter=\"[relink:wouldchange<toTitle>limit[1]]\" variable=\"listItem\">\n\n<$vars stateTiddler=<<qualify \"$:/state/edit/references\">> >\n\n<$set\n\tname=\"prompt\"\n\tfilter=\"[relink:wouldchange<toTitle>relink:impossible<toTitle>]\"\n\tvalue=\"EditTemplate/Title/Impossibles/Prompt\"\n\temptyValue=\"EditTemplate/Title/References/Prompt\" >\n<$reveal type=\"nomatch\" state=<<stateTiddler>> text=\"show\">\n<$button set=<<stateTiddler>> setTo=\"show\" class=\"tc-btn-invisible\">{{$:/core/images/right-arrow}}\n<$macrocall $name=lingo title=<<prompt>> />\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<stateTiddler>> text=\"show\">\n<$button set=<<stateTiddler>> setTo=\"hide\" class=\"tc-btn-invisible\">{{$:/core/images/down-arrow}}\n<$macrocall $name=lingo title=<<prompt>> />\n</$button>\n</$reveal>\n</$set>\n\n<$reveal type=\"match\" state=<<stateTiddler>> text=\"show\">\n<$list variable=\"listItem\" filter=\"[relink:wouldchange<toTitle>!title[$:/StoryList]sort[title]]\" template=\"$:/plugins/flibbles/relink/ui/ListItemTemplate\">\n</$list>\n</$reveal>\n\n</$vars>\n\n</$list>\n\n</$tiddler>\n\n</$vars>\n\n</$list>\n\n</$reveal>\n"
},
"$:/config/flibbles/relink/PluginLibrary": {
"title": "$:/config/flibbles/relink/PluginLibrary",
"caption": "//Relink// Library",
"url": "https://flibbles.github.io/tw5-relink/library/index.html",
"tags": "$:/tags/PluginLibrary",
"text": "The //Relink// library contains //Relink// as well as its supplemental plugins. It is maintained by Flibbles. See the [[github page|https://github.com/flibbles/tw5-relink]] for more information.\n"
},
"$:/plugins/flibbles/relink/readme": {
"title": "$:/plugins/flibbles/relink/readme",
"type": "text/vnd.tiddlywiki",
"text": "When renaming a tiddler, Relink can update the fields, filters, and widgets\nof all other tiddlers. However, it works through whitelisting.\n\nIt's already configured to update tiddler titles for all core widgets, filters,\nand fields, but the whitelists can be customized for each of this in the\nconfiguration panel.\n\nSee <a href={{$:/plugins/flibbles/relink!!source}}>the tw5-relink website</a> for more details and examples.\n"
},
"$:/config/flibbles/relink/settings/default-type": {
"title": "$:/config/flibbles/relink/settings/default-type",
"text": "title"
},
"$:/plugins/flibbles/relink/ui/ListItemTemplate": {
"title": "$:/plugins/flibbles/relink/ui/ListItemTemplate",
"text": "<$set\n\tname=\"classes\"\n\tfilter=\"[<listItem>relink:impossible<toTitle>]\"\n\tvalue=\"tc-menu-list-item tc-relink-impossible\"\n\temptyValue=\"tc-menu-list-item\">\n<div class=<<classes>>>\n<$link to=<<listItem>>><$text text=<<listItem>> /></$link>\n</div>\n</$set>\n"
},
"$:/plugins/flibbles/relink/ui/TiddlerInfo/References": {
"title": "$:/plugins/flibbles/relink/ui/TiddlerInfo/References",
"caption": "{{$:/plugins/flibbles/relink/language/TiddlerInfo/References/Caption}}",
"tags": "$:/tags/TiddlerInfo",
"text": "\\define lingo-base() $:/plugins/flibbles/relink/language/TiddlerInfo/\n\\define filter() [all[current]relink:backreferences[]!title[$:/StoryList]!prefix[$:/temp/]sort[title]]\n<$list filter=\"[subfilter<filter>first[]]\">\n\n<<lingo References/Description>>\n</$list>\n\n<table class=\"tc-relink-references-table\">\n<tbody>\n<$list filter=<<filter>> emptyMessage=<<lingo References/Empty>> variable=\"listItem\" template=\"$:/plugins/flibbles/relink/ui/TiddlerInfo/ReferencesTemplate\" />\n</tbody>\n</table>\n"
},
"$:/plugins/flibbles/relink/ui/TiddlerInfo/ReferencesTemplate": {
"title": "$:/plugins/flibbles/relink/ui/TiddlerInfo/ReferencesTemplate",
"text": "<tr class=\"tc-relink-references\">\n<td class=\"tc-relink-references-title\">\n<$link to=<<listItem>>/>\n</td>\n<td class=\"tc-relink-references-report\">\n<$list filter=\"[<listItem>relink:report<currentTiddler>]\">\n\n<$text text=<<currentTiddler>> />\n</$list>\n\n</td>\n</tr>\n"
},
"$:/plugins/flibbles/relink/ui/components/button-delete": {
"title": "$:/plugins/flibbles/relink/ui/components/button-delete",
"text": "\\define lingo-base() $:/plugins/flibbles/relink/language/Buttons/\n\\define prefix() $:/config/flibbles/relink/\n\n<$list filter=\"[all[current]prefix<prefix>]\" >\n<$button class=\"tc-btn-invisible\" tooltip={{$:/plugins/flibbles/relink/language/Buttons/Delete/Hint}}><$list filter=\"[all[current]is[tiddler]]\">\n<$action-deletetiddler $tiddler=<<currentTiddler>> />\n</$list><$list filter=\"[all[current]is[shadow]]\">\n<$action-setfield $tiddler=<<tiddlerName>> text=\"\" />\n</$list>\n{{$:/core/images/delete-button}}\n</$button>\n</$list><$list filter=\"[all[current]!prefix<prefix>]\">\n<$link><$button class=\"tc-btn-invisible\" tooltip={{$:/plugins/flibbles/relink/language/Buttons/LinkToInline/Hint}}>{{$:/core/images/link}}</$button></$link>\n</$list>\n"
},
"$:/plugins/flibbles/relink/ui/components/select-fieldtype": {
"title": "$:/plugins/flibbles/relink/ui/components/select-fieldtype",
"text": "\\define prefix() $:/config/flibbles/relink/\n\n<$vars type={{{ [<signature>relink:type[]] }}} >\n<$list filter=\"[all[current]prefix<prefix>]\" >\n<$select tiddler=<<currentTiddler>> >\n<$list variable=\"option\" filter=\"[relink:types[]]\">\n<option><$text text=<<option>> /></option>\n</$list>\n</$select>\n</$list><$list filter=\"[all[current]!prefix<prefix>]\">\n<$text text=<<type>> />\n</$list>\n</$vars>\n"
},
"$:/plugins/flibbles/relink/ui/components/tables": {
"title": "$:/plugins/flibbles/relink/ui/components/tables",
"text": "\\define .make-table(title, plugin, default-table-state:yes)\n\n<$list variable=\"render\" filter=\"[relink:signatures<__plugin__>prefix<__category__>first[]]\">\n<$set name=\"table-state\" value=<<qualify \"\"\"$:/state/flibbles/relink/tables/$title$\"\"\">>>\n<tr><th class=\"tc-relink-header-plugin\" colspan=<<column-count>> >\n<$reveal type=\"nomatch\" state=<<table-state>> text=\"yes\" default=\"\"\"$default-table-state$\"\"\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<table-state>> setTo=\"yes\">\n{{$:/core/images/right-arrow}} ''$title$''\n</$button>\n</$reveal>\n<$reveal type=\"match\" state=<<table-state>> text=\"yes\" default=\"\"\"$default-table-state$\"\"\">\n<$button class=\"tc-btn-invisible tc-btn-dropdown\" set=<<table-state>> setTo=\"no\">\n{{$:/core/images/down-arrow}} ''$title$''\n</$button>\n</$reveal>\n</th></tr>\n<$list\n\tvariable=\"signature\"\n\tfilter=\"[relink:signatures<__plugin__>prefix<__category__>sort[]]\">\n<$vars key={{{ [<signature>removeprefix<__category__>removeprefix[/]] }}} >\n<$tiddler tiddler={{{[<signature>relink:source[]]}}} >\n<$reveal tag=\"tr\" type=\"match\" state=<<table-state>> text=\"yes\" default=\"\"\"$default-table-state$\"\"\">\n<$macrocall $name=<<__list-row-macro__>> signature=<<signature>> />\n<td class=\"tc-relink-column-type\">{{||$:/plugins/flibbles/relink/ui/components/select-fieldtype}}</td>\n<td class=\"tc-relink-column-delete\">{{||$:/plugins/flibbles/relink/ui/components/button-delete}}</td>\n</$reveal>\n</$tiddler>\n</$vars>\n</$list>\n</$set>\n</$list>\n\\end\n\n\\define tables(category, list-row-macro, header-list)\n<$vars\n\tcolumn-count={{{[enlist<__header-list__>] [[DeleteColumn]] +[count[]]}}}>\n\n<table class=\"tc-relink-whitelist\"><tbody>\n<tr>\n<$list variable=\"header\" filter=\"[enlist<__header-list__>butlast[]]\"><th><<header>></th></$list>\n<!-- We have the last column extend into the delete/link column, which is unlabeled. -->\n<th colspan=2><$text text={{{ [enlist<__header-list__>last[]] }}} /></th>\n</tr>\n\n<<.make-table Custom \"\" yes>>\n\n<$list\n\tfilter=\"[plugin-type[plugin]![$:/core]![$:/plugins/flibbles/relink]]\">\n<$set name=\"subtitle\" value={{!!description}} emptyValue={{!!title}} >\n<$macrocall $name=\".make-table\" title=<<subtitle>> plugin=<<currentTiddler>> />\n</$set>\n</$list>\n\n<<.make-table Core \"$:/plugins/flibbles/relink\">>\n\n</tbody></table>\n</$vars>\n\\end\n"
},
"$:/plugins/flibbles/relink/ui/configuration/Attributes": {
"title": "$:/plugins/flibbles/relink/ui/configuration/Attributes",
"caption": "{{$:/plugins/flibbles/relink/language/ui/Attributes/Caption}}",
"tags": "$:/tags/flibbles/relink/Configuration",
"text": "\\import $:/plugins/flibbles/relink/ui/components/tables\n\\define prefix-attr() $:/config/flibbles/relink/attributes/\n\\define lingo-base() $:/plugins/flibbles/relink/language/Buttons/\n\\define element-name-tiddler() $:/state/flibbles/relink/element-name\n\\define attribute-name-tiddler() $:/state/flibbles/relink/attribute-name\n\n\\define row()\n<$set name=\"element\"\n filter=\"[<key>splitbefore[/]removesuffix[/]]\">\n<$set name=\"attribute\"\n filter=\"[<key>removeprefix<element>removeprefix[/]]\">\n<td><$text text=<<element>> /></td>\n<td><$text text=<<attribute>> /></td>\n</$set></$set>\n\\end\n\\define body()\n\n{{$:/plugins/flibbles/relink/language/Help/Attributes}}\n\n<em class=\"tc-edit\">Add a new attribute:</em>\n<$edit-text\n\ttiddler=<<element-name-tiddler>>\n\ttag=\"input\"\n\tdefault=\"\"\n\tplaceholder=\"widget/element\" />\n<$edit-text\n\ttiddler=<<attribute-name-tiddler>>\n\ttag=\"input\"\n\tdefault=\"\"\n\tplaceholder=\"attribute\" />\n<$reveal type=\"nomatch\" text=\"\" state=<<element-name-tiddler>> >\n<$reveal type=\"nomatch\" text=\"\" state=<<attribute-name-tiddler>> >\n<$relinkmangler>\n<$button\n\ttooltip={{$(lingo-base)$NewAttribute/Hint}}\n\taria-label={{$(lingo-base)$NewAttribute/Caption}}>\n<$action-sendmessage\n\t$message=\"relink-add-attribute\"\n\telement={{$(element-name-tiddler)$}}\n\tattribute={{$(attribute-name-tiddler)$}} />\n<$action-deletetiddler $tiddler=<<attribute-name-tiddler>> />\n<$action-deletetiddler $tiddler=<<element-name-tiddler>> />\n<$text text={{$(lingo-base)$NewAttribute/Caption}}/>\n</$button>\n</$relinkmangler>\n</$reveal>\n<$reveal type=\"match\" text=\"\" state=<<attribute-name-tiddler>> >\n<$button>\n<$text text={{$(lingo-base)$NewAttribute/Caption}}/>\n</$button>\n</$reveal>\n</$reveal>\n<$reveal type=\"match\" text=\"\" state=<<element-name-tiddler>> >\n<$button>\n<$text text={{$(lingo-base)$NewAttribute/Caption}}/>\n</$button>\n</$reveal>\n\n<$macrocall\n\t$name=tables\n\tcategory=\"attributes\"\n\theader-list=\"[[Widget/HTML Element]] Attribute Type\"\n\tlist-row-macro=\"row\" />\n\\end\n\n<<body>>\n"
},
"$:/plugins/flibbles/relink/ui/configuration/Fields": {
"title": "$:/plugins/flibbles/relink/ui/configuration/Fields",
"caption": "{{$:/plugins/flibbles/relink/language/ui/Fields/Caption}}",
"tags": "$:/tags/flibbles/relink/Configuration",
"text": "\\import $:/plugins/flibbles/relink/ui/components/tables\n\\define lingo-base() $:/plugins/flibbles/relink/language/Buttons/\n\\define field-name-tiddler() $:/state/flibbles/relink/field-name\n\n\\define row()\n<td><$text text=<<key>> /></td>\n\\end\n\\define body()\n\n{{$:/plugins/flibbles/relink/language/Help/Fields}}\n\n<em class=\"tc-edit\">Add a new field:</em>\n<$edit-text\n\ttiddler=<<field-name-tiddler>>\n\ttag=\"input\"\n\tdefault=\"\"\n\tplaceholder=\"field name\" />\n<$reveal type=\"nomatch\" text=\"\" state=<<field-name-tiddler>> >\n<$relinkmangler>\n<$button\n\ttooltip={{$(lingo-base)$NewField/Hint}}\n\taria-label={{$(lingo-base)$NewField/Caption}}>\n<$action-sendmessage\n\t$message=\"relink-add-field\"\n\tfield={{$(field-name-tiddler)$}} />\n<$action-deletetiddler $tiddler=<<field-name-tiddler>> />\n<$text text={{$(lingo-base)$NewField/Caption}}/>\n</$button>\n</$relinkmangler>\n</$reveal>\n<$reveal type=\"match\" text=\"\" state=<<field-name-tiddler>> >\n<$button>\n<$text text={{$(lingo-base)$NewField/Caption}}/>\n</$button>\n</$reveal>\n\n\n<$macrocall\n\t$name=tables\n\tcategory=\"fields\"\n\theader-list=\"[[Field Name]] [[Field Type]]\"\n\tlist-row-macro=\"row\" />\n\\end\n\n<<body>>\n"
},
"$:/plugins/flibbles/relink/ui/configuration/Macros": {
"title": "$:/plugins/flibbles/relink/ui/configuration/Macros",
"caption": "{{$:/plugins/flibbles/relink/language/ui/Macros/Caption}}",
"tags": "$:/tags/flibbles/relink/Configuration",
"text": "\\import $:/plugins/flibbles/relink/ui/components/tables\n\\define prefix-macro() $:/config/flibbles/relink/macros/\n\\define lingo-base() $:/plugins/flibbles/relink/language/Buttons/\n\\define macro-name-tiddler() $:/state/flibbles/relink/macro-name\n\\define parameter-name-tiddler() $:/state/flibbles/relink/parameter-name\n\n\\define row()\n<$set name=\"parameter\"\n filter=\"[<key>relink:splitafter[/]]\">\n<$set name=\"macro\"\n filter=\"[<key>removesuffix<parameter>removesuffix[/]]\">\n<td><$text text=<<macro>> /></td>\n<td><$text text=<<parameter>> /></td>\n</$set></$set>\n\\end\n\\define body()\n\n{{$:/plugins/flibbles/relink/language/Help/Macros}}\n\n<em class=\"tc-edit\">Add a new macro parameter:</em>\n<$edit-text\n\ttiddler=<<macro-name-tiddler>>\n\ttag=\"input\"\n\tdefault=\"\"\n\tplaceholder=\"macro\" />\n<$edit-text\n\ttiddler=<<parameter-name-tiddler>>\n\ttag=\"input\"\n\tdefault=\"\"\n\tplaceholder=\"parameter\" />\n<$reveal type=\"nomatch\" text=\"\" state=<<macro-name-tiddler>> >\n<$reveal type=\"nomatch\" text=\"\" state=<<parameter-name-tiddler>> >\n<$relinkmangler>\n<$button\n\ttooltip={{$(lingo-base)$NewParameter/Hint}}\n\taria-label={{$(lingo-base)$NewParameter/Caption}}>\n<$action-sendmessage\n\t$message=\"relink-add-parameter\"\n\tmacro={{$(macro-name-tiddler)$}}\n\tparameter={{$(parameter-name-tiddler)$}} />\n<$action-deletetiddler $tiddler=<<parameter-name-tiddler>> />\n<$action-deletetiddler $tiddler=<<macro-name-tiddler>> />\n<$text text={{$(lingo-base)$NewParameter/Caption}}/>\n</$button>\n</$relinkmangler>\n</$reveal>\n<$reveal type=\"match\" text=\"\" state=<<parameter-name-tiddler>> >\n<$button>\n<$text text={{$(lingo-base)$NewParameter/Caption}}/>\n</$button>\n</$reveal>\n</$reveal>\n<$reveal type=\"match\" text=\"\" state=<<macro-name-tiddler>> >\n<$button>\n<$text text={{$(lingo-base)$NewParameter/Caption}}/>\n</$button>\n</$reveal>\n\n\n<$macrocall\n\t$name=tables\n\tcategory=\"macros\"\n\theader-list=\"Macro Parameter Type\"\n\tlist-row-macro=\"row\" />\n\\end\n\n<<body>>\n"
},
"$:/plugins/flibbles/relink/ui/configuration/Operators": {
"title": "$:/plugins/flibbles/relink/ui/configuration/Operators",
"caption": "{{$:/plugins/flibbles/relink/language/ui/Operators/Caption}}",
"tags": "$:/tags/flibbles/relink/Configuration",
"text": "\\import $:/plugins/flibbles/relink/ui/components/tables\n\\define lingo-base() $:/plugins/flibbles/relink/language/Buttons/\n\\define operator-name-tiddler() $:/state/flibbles/relink/operator-name\n\n\\define row()\n<td><$text text=<<key>> /></td>\n\\end\n\\define body()\n\n{{$:/plugins/flibbles/relink/language/Help/Operators}}\n\n<em class=\"tc-edit\">Add a new filter operator:</em>\n<$edit-text\n\ttiddler=<<operator-name-tiddler>>\n\ttag=\"input\"\n\tdefault=\"\"\n\tplaceholder=\"operator name\" />\n<$reveal type=\"nomatch\" text=\"\" state=<<operator-name-tiddler>>>\n<$relinkmangler>\n<$button\n\ttooltip={{$(lingo-base)$NewOperator/Hint}}\n\taria-label={{$(lingo-base)$NewOperator/Caption}}>\n<$action-sendmessage\n\t$message=\"relink-add-operator\"\n\toperator={{$(operator-name-tiddler)$}} />\n<$action-deletetiddler $tiddler=<<operator-name-tiddler>> />\n<$text text={{$(lingo-base)$NewOperator/Caption}}/>\n</$button>\n</$relinkmangler>\n</$reveal>\n<$reveal type=\"match\" text=\"\" state=<<operator-name-tiddler>>>\n<$button>\n<$text text={{$(lingo-base)$NewOperator/Caption}}/>\n</$button>\n</$reveal>\n\n<$macrocall\n\t$name=tables\n\tcategory=\"operators\"\n\theader-list=\"[[Filter Operator]] [[Operand Type]]\"\n\tlist-row-macro=\"row\" />\n\\end\n\n<<body>>\n"
},
"$:/plugins/flibbles/relink/ui/stylesheet.css": {
"title": "$:/plugins/flibbles/relink/ui/stylesheet.css",
"text": ".tc-relink-references {\n}\n\n.tc-relink-references-table {\n\twidth: 100%;\n\tborder: none;\n}\n\n.tc-relink-references-table td {\n\tborder-left: none;\n}\n\n.tc-relink-references-table tr:first-child td {\n\tborder-top: none;\n}\n\n.tc-relink-references-title {\n\ttext-align: left;\n\tvertical-align: top;\n}\n\n.tc-relink-references-occurrence {\n\tfont-style: italic;\n\ttext-align: left;\n\tfont-weight: 200;\n\tpadding-left: 25px;\n\tvertical-align: top;\n}\n\n.tc-relink-header-plugin {\n\ttext-align: left;\n}\n\n.tc-relink-header-plugin button {\n\twidth: 100%\n}\n\n.tc-relink-column-type {\n\twidth: 8em;\n}\n\n.tc-relink-column-type select {\n\twidth: 100%;\n}\n\n.tc-relink-column-delete {\n\tborder-left: none;\n\ttext-align: left;\n}\n\n.tc-relink-column-delete button {\n\tpadding-left: 1em;\n}\n\n.tc-relink-impossible a.tc-tiddlylink {\n\tcolor: red;\n}\n",
"tags": "$:/tags/Stylesheet",
"type": "text/css"
}
}
}
{"tiddlers":{"$:/plugins/kookma/commander/buttons/pagecontrol":{"title":"$:/plugins/kookma/commander/buttons/pagecontrol","caption":"{{$:/plugins/kookma/commander/images/file-alt}} {{$:/language/Buttons/Commander/Caption}}","created":"20190724145015836","description":"Open tiddler commander","list-after":"$:/core/ui/Buttons/advanced-search","modified":"20210102140141074","tags":"$:/tags/PageControls","type":"text/vnd.tiddlywiki","text":"\\whitespace trim\n\\define commander-button(class)\n<$button to=\"$:/Commander\" tooltip={{$:/language/Buttons/Commander/Hint}} aria-label={{$:/language/Buttons/Commander/Caption}} class=\"\"\"$(tv-config-toolbar-class)$ $class$\"\"\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/plugins/kookma/commander/images/commander.svg}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$:/language/Buttons/Commander/Caption}}/></span>\n</$list>\n</$button>\n\\end\n\n<$list filter=\"[list[$:/StoryList]] +[field:title[$:/Commander]]\" emptyMessage=<<commander-button>>>\n<<commander-button \"tc-selected\">>\n</$list>\n"},"$:/plugins/kookma/commander/config/AutoFocus":{"title":"$:/plugins/kookma/commander/config/AutoFocus","created":"20190212055413944","modified":"20200324070652337","tags":"","type":"text/vnd.tiddlywiki","text":"yes"},"$:/plugins/kookma/commander/config/commonfields":{"title":"$:/plugins/kookma/commander/config/commonfields","created":"20200114192650891","modified":"20200324070652345","tags":"","type":"text/vnd.tiddlywiki","text":"created creator modified modifier revision bag"},"$:/plugins/kookma/commander/config/minlength":{"title":"$:/plugins/kookma/commander/config/minlength","created":"20200110122842113","modified":"20200324070652355","tags":"","type":"text/vnd.tiddlywiki","text":"3"},"$:/plugins/kookma/commander/config/relink":{"title":"$:/plugins/kookma/commander/config/relink","created":"20190911153159143","modified":"20200324070652362","tags":"","type":"text/vnd.tiddlywiki","text":"\\define relink-plugin-notExisted() Update title in //tags// and //list// fields of other tiddlers\n<$checkbox tiddler=\"$:/config/RelinkOnRename\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\">\n<$list filter=\"[[$:/plugins/flibbles/relink]] +[has[title]]\" emptyMessage=<<relink-plugin-notExisted>> >\nUse //Relink// to update title across all other tiddlers\n</$list>\n</$checkbox>"},"$:/plugins/kookma/commander/config/systemfields":{"title":"$:/plugins/kookma/commander/config/systemfields","created":"20200106164439016","modified":"20200324070652372","tags":"","type":"text/vnd.tiddlywiki","text":"title text tags \ncreated modified craetor modifier \ntype bag revision\nlist caption"},"$:/plugins/kookma/commander/field/macros/add":{"title":"$:/plugins/kookma/commander/field/macros/add","created":"20200112073000701","modified":"20200325055206697","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define add-new-field-bulk(datafieldsTid:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<<create-log-tiddler \"add-new-field-bulk\">>\n<$vars datafieldsTid=<<__datafieldsTid__>> >\n<$list filter=\"[subfilter<searchfilter>]\" variable=\"newTitle\">\n<<add-bulk-fields>>\n<$wikify name=newFields text=<<getFieldsname>> >\n<$macrocall $name=\"log-add-single-operation\" msg={{{[<newFields>addprefix[new fields:]addsuffix[added]]}}} tidItem=<<newTitle>> />\n</$wikify>\n</$list>\n</$vars>\n</$list>\n\\end\n\n\\define getFieldsname()\n<$list filter=\"[<__datafieldsTid__>indexes[]prefix[fldn]]\" variable=item>\n{{{ [<__datafieldsTid__>getindex<item>trim[]lowercase[]split[ ]join[_]] }}} \n</$list>\n\\end"},"$:/plugins/kookma/commander/field/macros/bulkfields":{"title":"$:/plugins/kookma/commander/field/macros/bulkfields","created":"20200109104450902","modified":"20200913180532516","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define numfilter() [range[1,$(flt)$]]\n\\define id_fldName() fldn$(cnt)$\n\\define id_fldValue() fldv$(cnt)$\n\n\\define add-bulk-fields()\n<$set name=\"flt\" tiddler=<<datafieldsTid>> field=\"counter\" emptyValue=\"1\"><!-- when the datafieldsTid is not exist, there is at least one field row -->\n<$list filter=<<numfilter>> variable=\"cnt\">\n<$list filter=\"[<datafieldsTid>getindex<id_fldName>]\" variable=\"newField\">\n<$action-setfield $tiddler=<<newTitle>> \n $field={{{ [<newField>trim[]lowercase[]split[ ]join[_]] }}}\n $value={{{ [<datafieldsTid>getindex<id_fldValue>] }}} />\n</$list>\n</$list>\n</$set>\n\\end\n\n\\define getInputs()\n<$edit-text tiddler=<<datafieldsTid>> index=<<id_fldName>> tag=input default=\"\" placeholder=\"field name\" />\n<$edit-text tiddler=<<datafieldsTid>> index=<<id_fldValue>> tag=input default=\"\" placeholder=\"field value\"/>\n\\end\n\n\\define add-reset()\n<$button>insert\n<$action-setfield $tiddler=<<datafieldsTid>> counter={{{[<datafieldsTid>get[counter]add[1]]~[[2]]}}}/>\n</$button>\n<$button>reset\n<$action-setfield $tiddler=<<datafieldsTid>> counter=\"1\"/>\n<$action-setfield $tiddler=<<datafieldsTid>> text=\"\"/>\n</$button>\n\\end\n\n\\define create-field-inputbox()\n<$wikify name=flt text={{{ [<datafieldsTid>get[counter]]~[[1]] }}} >\n<$list filter=<<numfilter>> variable=cnt>\n\n<<getInputs>>\n</$list>\n</$wikify>\n\\end\n\n\\define add-more-fields-ui(datafieldsTid:\"\")\n<$vars datafieldsTid=<<__datafieldsTid__>> >\n<<add-reset>>\n<<create-field-inputbox>>\n</$vars>\n\\end\n\n\\define add-more-fields-selective-ui(datafieldsTid:\"\", stateTiddler:\"$:/state/commander/tiddler-addfields-status\")\n<$checkbox \n tiddler=<<__stateTiddler__>>\n field=\"text\" \n checked=\"addfields\" unchecked=\"nofields\"\n default=\"nofields\" > \n Add fields\n</$checkbox>\n<$reveal type=\"match\" state=<<__stateTiddler__>> text=\"addfields\" default=\"nofields\" >\n<$vars datafieldsTid=<<__datafieldsTid__>> >\n<<add-reset>>\n<div style=\"padding-left:88px;\">\n<<create-field-inputbox>>\n</div>\n</$vars>\n</$reveal>\n\\end"},"$:/plugins/kookma/commander/field/macros/remove":{"title":"$:/plugins/kookma/commander/field/macros/remove","created":"20200109083928203","modified":"20200325055328423","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define xx-add-new-field-bulk(newField:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__newField__>!is[blank]]\">\n<<create-log-tiddler \"add-new-field-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]+[!is[missing]]-[has:field[$newField$]]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-add-field\" $param=<<__newField__>> />\n</$fieldmangler>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"new field `$newField$` added\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n\\end\n\n\n\\define remove-old-field-bulk(oldField:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__oldField__>!is[blank]]\">\n<<create-log-tiddler \"remove-old-field-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]+[!is[missing]]+[has:field[$oldField$]]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-remove-field\" $param=<<__oldField__>> />\n</$fieldmangler>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"old field `$oldField$` removed\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define set-field-value-bulk(fieldName:\"Empty\", fieldValue:\"Empty\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__fieldName__>!is[blank]]\" variable=null>\n<$list filter=\"[<__fieldValue__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"set-field-value-create-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]-[$fieldName$[$fieldValue$]]\">\n<$list filter=\"[<currentTiddler>has:field[$fieldName$]]\" variable=ignore \nemptyMessage=\"\"\"<$macrocall $name=\"log-add-single-operation\" msg=\"//has not `$fieldName$` field. Operation ignored//\" tidItem=<<currentTiddler>> />\"\"\" >\n<$action-setfield $field=<<__fieldName__>> $value=<<__fieldValue__>> />\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"field `$fieldName$` got a value\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n\n"},"$:/plugins/kookma/commander/field/macros/rename":{"title":"$:/plugins/kookma/commander/field/macros/rename","created":"20200107051937869","modified":"20200325055328448","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define rename-field-bulk(oldField:\"\", newField:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore>\n<$list filter=\"[<__oldField__>!is[blank]]\" variable=null>\n<$list filter=\"[<__newField__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"rename-field-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]\">\n<$list filter=\"[<currentTiddler>has:field[$oldField$]]\" variable=ignore\n emptyMessage=\"\"\"<$macrocall $name=\"log-add-single-operation\" msg=\"//has not field `$oldField$`. Operation ignored.//\" tidItem=<<currentTiddler>> /> \"\"\">\n<$set name=oldValue tiddler=<<currentTiddler>> field=<<__oldField__>> >\t\n<$action-setfield $tiddler=<<currentTiddler>> $field=<<__newField__>> $value=<<oldValue>>/>\n</$set>\n<$action-deletefield $tiddler=<<currentTiddler>> $field=<<__oldField__>> />\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"fied `$oldField$` renamed to `$newField$`\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/field/macros/set":{"title":"$:/plugins/kookma/commander/field/macros/set","created":"20190212101155537","modified":"20200325055328470","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define set-field-value-bulk(fieldName:\"\", fieldValue:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__fieldName__>!is[blank]]\" variable=null>\n<$list filter=\"[<__fieldValue__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"set-field-value-create-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]-[$fieldName$[$fieldValue$]]\">\n<$list filter=\"[<currentTiddler>has:field[$fieldName$]]\" variable=ignore \nemptyMessage=\"\"\"<$macrocall $name=\"log-add-single-operation\" msg=\"//has not `$fieldName$` field. Operation ignored//\" tidItem=<<currentTiddler>> />\"\"\" >\n<$action-setfield $field=<<__fieldName__>> $value=<<__fieldValue__>> />\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"field `$fieldName$` got a value\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n\n"},"$:/plugins/kookma/commander/field/ui":{"title":"$:/plugins/kookma/commander/field/ui","caption":"Field","created":"20190212061551615","list-after":"$:/plugins/kookma/commander/tag/ui","modified":"20200324070652439","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/FieldOps]!has[draft.of]]\"\ndefault:\"$:/plugins/kookma/commander/field/uicomp/add-fields\" \nclass:\"tc-vertical cmd-tab-colorful cmd-tab-wd\"\n>>\n\n"},"$:/plugins/kookma/commander/field/uicomp/add-fields":{"title":"$:/plugins/kookma/commander/field/uicomp/add-fields","caption":"Add fields","created":"20200109083519146","modified":"20200325055328482","tags":"$:/tags/Commander/FieldOps","type":"text/vnd.tiddlywiki","text":"<!-- create the interface-->\n<$macrocall $name=\"add-more-fields-ui\" datafieldsTid=\"$:/temp/commander/tiddler/datafields/addfield\" />\n\n\n<!-- call action macro -->\n<$button class=\"cmd-btn cmd-btn-wd\"> Add new fields\n<$macrocall $name=\"add-new-field-bulk\" \n datafieldsTid=\"$:/temp/commander/tiddler/datafields/addfield\" />\n</$button><br>\n,,Note: This will overwrite the existing fileds!,,"},"$:/plugins/kookma/commander/field/uicomp/remove-fields":{"title":"$:/plugins/kookma/commander/field/uicomp/remove-fields","caption":"Remove fields","created":"20190314051054548","list-after":"$:/plugins/kookma/commander/field/uicomp/add-fields","modified":"20200325055328489","tags":"$:/tags/Commander/FieldOps","type":"text/vnd.tiddlywiki","text":"<label class=\"kk-cmd-label\">Old field</label><$select class=\"cmd-select-wd\" tiddler=\"$:/temp/commander/field-remove\" default=\"\">\n<$list filter=\"[subfilter<searchfilter>fields[]sort[]] -title -tags\" >\n<option><$view field=\"title\"/></option>\n</$list>\n</$select>\n<$button class=\"cmd-btn cmd-btn-wd\"> Remove old field\n<$macrocall $name=\"remove-old-field-bulk\" oldField={{$:/temp/commander/field-remove}} />\n</$button>"},"$:/plugins/kookma/commander/field/uicomp/rename-fields":{"title":"$:/plugins/kookma/commander/field/uicomp/rename-fields","caption":"Rename fields","created":"20200107052908832","list-after":"$:/plugins/kookma/commander/field/uicomp/remove-fields","modified":"20200325055328499","tags":"$:/tags/Commander/FieldOps","type":"text/vnd.tiddlywiki","text":"<label class=\"kk-cmd-label\">Old field</label><$select class=\"cmd-select-wd\" \n tiddler=\"$:/temp/commander/rename-field/old\" default=\"\" >\n<$list filter=\"[subfilter<searchfilter>fields[]sort[]] -[<systemFieldsTid>get[text]split[ ]]\" > \n<option><$view field=\"title\"/></option>\n</$list>\n</$select>\n\n<label class=\"kk-cmd-label\">New field</label><$edit-text\ntiddler=\"$:/temp/commander/rename-field/new\"\ntag=\"input\"\ndefault=\"\"\nclass=\"cmd-txt-area\"\nplaceholder=\" new field name\"\n/>\n<$button class=\"cmd-btn cmd-btn-wd\"> Rename field\n<$macrocall $name=\"rename-field-bulk\" \noldField={{$:/temp/commander/rename-field/old}} \nnewField={{{ [[$:/temp/commander/rename-field/new]get[text]lowercase[]trim[]split[ ]join[_]] }}} />\n</$button>\n\n<!-- new field name is checked to be lowecase and leading and trailing spaces are removed and space between words replaced by underscore (_).\n-->"},"$:/plugins/kookma/commander/field/uicomp/set-field-value":{"title":"$:/plugins/kookma/commander/field/uicomp/set-field-value","caption":"Set field value","created":"20190212120609737","list-after":"$:/plugins/kookma/commander/field/uicomp/rename-fields","modified":"20200325055328506","tags":"$:/tags/Commander/FieldOps","type":"text/vnd.tiddlywiki","text":"<label class=\"kk-cmd-label\">Field name</label><$select class=\"cmd-select-wd\" \n tiddler=\"$:/temp/commander/field-name\" default=\"\" >\n<$list filter=\"[subfilter<searchfilter>fields[]sort[]] -[<systemFieldsTid>get[text]split[ ]]\" >\n<option><$view field=\"title\"/></option>\n</$list>\n</$select>\n\n<label class=\"kk-cmd-label\">Field value</label><$edit-text\ntiddler=\"$:/temp/commander/field-value\"\ntag=\"input\" default=\"\"\nclass=\"cmd-txt-area\" placeholder=\" field value\"/>\n<$button class=\"cmd-btn cmd-btn-wd\"> Set field value\n<$macrocall \n $name=\"set-field-value-bulk\" \n fieldName={{$:/temp/commander/field-name}} \n fieldValue={{$:/temp/commander/field-value}} \n/>\n</$button>"},"$:/plugins/kookma/commander/filters/Drafts":{"title":"$:/plugins/kookma/commander/filters/Drafts","created":"20190214135512125","description":"{{$:/language/Filters/Drafts}}","filter":"[has[draft.of]sort[title]]","modified":"20200324070652476","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/geneal":{"title":"$:/plugins/kookma/commander/filters/geneal","created":"20190725091830350","description":"General filter","filter":"[all[shadows+tiddlers]search[your keywrods]]","modified":"20200324070652484","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/Missing":{"title":"$:/plugins/kookma/commander/filters/Missing","created":"20190214140029091","description":"{{$:/language/Filters/Missing}}","filter":"[all[missing]sort[title]]","modified":"20200324070652492","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/Orphans":{"title":"$:/plugins/kookma/commander/filters/Orphans","created":"20190214135426497","description":"{{$:/language/Filters/Orphans}}","filter":"[all[orphans]sort[title]]","modified":"20200324070652498","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/RecentSystemTiddlers":{"title":"$:/plugins/kookma/commander/filters/RecentSystemTiddlers","created":"20190214135950458","description":"{{$:/language/Filters/RecentSystemTiddlers}}","filter":"[has[modified]!sort[modified]limit[50]]","modified":"20200324070652508","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/RecentTiddlers":{"title":"$:/plugins/kookma/commander/filters/RecentTiddlers","created":"20190214135912493","description":"{{$:/language/Filters/RecentTiddlers}}","filter":"[!is[system]has[modified]!sort[modified]limit[50]]","modified":"20200324070652513","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/SessionTiddlers":{"title":"$:/plugins/kookma/commander/filters/SessionTiddlers","created":"20190214135831279","description":"Tiddlers modified since the wiki was loaded","filter":"[haschanged[]]","modified":"20200324070652525","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/StoryList":{"title":"$:/plugins/kookma/commander/filters/StoryList","created":"20190214135246552","description":"{{$:/language/Filters/StoryList}}","filter":"[list[$:/StoryList]] -$:/AdvancedSearch","modified":"20200324070652532","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/filters/temp-and-state":{"title":"$:/plugins/kookma/commander/filters/temp-and-state","created":"20190214141452091","description":"Temporary and state tiddlers","filter":"[prefix[$:/temp]] [prefix[$:/state]]","modified":"20200324070652542","tags":"$:/tags/Commander/Filter","type":"text/vnd.tiddlywiki"},"$:/plugins/kookma/commander/help/ui":{"title":"$:/plugins/kookma/commander/help/ui","caption":"Help","created":"20190314050052494","icon":"$:/core/images/help","list-after":"$:/plugins/kookma/commander/setting/ui","modified":"20200324070652550","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/Help]!has[draft.of]]\" \ndefault:\"$:/plugins/kookma/commander/help/uicomp/quick-tutorial\"\nclass:\"tc-vertical cmd-tab-colorful cmd-tab-wd\">>\n\n\n"},"$:/plugins/kookma/commander/help/uicomp/quick-tutorial":{"title":"$:/plugins/kookma/commander/help/uicomp/quick-tutorial","caption":"Quick tutorial","created":"20190314050138754","modified":"20200325092740142","tags":"$:/tags/Commander/Help","type":"text/vnd.tiddlywiki","text":"# Use ''Combo search'' to list possible tiddlers\n#* example: `concept` performs a standard search and find all matches in title, text, and tags\n#* example: `[tag[xx]]` finds all tiddlers tagged with //xx//\n#* example: `[prefix[tt]` finds all tiddlers have title started with //tt//\n#* example: `[has[draft.of]sort[title]]` finds all draft tiddlers\n\n# Use ''Select tiddlers'' for the resulting list to identify which specific tiddlers to do a batch operation on\n#* this step lets you to do a batch operation on selected tiddlers NOT all items by ''Combo search''!\n#* it is possible to select/deselect all tiddlers from ''Combo search'' result at once! Note that, by default all tiddlers are considered for bulk operation until selective operation is activated!\n# From ''Batch operations tabs'' select the batch operation you wish to perform on selected tiddlers\n#* example: title, tag, and field operations\n#* example: Snr operation\n#* Inspect tab can be used to inspect tiddlers before or after performing operations\n\n* Notes:\n** Multiple batch operations can be done on the same set (unless you change something in the search criteria)\n** Sometimes it is useful to use an intermediate batch to help, like tag tiddlers with a \"working\" tag, using multiple searches, then then use the \"working\" tag to apply a batch operation to\n\n<div class=\"commander-alert\">''Note'': For good operation a tiddler width of 800px is recommended. You can also use //Sidebar layout// as `Fluid story`.</div>\n\n\nFor detailed docummention go to [[Demo page|https://kookma.github.io/TW-Commander/]]\n\n!!! Footenote\n# [[Use filter expression|https://tiddlywiki.com/prerelease/#Filters:Filters%20%5B%5BFilter%20Expression%5D%5D%20%5B%5BFilter%20Syntax%5D%5D%20%5B%5BFilter%20Operators%5D%5D%20%5B%5BFilter%20Parameter%5D%5D%20%5B%5BFilter%20Run%5D%5D%20%5B%5BFilter%20Step%5D%5D%20%5B%5BFilter%20Whitespace%5D%5D]]"},"$:/plugins/kookma/commander/help/uicomp/regular-expression":{"title":"$:/plugins/kookma/commander/help/uicomp/regular-expression","caption":"Regular expressions","created":"20190314084511720","list-after":"$:/plugins/kookma/commander/help/uicomp/snr","modified":"20200325093450357","tags":"$:/tags/Commander/Help","type":"text/vnd.tiddlywiki","text":"!! Regular Expressions Quick Reference\n\n|''FLAGS'' |<|<|h\n| i |ignore case|case insensitive matching|\n| |whole words|match strings of a-z, 0-9 and _|\n| g |global|field start=^, field end=$, all matches|\n| m |multi-line|line start=^, line end=$, first match|\n| |first match|field start=^, field end=$, first match|\n|''MATCH'' |<|<|h\n|''Anchors''|<|<|\n| ^ |start of scope|see Flags, match is zero length|\n| $ |end of scope|see Flags, match is zero length|\n| \\b |word boundary|anchor to edge of word, zero length|\n| \\B |not word boundary|anchor inside word, zero length|\n|''Character Classes''|<|<|\n| [c] |class of characters|any one matches, e.g. [abc123]|\n| [^c] |negated class of characters|any one doesn't match, e.g. [^abc123]|\n|''Shorthand Character Classes''|<|<|\n| . |any character except newline|same as [^\\n]|\n| \\d |decimal|same as [0-9]|\n| \\D |not decimal|same as [^0-9]|\n| \\s |white-space|same as [\\ \\t\\n]|\n| \\S |not white-space|same as [^\\ \\t\\n]|\n| \\t |tab|same as [\\t]|\n| \\n |newline|same as [\\n]|\n| \\w |word character|same as [a-zA-Z0-9_]|\n| \\W |not word character|same as [^a-zA-Z0-9_]|\n|''Capturing Groups''|<|<|\n| (match) |match placed in variable $x|can be used in Replace|\n| (\\x) |repeats match pattern of $x|can be used in Replace|\n|''Quantifiers''|<|<|\n| ? |single match|match 0 or 1 time|\n| * |greedy match 0+|match 0 or as many times as possible|\n| *? |lazy match 0+|match 0 or as few times as possible|\n| + |greedy match 1+|match 1 or as many times as possible|\n| +? |lazy match 1+|match 1 or as few times as possible|\n| {x} |match x times|match x times|\n| {x,y} |match x to y times|match x times to exactly y times|\n| {x,} |match x or more times|match x times or as many as possible|\n|''Escapes''|<|<|\n| \\ |escape special characters|add to \\ [ ] ( ) { } ^ $ . ? * + for literals|\n|''REPLACE'' |<|<|h\n| $& |return the full match|<|\n| $x |return $x where x is the numeric index of a Capturing Group|<|\n|''NOTE'' |<|<|h\n|This Reference supports most ordinary uses of regular expressions.<br> For advanced options: [[MDN Reference|https://developer.mozilla.org/en-US/docs/Web/JavaScript/Guide/Regular_Expressions#Using_simple_patterns]]; examples: [[regular-expressions.info|https://www.regular-expressions.info/tutorial.html]].|<|<|\n\n"},"$:/plugins/kookma/commander/help/uicomp/relink":{"title":"$:/plugins/kookma/commander/help/uicomp/relink","caption":"Relink on rename","created":"20190912052826269","list-after":"$:/plugins/kookma/commander/help/uicomp/regular-expression","modified":"20200325093202388","tags":"$:/tags/Commander/Help","type":"text/vnd.tiddlywiki","text":"!! Relink on rename\nWhen Tiddler Commander is used to rename bulk tiddlers in operation like\n\n* Title operation\n** add/remove prefix\n** add/remove suffix\n** remove chars from the begining or end of title\n* ~SnR\n** Search and replace in tiddlers title\n\nIt is possible to update all links to title. This includes //tags// and //list//. If [[Relink|https://flibbles.github.io/tw5-relink/]] plugin is installed, then not only title will be updated in //tags// and //list// field, but also in all filters, transclusions, text field and evrywhere.\n\n<div class=\"commander-alert\"> ''Note'': Relink does not update all forms of title e.g those created from different segments, but it will update any occurances</div>\n\n\n!! How to integrate with ''Relink''?\n\nSimply install the [[Relink|https://flibbles.github.io/tw5-relink/]] plugin and it will be appeared as an option when Tiddler Commander title operations including ~SnR are performed!\n"},"$:/plugins/kookma/commander/help/uicomp/snr":{"title":"$:/plugins/kookma/commander/help/uicomp/snr","caption":"Search-n-Replace","created":"20190307104654008","list-after":"$:/plugins/kookma/commander/help/uicomp/quick-tutorial","modified":"20200925143424505","tags":"$:/tags/Commander/Help","type":"text/vnd.tiddlywiki","text":"!! Search and replace using Snr\nSnr stands for search and replace, is a powerful tool uses regular expression for searching all fields and lets replace chars, words, phrases with new values.\n\n!! How to use\n* Enter a search filter or keywords in ''Combo search'' box like `[tag[myTag]]` or `concept`\n* Use ''Select tiddlers'' for the resulting list to identify which specific tiddlers to do a Snr operation on\n** If selective operation is unchecked, all tiddlers from step one will be included in Snr operation.\n* From ''Tiddler title'' drop down menu select a tiddler\n* From ''Field name'' drop down menu select a tiddler field like `text`\n** all fileds even `title` can be selected\n* In the ''Search text'' type the search term for example `this`\n* In the ''Replace with'' type the term you want to replace with like `that`\n* Check the ''Differences'' and if you are satisfied with the result, press the `Replace?` button\n* You can press `Replace all?` if you want to search and replace in all selected tiddlers\n\n;Remarks\n: The `Replace all?` lets you to search and replace in all selected tiddlers at once! It is possible to search and replace even in `title` field!\n\n<$list filter=\"[[snr.png]is[tiddler]]\"><<image-pretty img:\"snr.png\" width:\"60%\" align:\"center\" caption:\"Fig 1. Search and replace using regular expression\">></$list>\n\n!! Special characters\n<<<\nAs `SNR` uses ''regexp'' pattern to make search and replace, when special characters are used in the ''Search text'' box, they shall be escaped among them are `*`, `+`, `[]`, `?` ...\nTo use these characters do like below\n\n```\n\\[ \\] \\( \\) \\{ \\} \\. \\* \\+ \\? \\^ \\$ \\\\ \\|\n```\n<<<\n\n!! Warning\n<<<\n* SNR replace the contents of tiddlers.<br> ''//The action can not be undone//''. So always make a backup before performing any replace action\n<<<"},"$:/plugins/kookma/commander/help/uicomp/warning":{"title":"$:/plugins/kookma/commander/help/uicomp/warning","caption":"Warning","created":"20200325092841002","list-after":"$:/plugins/kookma/commander/help/uicomp/relink","modified":"20200325093538187","tags":"$:/tags/Commander/Help","type":"text/vnd.tiddlywiki","text":";Note i\n:Tiddler Commander is a very powerful tool, it can change any field in any tiddler.// Make sure what you are doing//!\n\n;Note ii\n:Tiddler Commander uses two steps tiddler selection. It uses a combo search box to screen (using standard or filter search) possible tiddlers and then uses a selective list of tiddlers from first step for batch operation!\n:# If selective operation is unchecked, then all tiddlers from step one are used for batch operation\n:# If selective operation is checked, then user has to manually select among tiddlers, and the selected ones are used for batch operation \n\n;Note iii\n://Commander do not perform operation on shadow tiddlers//, unless they are overriden. Because shadow tiddlers aren’t stored as ''real'' tiddlers. They are intentionally excluded from most operations by default so that they don’t clutter filters made by users unless explicitly required. \n\n<div class=\"commander-alert\">''Warning''\nBefore using Tiddler commander for bulk operation on your own valuable, irreplaceable data, ''backup them''.\n</div>"},"$:/plugins/kookma/commander/history":{"title":"$:/plugins/kookma/commander/history","created":"20200324061906253","modified":"20210102152245874","tags":"","type":"text/vnd.tiddlywiki","text":"Full change log https://kookma.github.io/TW-Commander/#ChangeLog\n\n* ''2.1.2'' -- 2021.01.02 -- Saq Imtiaz release\n* ''2.1.0'' -- 2020.03.25 -- stable release\n* ''0.1.0'' -- 2019.02.12 -- mature public release\n"},"$:/plugins/kookma/commander/images/commander.svg":{"title":"$:/plugins/kookma/commander/images/commander.svg","caption":"file-alt (Regular)","created":"20190724144859943","modified":"20210102140141641","type":"text/vnd.tiddlywiki","text":"<svg class=\"tc-image-file-alt tc-image-button\" width=\"22pt\" height=\"22pt\" viewBox=\"0 0 384 512\"><path d=\"M288 248v28c0 6.6-5.4 12-12 12H108c-6.6 0-12-5.4-12-12v-28c0-6.6 5.4-12 12-12h168c6.6 0 12 5.4 12 12zm-12 72H108c-6.6 0-12 5.4-12 12v28c0 6.6 5.4 12 12 12h168c6.6 0 12-5.4 12-12v-28c0-6.6-5.4-12-12-12zm108-188.1V464c0 26.5-21.5 48-48 48H48c-26.5 0-48-21.5-48-48V48C0 21.5 21.5 0 48 0h204.1C264.8 0 277 5.1 286 14.1L369.9 98c9 8.9 14.1 21.2 14.1 33.9zm-128-80V128h76.1L256 51.9zM336 464V176H232c-13.3 0-24-10.7-24-24V48H48v416h288z\"/></svg>"},"$:/plugins/kookma/commander/inspect/comp/edit-fileds":{"title":"$:/plugins/kookma/commander/inspect/comp/edit-fileds","created":"20190313061511585","modified":"20200325055328517","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define lingo-base() $:/language/EditTemplate/\n\\define config-title()\n$:/config/EditTemplateFields/Visibility/$(currentField)$\n\\end\n\n\\define config-filter()\n[[hide]] -[title{$(config-title)$}] \n\\end\n\n\\define new-field()\n<$vars name={{$:/temp/newfieldname}}>\n<$reveal type=\"nomatch\" text=\"\" default=<<name>>>\n<$button class=\"cmd-btn\">\n<$action-sendmessage $message=\"tm-add-field\"\n$name=<<name>>\n$value={{$:/temp/newfieldvalue}}/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldname\"/>\n<$action-deletetiddler $tiddler=\"$:/temp/newfieldvalue\"/>\n<<lingo Fields/Add/Button>>\n</$button>\n</$reveal>\n<$reveal type=\"match\" text=\"\" default=<<name>>>\n<$button class=\"cmd-btn\">\n<<lingo Fields/Add/Button>>\n</$button>\n</$reveal>\n</$vars>\n\\end\n\n\\define compInspect-edit-fileds(commonFields:\"\")\n\n<div class=\"tc-edit-fields\">\n<table class=\"tc-edit-fields\">\n<tbody>\n<$list filter=\"[all[current]fields[]] +[sort[title]]\" variable=\"currentField\">\n<$list filter=<<config-filter>> variable=\"temp\">\n<tr class=\"tc-edit-field\">\n<td class=\"tc-edit-field-name\">\n<$text text=<<currentField>>/>:</td>\n<td class=\"tc-edit-field-value\">\n<$edit-text tiddler=<<currentTiddler>> field=<<currentField>> placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}}/>\n</td>\n<td class=\"tc-edit-field-remove\">\n<$button class=\"tc-btn-invisible\" tooltip={{$:/language/EditTemplate/Field/Remove/Hint}} aria-label={{$:/language/EditTemplate/Field/Remove/Caption}}>\n<$action-deletefield $field=<<currentField>>/>\n{{$:/core/images/delete-button}}\n</$button>\n</td>\n</tr>\n</$list>\n</$list>\n\n\n<$list filter=\"created creator modified modifier -[enlist<__commonFields__>]\" variable=\"currentField\">\n<tr class=\"tc-edit-field\">\n<td class=\"tc-edit-field-name\">\n<$text text=<<currentField>>/>:</td>\n<td class=\"tc-edit-field-value\">\n<$edit-text tiddler=<<currentTiddler>> field=<<currentField>> placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}}/>\n</td>\n<td class=\"tc-edit-field-remove\">\n<$button class=\"tc-btn-invisible\" tooltip={{$:/language/EditTemplate/Field/Remove/Hint}} aria-label={{$:/language/EditTemplate/Field/Remove/Caption}}>\n<$action-deletefield $field=<<currentField>>/>\n{{$:/core/images/delete-button}}\n</$button>\n</td>\n</tr>\n</$list>\n\n\n\n\n</tbody>\n</table>\n</div>\n\n<$fieldmangler>\n<div class=\"tc-edit-field-add\">\n<em class=\"tc-edit\">\n<<lingo Fields/Add/Prompt>>\n</em>\n<span class=\"tc-edit-field-add-name\">\n<$edit-text tiddler=\"$:/temp/newfieldname\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Fields/Add/Name/Placeholder}} focusPopup=<<qualify \"$:/state/popup/field-dropdown\">> class=\"tc-edit-texteditor tc-popup-handle\"/>\n</span>\n<$button popup=<<qualify \"$:/state/popup/field-dropdown\">> class=\"tc-btn-invisible tc-btn-dropdown\" tooltip={{$:/language/EditTemplate/Field/Dropdown/Hint}} aria-label={{$:/language/EditTemplate/Field/Dropdown/Caption}}>{{$:/core/images/down-arrow}}</$button>\n<$reveal state=<<qualify \"$:/state/popup/field-dropdown\">> type=\"nomatch\" text=\"\" default=\"\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$linkcatcher to=\"$:/temp/newfieldname\">\n<div class=\"tc-dropdown-item\">\n<<lingo Fields/Add/Dropdown/User>>\n</div>\n<$list filter=\"[!is[shadow]!is[system]fields[]search:title{$:/temp/newfieldname}sort[]] -created -creator -draft.of -draft.title -modified -modifier -tags -text -title -type\" variable=\"currentField\">\n<$link to=<<currentField>>>\n<<currentField>>\n</$link>\n</$list>\n<div class=\"tc-dropdown-item\">\n<<lingo Fields/Add/Dropdown/System>>\n</div>\n<$list filter=\"[fields[]search:title{$:/temp/newfieldname}sort[]] -[!is[shadow]!is[system]fields[]]\" variable=\"currentField\">\n<$link to=<<currentField>>>\n<<currentField>>\n</$link>\n</$list>\n</$linkcatcher>\n</div>\n</$reveal>\n<span class=\"tc-edit-field-add-value\">\n<$edit-text tiddler=\"$:/temp/newfieldvalue\" tag=\"input\" default=\"\" placeholder={{$:/language/EditTemplate/Fields/Add/Value/Placeholder}} class=\"tc-edit-texteditor\"/>\n</span>\n<span class=\"tc-edit-field-add-button\">\n<$macrocall $name=\"new-field\"/>\n</span>\n</div>\n</$fieldmangler>\n\\end"},"$:/plugins/kookma/commander/inspect/comp/edit-preview":{"title":"$:/plugins/kookma/commander/inspect/comp/edit-preview","created":"20200115050859257","modified":"20200325055328524","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define compInspect-edit-preview(tiddler, commonFields:\"\")\n<div class=\"tc-edit-fields\">\n<table class=\"tc-edit-fields\">\n<tbody>\n<tr class=\"tc-edit-field\"><td class=\"tc-edit-field-name\">title:</td>\n<td class=\"tc-edit-field-value\"><$link to=<<__tiddler__>> ><$text text=<<__tiddler__>> /></$link></td>\n</tr>\n<tr class=\"tc-edit-field\"><td class=\"tc-edit-field-name\">tags:</td>\n<td class=\"tc-edit-field-value\">{{$tiddler$||$:/core/ui/EditTemplate/tags}}</td>\n</tr>\n<tr class=\"tc-edit-field\"><td class=\"tc-edit-field-name\">text:</td>\n<td class=\"tc-edit-field-value\"><$macrocall $name=compInspect-get-field tiddler=<<__tiddler__>> field=\"text\" tag=\"textarea\" /></td>\n</tr>\n</tbody>\n</table>\n</div>\n\n<$tiddler tiddler=<<__tiddler__>> >\n<$macrocall $name=\"compInspect-edit-fileds\" commonFields=<<__commonFields__>> />\n</$tiddler>\n\\end\n\n\\define compInspect-get-field(tiddler, field, tag:\"input\") \n<$edit-text class=\"tc-edit-texteditor\" tiddler=<<__tiddler__>> field=<<__field__>> tag=<<__tag__>> default=\"\" placeholder={{$:/language/EditTemplate/Body/Placeholder}}/>\n\\end"},"$:/plugins/kookma/commander/inspect/comp/main":{"title":"$:/plugins/kookma/commander/inspect/comp/main","created":"20190308151331101","modified":"20200325055328536","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define compInspect(filter, stateTiddler:\"thisTiddler\")\n<$set name=\"state\" \n value={{{ [[$:/state/compInspect/]addsuffix<__stateTiddler__>] }}} >\n<$vars\n slStateTid={{{ [<state>addsuffix[/]addsuffix[selectState-tiddler]] }}}\n rvStateTid={{{ [<state>addsuffix[/]addsuffix[revealState-tiddler]] }}}\n chStateTid={{{ [<state>addsuffix[/]addsuffix[checkboxState-tiddler]] }}} >\n\nSelect tiddler <$macrocall $name=compSelect filter=<<__filter__>> stateTiddler=<<slStateTid>> />\n \n<$macrocall $name=\"check-common-fields\" stateTiddler=<<chStateTid>> />\n \n<$reveal stateTitle=<<rvStateTid>> type=\"nomatch\" text=\"edit\">\n<$button class=\"tc-btn-invisible\" setTitle=<<rvStateTid>> setTo=\"edit\" tooltip=\"edit tiddler\">{{$:/core/images/edit-button}}</$button>\n<$macrocall $name=compInspect-show-preview tiddler={{{ [<slStateTid>get[text]]+[subfilter<__filter__>] }}} commonFields={{{ [<chStateTid>get[text]] }}}/>\n</$reveal>\n<$reveal stateTitle=<<rvStateTid>> type=\"match\" text=\"edit\">\n<$button class=\"tc-btn-invisible\" setTitle=<<rvStateTid>> setTo=\"\" tooltip=\"confirm changes\">{{$:/core/images/done-button}}</$button>\n<$macrocall $name=compInspect-edit-preview tiddler={{{ [<slStateTid>get[text]]+[subfilter<__filter__>] }}} commonFields={{{ [<chStateTid>get[text]] }}}/>\n</$reveal>\n\n</$vars>\n</$set>\n\\end\n\n\\define check-common-fields(stateTiddler)\n<$vars commonFields={{{[<commonFieldsTid>get[text]]}}}>\n<$checkbox \n tiddler=<<__stateTiddler__>>\n field=\"text\" \n checked=<<commonFields>>\n unchecked=\"\"\n default=\"\"\n> Exclude common fields?</$checkbox>\n</$vars>\n\\end"},"$:/plugins/kookma/commander/inspect/comp/select":{"title":"$:/plugins/kookma/commander/inspect/comp/select","created":"20190308070713468","modified":"20200325055328546","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define compSelect(filter:\"\", stateTiddler:\"\", default:\"\", class:\"\", showButtons:\"show\")\n<$select tiddler=<<__stateTiddler__>> default=<<__default__>> class=<<__class__>> >\n<option value=\"\">None</option>\n<$list filter=<<__filter__>> variable=item>\n<option value=<<item>>><$text text=<<item>> /></option>\n</$list>\n</$select>\n<$reveal type=\"match\" text=\"show\" default=<<__showButtons__>> >\n<$vars myfilter=<<__filter__>> mystateTiddler=<<__stateTiddler__>> myitem={{{ [<__stateTiddler__>get[text]] }}} >\n<$button actions=<<cs-prev-item>> class=\"cmd-btn\"> prev </$button>\n<$button actions=<<cs-next-item>> class=\"cmd-btn\"> next </$button>\n</$vars>\n</$reveal>\n\\end\n\n\\define cs-prev-item()\n<$list filter=\"[subfilter<myfilter>] +[before<myitem>]\" variable=\"prev-item\" >\n<$action-setfield $tiddler=<<mystateTiddler>> text=<<prev-item>> />\n</$list>\n\\end\n\n\\define cs-next-item()\n<$list filter=\"[subfilter<myfilter>] +[after<myitem>]\" variable=\"next-item\" >\n<$action-setfield $tiddler=<<mystateTiddler>> text=<<next-item>> />\n</$list>\n\\end\n\n<!-- used by\n - $:/plugins/kookma/commander/compInspect/main\n-->"},"$:/plugins/kookma/commander/inspect/comp/show-preview":{"title":"$:/plugins/kookma/commander/inspect/comp/show-preview","created":"20190308070953891","modified":"20200325055328553","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define compInspect-show-preview(tiddler, commonFields:\"\")\n<$vars mainFields=\"title tags text\">\n<table class=\"cmd-ci-table\">\n<tr><td class=\"leftcol\">title</td>\n<td class=\"rightcol\"><$link to=<<__tiddler__>> ><$text text=<<__tiddler__>> /></$link></td>\n</tr>\n\n<tr><td class=\"leftcol\">tags</td>\n<td style=\"padding:3px;\"><$macrocall $name=showTags tiddler=<<__tiddler__>> /></td>\n</tr>\n<tr><td class=\"leftcol\">text</td>\n<td><$transclude tiddler=<<__tiddler__>> field=\"text\" mode=\"block\"/></td>\n</tr>\n<$list filter=\"[<__tiddler__>fields[]] -[enlist<mainFields>] -[enlist<__commonFields__>]\" variable=\"otherFld\">\n<tr><td class=\"leftcol\"><<otherFld>></td>\n<td class=\"rightcol\"><$transclude tiddler=<<__tiddler__>> field=<<otherFld>> mode=\"inline\"/></td>\n</tr>\n</$list>\n</table>\n</$vars>\n\\end\n\n\\define showTags(tiddler)\n<$list filter=\"[<__tiddler__>tags[]]\" variable=tagItem >\n<$macrocall $name=tag tag=<<tagItem>> />\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/inspect/ui":{"title":"$:/plugins/kookma/commander/inspect/ui","caption":"Inspect","created":"20190313075823496","list-after":"$:/plugins/kookma/commander/field/ui","modified":"20200325055328564","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/InspectOps]!has[draft.of]]\" \ndefault:\"$:/plugins/kookma/commander/inspect/uicomp/Inspection\"\nclass:\"tc-vertical cmd-tab-wd\">>\n"},"$:/plugins/kookma/commander/inspect/uicomp/Inspection":{"title":"$:/plugins/kookma/commander/inspect/uicomp/Inspection","caption":"Inspect","created":"20190313080119081","modified":"20200325055328571","tags":"$:/tags/Commander/InspectOps","type":"text/vnd.tiddlywiki","text":"<$macrocall \n $name=compInspect\n filter=<<searchfilter>>\n stateTiddler=\"commander\"\n/>"},"$:/plugins/kookma/commander/license":{"title":"$:/plugins/kookma/commander/license","created":"20200324061906254","modified":"20200324061959559","tags":"","type":"text/vnd.tiddlywiki","text":"Distributed under an MIT license.\n\nCopyright (c) 2018-2020 [[Mohammad Rahmani|https://github.com/kookma]]\n\n<<<\nPermission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the \"Software\"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions:\n\nThe above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n<<<"},"$:/plugins/kookma/commander/log/ui":{"title":"$:/plugins/kookma/commander/log/ui","caption":"Log status","created":"20190221152805807","modified":"20200324070652656","tags":"","type":"text/vnd.tiddlywiki","text":"<div class=\"commander-alert\" style=\"padding:5px;font-size:0.90em;\">\n<$button class=\"tc-btn-invisible tc-tiddlylink\" actions=<<delete-all-log-tiddlers>>>Clear log</$button>\n<$set name=\"resultCount\" value=\"\"\"<$count filter=\"[tag[$:/tags/Commander/LogOps]]\" />\"\"\">\n<div class=\"tc-search-results\">\n<span class=\"kk-commander-log-note\">Recent number of operations: <<resultCount>></span><br>\n<$list filter=\"[tag[$:/tags/Commander/LogOps]] +[!sort[created]]\" variable=\"logTid\">\n<b><$view tiddler=<<logTid>> field=\"created\" format=\"date\" template=\"YYYY-0MM-0DD 0hh:0mm:0ss\"/> \n<$view tiddler=<<logTid>> field=\"caption\">\n <$view tiddler=<<logTid>> field=\"title\"></$view>\n</$view>\n</b>\n<ol><$list filter=\"[<logTid>indexes[]]\" variable=\"item\">\n<li><$link to=<<item>>><<item>></$link>\n<$set name=\"myItem\" tiddler=<<logTid>> index=<<item>> >\n<$list filter=\"[<myItem>!search[existed]]\" variable=\"ignore\" \n emptyMessage=\"\"\"<span style=\"color:red;\"><<myItem>></span>\"\"\" >\n<span class=\"kk-commander-log-item\"><<myItem>></span>\n</$list>\n</$set>\n</li>\n</$list></ol>\n</$list>\n</div>\n</$set>\n</div>"},"$:/plugins/kookma/commander/macros/compConfirmAction":{"title":"$:/plugins/kookma/commander/macros/compConfirmAction","created":"20190301145916990","modified":"20200324070652662","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define compConfirmAction(\nbtnLabel:\"Delete these tiddlers\", \nconfirmMessage:\"Are you sure you wish to delete\", \nstateTiddler:\"\"\ncountFilter:\"\", \nactionMacro:\"\")\n<$set name=resultCount value={{{ [subfilter<__countFilter__>count[]] }}} >\n<$reveal type=\"gt\" text=\"0\" default=<<resultCount>> >\n<$button popup=<<qualify \"\"\"$stateTiddler$\"\"\">> class=\"cmd-btn\">$btnLabel$</$button>\n</$reveal>\n<$reveal state=<<qualify \"\"\"$stateTiddler$\"\"\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<div class=\"tc-dropdown-item-plain\">\n$confirmMessage$ <<resultCount>> tiddler(s)?\n</div>\n<div class=\"tc-dropdown-item-plain\">\n<$button class=\"cmd-btn\"> $btnLabel$\n<$macrocall $name=<<__actionMacro__>> />\n</$button>\n</div>\n</div>\n</div>\n</$reveal>\n</$set>\n\\end"},"$:/plugins/kookma/commander/macros/definition":{"title":"$:/plugins/kookma/commander/macros/definition","created":"20200102195320611","description":"some macro are not used REMOVE THEM","modified":"20200325055328579","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define tempPath() $:/temp/commander\n\n\\define searchboxTid() $(tempPath)$/search-box\n\\define selectiveOpsTid() $(tempPath)$/selective-operation\n\n\n\\define workingTag() $:/tags/Commander/Working\n\\define exportTagTid() $:/temp/commander/exportTag\n\\define systemFieldsTid() $:/plugins/kookma/commander/config/systemfields\n\\define commonFieldsTid() $:/plugins/kookma/commander/config/commonfields"},"$:/plugins/kookma/commander/macros/logging":{"title":"$:/plugins/kookma/commander/macros/logging","created":"20190221163406469","modified":"20200325055356563","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define set-title() $:/temp/commander/logs/tid-{{{[tag[$:/tags/Commander/LogOps]count[]add[1]]}}}\n\n\\define create-log-tiddler(msg)\n<$wikify name=\"myTitle\" text=\"\"\"<<set-title>>\"\"\">\n<$wikify name=\"myCaption\" text=\"\"\"<$macrocall $name=\"set-caption\" msg=<<__msg__>> />\"\"\">\n<$action-createtiddler $basetitle=<<myTitle>>\n$savetitle=\"$:/state/commander/log-tiddler\"\ntags=\"$:/tags/Commander/LogOps\"\ntype=\"application/json\"\ncaption=<<__msg__>>\n/>\n</$wikify>\n</$wikify>\n\\end\n\n\\define log-add-single-operation(msg:\"commander operation\", tidItem:\"no item\")\n<$action-setfield $tiddler={{$:/state/commander/log-tiddler}} $index=<<__tidItem__>> $value=<<__msg__>> />\n\\end\n\n\n\\define delete-all-log-tiddlers()\n<$action-deletetiddler $filter=\"[tag[$:/tags/Commander/LogOps]]\" />\n\\end"},"$:/plugins/kookma/commander/macros/remove-workingtag":{"title":"$:/plugins/kookma/commander/macros/remove-workingtag","created":"20200103172259595","modified":"20200325055328597","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define remove-working-tag(oldTag)\n<$list filter=\"[all[shadows+tiddlers]tag<workingTag>limit[1]]\" variable=ignore>\n<<create-log-tiddler \"remove-workingTag\">>\n<$list filter=\"[all[shadows+tiddlers]tag<workingTag>]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-remove-tag\" $param=<<__oldTag__>> />\n</$fieldmangler>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"working tag `$oldTag$` removed\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n\\end\n\n\n"},"$:/plugins/kookma/commander/macros/slider":{"title":"$:/plugins/kookma/commander/macros/slider","created":"20200105085554618","modified":"20200325065829776","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define commander-slider(title, default:\"closed\")\n<$set name=\"revealState\" value=<<qualify \"$:/state/commander/reveal/$title$\">>>\n<h2>\n <$reveal type=\"nomatch\" state=<<revealState>> text=\"open\" default=\"$default$\">\n <$button class=\"tc-btn-invisible tc-tiddlylink\" set=<<revealState>> setTo=\"open\">\n {{$:/core/images/right-arrow}}\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<revealState>> text=\"open\" default=\"$default$\">\n <$button class=\"tc-btn-invisible tc-tiddlylink\" set=<<revealState>> setTo=\"closed\">\n {{$:/core/images/down-arrow}}\n </$button>\n </$reveal>\n <$view tiddler=\"$title$\" field=\"caption\">\n <$view tiddler=\"$title$\" field=\"title\"></$view>\n </$view>\n \n</h2>\n\n<$reveal type=\"match\" state=<<revealState>> text=\"open\" default=\"$default$\">\n<$transclude tiddler=\"$title$\" mode=\"block\" />\n</$reveal>\n</$set>\n\\end"},"$:/plugins/kookma/commander/macros/utility":{"title":"$:/plugins/kookma/commander/macros/utility","created":"20190315092752816","modified":"20200325055356631","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define display(label,text)\n<$set name=\"stateTid\" value=\"$:/temp/commander/display/popup/$label$\" >\n<$button popup=<<stateTid>> class=\"tc-btn-invisible\"><span style=\"text-decoration: underline\">$label$</span></$button>\n<$reveal type=\"nomatch\" text=\"\" default=\"\" state=<<stateTid>> animate=\"yes\">\n<span style=\"color:blue;\"><$text text=<<__text__>> /></span></$reveal>\n</$set>\n\\end\n\n\\define is-filterSeearch-newer-than-selectedTitles(TidA, TidB)\n<$set name=\"tidAMod\" value={{{ [[$TidA$]get[modified]] }}}>\n<$set name=\"tidBMod\" value={{{ [[$TidB$]get[modified]] }}}>\n<$set name=\"tidAText\" value={{{ [[$TidA$]get[text]] }}}>\n<$set name=\"tidBText\" value={{{ [[$TidB$]get[text]] }}}>\n<$list filter=\"[<__TidB__>get[text]minlength[1]]\" variable=ignore>\n<$reveal type=\"nomatch\" default=<<tidAText>> text=<<tidBText>>>\n<$list filter=\"[<tidAMod>] [<tidBMod>] +[nsort[]last[1]removesuffix<tidBMod>]\">\nA new filter search has been detected, click on the search button to apply it.\n</$list>\n</$reveal>\n</$list>\n</$set>\n</$set>\n</$set>\n</$set>\n\\end\n\n!!Remarks\n;is-filterSeearch-newer-than-selectedTitles\n* Checks `TidA` and `TidB` for modified date and text\n* If They are not empty, then\n** checks if the text of two are equal, if not\n** checks to see if `TidB` is newer or not\n** if newer shows a message, to apply the new search filter\n* Note\n** `TidA=\"$:/temp/commander\"`\n** `TidB=\"$:/temp/commander/search-box\"`\n* is-filterSeearch-newer-than-selectedTitles is called from $:/plugins/kookma/commander/search/ui\n\n;display\n* gets a label and a text\n* create an inline label\n* on click label, the text is displayed as temporary popup\n* losing focus, the text goes hidden\n* this macro is used in customized compSelective to show the active filter"},"$:/plugins/kookma/commander/readme":{"title":"$:/plugins/kookma/commander/readme","created":"20200324061906254","modified":"20210102142228008","tags":"","type":"text/vnd.tiddlywiki","text":"; Tiddler Commander\nTiddler Commander, in short ''Commander'' is a unique tool for batch operations on tiddlers. Commander has the below features\n\n* Bulk tiddler creation/deletion\n* Combo search to filter and select tiddlers\n* Title operation: add, remove prefixes and suffixes, also remove cahras form begining and end of title (on renaming tiddlers, [[relink|$:/plugins/kookma/commander/help/uicomp/relink]] can be used to update title in other tiddlers)\n* Tag operation: add, remove, replace\n* Field operation: add, remove, rename, and set field value\n* SNR, search and replace in all fields including text, tags, //title//, and common fields\n* Inspect, to review and inspect tiddlers in one place, scroll among them and edit all fields (including common fields), tags, text (title is an exception!)\n* Log, create logs of all operations\n* Search, //save and load// any combination of filter search\n\n; Start Commander\n* Normally Commander adds its icon: <span class=\"cmd-doc\">{{$:/plugins/kookma/commander/images/commander.svg}}</span> to page controls.\n* Open the right sidebar and click on the <span class=\"cmd-doc\">{{$:/plugins/kookma/commander/images/commander.svg}}</span> Commander is started\n* In standard Tiddlywiki, control buttons are shown on the right sidebar under site title.\n\n; Code and demo\nFor learning plugin features, syntax, tutorial and examples see the plugin demo and code pages\n\n* Demo: https://kookma.github.io/TW-Commander/\n* Code: https://github.com/kookma/TW-Commander\n\n<style>\n.cmd-doc svg{\nwidth: 1.2em;\nheight: 1.2em;\nvertical-align: middle;}\n</style>"},"$:/plugins/kookma/commander/search/filter-button/clear":{"title":"$:/plugins/kookma/commander/search/filter-button/clear","created":"20190214132946159","list-after":"$:/plugins/kookma/commander/search/filter-button/search","modified":"20200325055356645","tags":"$:/tags/Commander/Search/FilterButton","type":"text/vnd.tiddlywiki","text":"\\import $:/plugins/kookma/commander/macros/definition\n\n<$reveal type=\"nomatch\" stateTitle=<<searchboxTid>> stateField=\"text\" text=\"\">\n<$button class=\"tc-btn-invisible\" tooltip=\"clear search box and selected tiddlers\">\n<$action-setfield $tiddler=<<searchboxTid>> $field=\"text\" $value=\"\"/>\n<$action-setfield $tiddler=\"$:/temp/commander\" $field=\"text\" $value=\"\"/>\n<$action-setfield $tiddler=\"$:/state/commander/snr/select-tiddler\" $field=\"text\" $value=\"\"/><!-- SNR selection -->\n<$action-setfield $tiddler=\"$:/state/compInspect/temp/commander/selectState-tiddler\" $field=\"text\" $value=\"\"/> <!-- Inspect selection -->\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n\n<!--\nthere is extra action should be removed\n-->"},"$:/plugins/kookma/commander/search/filter-button/dropdown":{"title":"$:/plugins/kookma/commander/search/filter-button/dropdown","created":"20190214133424013","modified":"20200325055328632","tags":"$:/tags/Commander/Search/FilterButton","type":"text/vnd.tiddlywiki","text":"\\import $:/plugins/kookma/commander/macros/definition\n\n<span class=\"tc-popup-keep\">\n<$button popup=<<qualify \"$:/state/commander/filterDropdown\">> class=\"tc-btn-invisible\" tooltip=\"select a search filter\">\n{{$:/core/images/down-arrow}}\n</$button>\n</span>\n\n<$reveal state=<<qualify \"$:/state/commander/filterDropdown\">> type=\"popup\" position=\"belowleft\" animate=\"yes\">\n<$set name=\"tv-show-missing-links\" value=\"yes\">\n<$linkcatcher to=<<searchboxTid>> >\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Commander/Filter]]\"><$link to={{!!filter}}><$transclude field=\"description\"/></$link>\n</$list>\n</div>\n</div>\n</$linkcatcher>\n</$set>\n</$reveal>"},"$:/plugins/kookma/commander/search/filter-button/save":{"title":"$:/plugins/kookma/commander/search/filter-button/save","created":"20190214141644228","list-after":"$:/plugins/kookma/commander/search/filter-button/clear","modified":"20200325055356657","tags":"$:/tags/Commander/Search/FilterButton","type":"text/vnd.tiddlywiki","text":"\\import $:/plugins/kookma/commander/macros/definition\n\n<$reveal type=\"nomatch\" stateTitle=<<searchboxTid>> stateField=\"text\" text=\"\">\n<$button class=\"tc-btn-invisible\" tooltip=\"save current search\" popup=<<qualify \"$:/state/commander/SaveDropdown\">> >\n{{$:/core/images/file}}\n</$button>\n</$reveal>\n\n<$reveal state=<<qualify \"$:/state/commander/SaveDropdown\">> type=\"popup\" class=\"tc-popup-keep\" position=\"belowleft\" animate=\"yes\">\n<div class=\"tc-block-dropdown-wrapper\">\n<div class=\"tc-block-dropdown tc-edit-type-dropdown\">\n<div class=\"tc-dropdown-item-plain\">\nSave new search filter<br><br>\n<$edit-text\ntiddler=\"$:/temp/commander/save-new-filter\"\ntag=\"input\"\ndefault=\"\"\nclass=\"cmd-txt-area\"\nplaceholder=\"description for new filter\"\n/> <br><br>\n\n<$button class=\"tc-btn\"> Save new filter\n<$macrocall $name=\"create-new-filter\" \n description={{$:/temp/commander/save-new-filter}} \n\tbasetitle=\"$:/plugins/kookma/commander/filters/yourFilter\" \n\tfilter={{{[<searchboxTid>get[text]]}}} \t/>\n<$action-deletetiddler $tiddler=\"$:/temp/commander/save-new-filter\"/>\n<$action-deletetiddler $tiddler=<<qualify \"$:/state/commander/SaveDropdown\">> />\n</$button>\n</div>\n</div>\n</div>\n</$reveal>\n\n<!-- ToDO\ncorrect classes for textbox\n-->"},"$:/plugins/kookma/commander/search/macros/create-new-filter":{"title":"$:/plugins/kookma/commander/search/macros/create-new-filter","created":"20190214142032323","modified":"20200325055356665","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define create-new-filter(description:\"\", basetitle:\"\", filter:\"\")\n<$list filter=\"[<__description__>!is[blank]]\" variable=\"ignore\">\n<$action-createtiddler \n $basetitle=\"\"\"$basetitle$\"\"\"\n tags=\"$:/tags/Commander/Filter\"\n description=<<__description__>>\n filter=\"\"\"$filter$\"\"\"\n /> \n</$list>\n\\end\n"},"$:/plugins/kookma/commander/search/macros/select-deselect":{"title":"$:/plugins/kookma/commander/search/macros/select-deselect","created":"20200106121946448","modified":"20200325055328657","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define select-all()\n<$list filter=\"[subfilter<filtertext>!is[missing]!tag<workingTag>]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-add-tag\" $param=<<workingTag>> />\n</$fieldmangler>\n\\end\n\n\\define deselect-all()\n<$list filter=\"[tag<workingTag>]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-remove-tag\" $param=<<workingTag>> />\n</$fieldmangler>\n\\end"},"$:/plugins/kookma/commander/search/macros/warning":{"title":"$:/plugins/kookma/commander/search/macros/warning","created":"20200106143244633","modified":"20200325055328672","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define warn-for-selectedTids-from-previous-operation()\n<$list filter=\"[tag<workingTag>]-[subfilter<filtertext>]+[count[]!match[0]] \">\n<span style=\"color:red;font-size:0.85em\">There are remained selected tiddlers from previous search! Remove them by pressing ''deselect all''!</span>\n</$list>\n\\end"},"$:/plugins/kookma/commander/search/selection":{"title":"$:/plugins/kookma/commander/search/selection","caption":"Select tiddlers","created":"20190725144912018","modified":"20200325055328684","type":"text/vnd.tiddlywiki","text":"\\import $:/plugins/kookma/commander/macros/definition\n<$checkbox tiddler=<<selectiveOpsTid>> field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\" uncheckactions=<<deselect-all>> > Selective operation?</$checkbox>\n\n<$reveal type=\"nomatch\" stateTitle=<<selectiveOpsTid>> stateField=\"text\" text=\"yes\" tag=\"div\" class=\"kk-commander-tiddler-list kk-commander-columns3\">\n<$list filter=\"[subfilter<filtertext>!prefix[$:/temp/commander]!is[missing]]\"><$link/><br></$list>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<selectiveOpsTid>> stateField=\"text\" text=\"yes\" tag=\"div\">\n<$button actions=<<select-all>> > Select all</$button> <$button actions=<<deselect-all>> > Deselect all</$button>\n<small style=\"margin-left:10px;\">Number of tiddlers selected: <$count filter=\"[tag<workingTag>]\" /></small><br>\n<<warn-for-selectedTids-from-previous-operation>>\n</$reveal>\n\n\n<$reveal type=\"match\" stateTitle=<<selectiveOpsTid>> stateField=\"text\" text=\"yes\" tag=\"div\" class=\"kk-commander-tiddler-list kk-commander-columns3\">\n<$list filter=\"[subfilter<filtertext>!prefix[$:/temp/commander]!is[missing]]\">\n<$checkbox tag=<<workingTag>>> <$link/></$checkbox><br>\n</$list>\n</$reveal>\n\n<!-- Description\nThis tiddler implement interface to selectivly add tiddlers for commander operations.\nEach selected tiddler gets a working tag\n-->"},"$:/plugins/kookma/commander/search/ui":{"title":"$:/plugins/kookma/commander/search/ui","caption":"Commander filter search","created":"20190212055219117","modified":"20200325055356672","type":"text/vnd.tiddlywiki","text":"\\import $:/plugins/kookma/commander/macros/definition\n<!-- above statement is not required and only prevent this tiddler overwritten when this is opened by its own -->\n<div class=\"tc-search tc-advanced-search\" style=\"margin-bottom:-12px;\"><!-- negative margin for displaying matches -->\nCombo search <$edit-text tiddler=<<searchboxTid>> type=\"search\" tag=\"input\" default=\"\" placeholder=\"enter keywords or make a filter search e.g. [tag[myTag]]\" focus={{$:/plugins/kookma/commander/config/AutoFocus}}/>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Commander/Search/FilterButton]!has[draft.of]]\"><$transclude/></$list>\n</div>"},"$:/plugins/kookma/commander/setting/ui":{"title":"$:/plugins/kookma/commander/setting/ui","caption":"Setting","created":"20190214140835173","icon":"$:/core/images/options-button","list-after":"$:/plugins/kookma/commander/inspect/ui","modified":"20200324070652760","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/Setting]!has[draft.of]]\" \ndefault:\"$:/plugins/kookma/commander/setting/uicomp/snr\"\nclass:\"tc-vertical cmd-tab-colorful cmd-tab-wd\">>\n"},"$:/plugins/kookma/commander/setting/uicomp/delete-all-temp-tiddlers":{"title":"$:/plugins/kookma/commander/setting/uicomp/delete-all-temp-tiddlers","caption":"Temporary tiddlers","created":"20190301184304348","list-after":"$:/plugins/kookma/commander/setting/uicomp/relink","modified":"20200325055328690","tags":"$:/tags/Commander/Setting","type":"text/vnd.tiddlywiki","text":"\\define delete-all-temps()\n<$action-deletetiddler $filter=\"[search:title[$:/temp/commander/]]\" />\n\\end\n\n<$set name=numTids value={{{ [search:title[$:/temp/commander/]count[]] }}}>\n<$reveal type=\"match\" text=\"0\" default=<<numTids>> >\nNo temporary tiddlers is existed to delete!\n</$reveal>\n<$reveal type=\"gt\" text=\"0\" default=<<numTids>> >\nNumber of temporary tiddlers (including log tiddlers): <<numTids>><br>\n</$reveal>\n</$set>\n\n<$macrocall $name=\"compConfirmAction\"\nbtnLabel=\"Delete temp tiddlers\" \nconfirmMessage=\"Are you sure you wish to delete\"\nstateTiddler=\"$:/state/commander/setting/delete-temps\"\ncountFilter=\"[search:title[$:/temp/commander/]]\"\nactionMacro=\"delete-all-temps\"/>"},"$:/plugins/kookma/commander/setting/uicomp/minlength":{"title":"$:/plugins/kookma/commander/setting/uicomp/minlength","caption":"Search minimum length","created":"20200110132540295","modified":"20200324070652774","tags":"$:/tags/Commander/Setting","type":"text/vnd.tiddlywiki","text":"Controls the minimum length of a search string before results are displayed.\n\n<label class=\"kk-cmd-label\">Minlength</label><$edit-text tiddler=\"$:/plugins/kookma/commander/config/minlength\" field=text default=3 placeholder=\"enter a number\" tag=input/>"},"$:/plugins/kookma/commander/setting/uicomp/search-filters":{"title":"$:/plugins/kookma/commander/setting/uicomp/search-filters","caption":"Search filter","created":"20200116114041370","modified":"20200325055328703","tags":"$:/tags/Commander/Setting","type":"text/vnd.tiddlywiki","text":"The below list shows the Commander search filter. To edit click on the link and edit tiddler for filter syntax or description\n\n<details>\n<summary>Commander saved filter search</summary>\n<dl>\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/Commander/Filter]]\" >\n<dt><$link/></dt>\n<dd><span style=\"display:inline-block;font-weight:700;width:100px\">Description:</span><$view field=\"description\"/></dd>\n<dd><span style=\"display:inline-block;font-weight:700;width:100px\">Filter:</span><$view field=\"filter\"/></dd>\n</$list>\n</dl>\n</details>"},"$:/plugins/kookma/commander/setting/uicomp/snr":{"title":"$:/plugins/kookma/commander/setting/uicomp/snr","caption":"Snr setting","created":"20190324051157188","modified":"20200324070652789","tags":"$:/tags/Commander/Setting","type":"text/vnd.tiddlywiki","text":"<<snr-difftext-cleanup>>\n<div style=\"padding-left:25px;font-size:0.9em\">\n\nThe cleanup attribute determines which optional post-processing should be applied to the diffs:\n\n* none: no cleanup is performed\n* semantic (default): rewrites the diffs for human readability\n* efficient: rewrites the diffs to minimise the number of operations for subsequent processing\n</div>"},"$:/plugins/kookma/commander/snr/macros/actions":{"title":"$:/plugins/kookma/commander/snr/macros/actions","created":"20190307164924193","modified":"20200325055328711","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define regexp-flags()\n{{$:/state/commander/snr/gm}}{{$:/state/commander/snr/case-sensitive}}{{$:/state/commander/snr/unicode}}\n\\end\n\n\\define snr-ui-show-diffs()\n<form class=\"cmd-snr-form\" style=\"width:100%;\">\n <fieldset>\n <legend style=\"color:#FF0000;\">Differences</legend>\nTiddler: <$link to={{$:/state/commander/snr/select-tiddler}}><$text text={{$:/state/commander/snr/select-tiddler}}/></$link>\n\n<$wikify name=flags text=<<regexp-flags>> >\n\n<$macrocall $name=\"replace-text-diff\" \n tiddler={{$:/state/commander/snr/select-tiddler}} \n field={{$:/state/commander/snr/select-tiddler/field}} \n replace={{$:/state/commander/snr/replace-text}} \n replaceWith={{$:/state/commander/snr/replace-text-with}} \n flags=<<flags>> \n wholeWords={{$:/state/commander/snr/whole-words}}\n/>\n\n</$wikify>\n</fieldset>\n</form>\n\\end\n\n\\define snr-check-diffs(tiddler:\"\", field:\"\")\n\\whitespace trim\n<$wikify name=flags text=<<regexp-flags>> >\n<$wikify name=\"result\" text=\"\"\"\n<$macrocall $name=\"replace-text\" \n tiddler=<<__tiddler__>>\n field=<<__field__>>\n replace={{$:/state/commander/snr/replace-text}} \n replaceWith={{$:/state/commander/snr/replace-text-with}} \n flags=<<flags>> \n wholeWords={{$:/state/commander/snr/whole-words}}\n/>\"\"\">\n<$reveal type=\"match\" text={{{ [<__tiddler__>get<__field__>] }}} default=<<result>> >\nsame\n</$reveal>\n<$reveal type=\"nomatch\" text={{{ [<__tiddler__>get<__field__>] }}} default=<<result>> >\ndifferent\n</$reveal>\n</$wikify>\n</$wikify>\n\\end\n\n\\define search-replace-in-tiddler-field(tiddler:\"\",field:\"\",replace:\"\",replaceWith:\"\")\n<$wikify name=ss text=<<snr-check-diffs \"\"\"$tiddler$\"\"\" \"\"\"$field$\"\"\">> >\n<$list filter=\"[<ss>] -same\" variable=ignore>\n<$list filter=\"[<__tiddler__>has[title]]\" variable=\"ignore\">\n<$wikify name=flags text=<<regexp-flags>> >\n<$macrocall $name=\"replace-text-button\" \n tiddler=<<__tiddler__>> \n field=<<__field__>>\n replace=<<__replace__>>\n replaceWith=<<__replaceWith__>> \n flags=<<flags>> \n wholeWords={{$:/state/commander/snr/whole-words}}\n/>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"`$field$` field has been changed\"\"\" tidItem=<<__tiddler__>> />\n<$macrocall $name=\"clear-select-tiddler-if-field-is-title\" tiddler=<<__tiddler__>> field=<<__field__>> />\n</$wikify>\n</$list>\n</$list>\n</$wikify>\n\\end\n\n\\define clear-select-tiddler-if-field-is-title(tiddler, field)\n<$list filter=\"[<__field__>] +[search[title]]\" variable=\"ignore\">\n<$action-setfield $tiddler=\"$:/state/commander/snr/select-tiddler\" text=\"\"/>\n<$action-setfield $tiddler=\"$:/temp/commander/selected-titles\" $index=<<__tiddler__>> />\n</$list>\n\\end\n\n\\define search-replace-in-field-bulk()\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<<create-log-tiddler \"SNR operation\">>\n<$list filter=\"[subfilter<searchfilter>!is[missing]]\" >\n <$macrocall \n $name=\"search-replace-in-tiddler-field\"\n tiddler=<<currentTiddler>> \n field={{$:/state/commander/snr/select-tiddler/field}} \n replace={{$:/state/commander/snr/replace-text}} \n replaceWith={{$:/state/commander/snr/replace-text-with}} \n />\n</$list>\n</$list>\n\\end\n\n\\define search-replace-in-field-single-tiddler()\n<<create-log-tiddler \"SNR operation\">>\n <$macrocall \n $name=\"search-replace-in-tiddler-field\"\n tiddler={{$:/state/commander/snr/select-tiddler}}\n field={{$:/state/commander/snr/select-tiddler/field}} \n replace={{$:/state/commander/snr/replace-text}} \n replaceWith={{$:/state/commander/snr/replace-text-with}} \n />\n\\end\n\n\\define snr-actions()\n<$button actions=<<search-replace-in-field-single-tiddler>> tooltip=\"search and replace in a single tiddler\" class=\"cmd-btn\">\nReplace?\n</$button> \n<!-- replace in bulk tiddler with confirmation -->\n<$macrocall $name=\"compConfirmAction\"\ncountFilter=<<searchfilter>>\nactionMacro=\"search-replace-in-field-bulk\"\nstateTiddler=\"$:/state/commander/SelectiveReplaceAllDropdown\"\nconfirmMessage=\"Are you sure you wish to replace text in\" \nbtnLabel=\"Replace all?\"/>\n\\end"},"$:/plugins/kookma/commander/snr/macros/inputs":{"title":"$:/plugins/kookma/commander/snr/macros/inputs","created":"20190306203626597","modified":"20200325055328720","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define snr-ui-inputs()\n<form class=\"cmd-snr-form\">\n <fieldset>\n <legend>Search and Replace</legend>\n\n<div class=\"item\">\n<label>Tiddler title</label>\n<$select tiddler=\"$:/state/commander/snr/select-tiddler\" default=\"\" class=\"cmd-snr-textbox\">\n<option value=\"\">None</option>\n<$list filter=\"[subfilter<searchfilter>]\" >\n<option value=<<currentTiddler>>><$text text=<<currentTiddler>>/></option>\n</$list>\n</$select>\n</div>\n\n<div class=\"item\">\n<label>Field name</label>\n<$select tiddler=\"$:/state/commander/snr/select-tiddler/field\" default=\"text\">\n<$list filter=\"[subfilter<searchfilter>fields[]]\">\n<option value=<<currentTiddler>>><$text text=<<currentTiddler>>/></option>\n</$list>\n</$select>\n</div>\n\n<div class=\"item\">\n<label>Search text</label>\n<$edit-text \n tiddler=\"$:/state/commander/snr/replace-text\" \n tag=\"input\" \n default=\"\" \n placeholder=\" text to replace\"\n class=\"cmd-snr-textbox\"/>\n</div>\n\n<div class=\"item\">\n<label>Replace with </label>\n<$edit-text \n tiddler=\"$:/state/commander/snr/replace-text-with\" \n tag=\"input\" \n default=\"\" \n placeholder=\" new text\"\n class=\"cmd-snr-textbox\"/>\n</div>\n<!-- Use relink to update titles -->\n<$list filter=\"[[$:/state/commander/snr/select-tiddler/field]get[text]match[title]]\" variable=ignore>\n<div class=\"item-relink\">{{$:/plugins/kookma/commander/config/relink}}</div>\n</$list>\n</fieldset>\n</form>\n\n\n\\end"},"$:/plugins/kookma/commander/snr/macros/regexp-flags":{"title":"$:/plugins/kookma/commander/snr/macros/regexp-flags","created":"20190306182052441","modified":"20200325055328730","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define snr-regexp-flags()\n\n<form class=\"cmd-snr-form\">\n<fieldset>\n<legend>Search flags</legend>\n\n<$checkbox \n tiddler=\"$:/state/commander/snr/case-sensitive\" \n field=\"text\" \n checked=\"i\" \n unchecked=\"\"\n/> ignore case<br>\n\n<$checkbox \n tiddler=\"$:/state/commander/snr/whole-words\" \n field=\"text\" \n checked=\"words\" \n unchecked=\"characters\"\n/> whole words<br>\n\n<$checkbox \n tiddler=\"$:/state/commander/snr/unicode\" \n field=\"text\" \n checked=\"u\" \n unchecked=\"\"\n/> unicode<br>\n\n<br>\n\n<$radio\n tiddler=\"$:/state/commander/snr/gm\" \n field=\"text\" \n value=\"\"> first match \n</$radio><br>\n\n<$radio\n tiddler=\"$:/state/commander/snr/gm\" \n field=\"text\" \n value=\"g\"> global match\n</$radio><br>\n\n<$radio\n tiddler=\"$:/state/commander/snr/gm\" \n field=\"text\" \n value=\"m\"> multiline mode\n</$radio><br>\n\n<$radio\n tiddler=\"$:/state/commander/snr/gm\" \n field=\"text\" \n value=\"mg\"> global multiline mode\n</$radio>\n\n</fieldset>\n</form>\n\\end"},"$:/plugins/kookma/commander/snr/macros/regexpsub.js":{"title":"$:/plugins/kookma/commander/snr/macros/regexpsub.js","text":"/*\\\ncreated: 20190214160253135\ntype: application/javascript\ntitle: $:/plugins/kookma/commander/snr/macros/regexpsub.js\nmodified: 20200307092210323\nmodule-type: macro\n\nMake regular expression substitutions\nDeveloped by Mark S\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n\"use strict\";\n\nexports.name = \"regexpsub\";\n\nexports.params = [\n\t{name: \"searchValue\"},\n\t{name: \"replaceValue\"},\n\t{name: \"sourceText\"},\n\t{name: \"flags\"},\n {name: \"wholeWords\"}\n];\n\n/*\nRun the macro\n*/\nexports.run = function(searchValue, replaceValue, sourceText, flags = \"gi\", wholeWords = \"characters\") {\n \n try {\n \n\tvar searchText;\n if(wholeWords.toLowerCase() === 'words'){\n searchText = \"\\\\b\" + searchValue + \"\\\\b\";\n } else{\n searchText = searchValue;\n }\n\t\t\n searchText = new RegExp(searchText, flags);\n return sourceText.replace(searchText,replaceValue);\n\n } \n catch(err) { \n return \"ERROR IN REG EXPRESSION. YOU MAY NEED TO ESCAPE VALUES\"; \n }\n \n };\n\n})();\n","created":"20190214160253135","type":"application/javascript","modified":"20200324070652819","module-type":"macro"},"$:/plugins/kookma/commander/snr/macros/replace-text":{"title":"$:/plugins/kookma/commander/snr/macros/replace-text","created":"20190227134610136","modified":"20200325055328737","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define nothing-to-replace(tiddler, field:\"text\") \n<$diff-text source={{{ [<__tiddler__>get<__field__>] }}} dest={{{ [<__tiddler__>get<__field__>] }}} />\n\\end\n\n\\define replace-text(tiddler:\"\",field:\"text\",replace:\"\",replaceWith:\"\",flags:\"\", wholeWords:\"\")\n\\whitespace trim\n<$wikify name=\"source\" text=\"\"\"<$view tiddler=<<__tiddler__>> field=<<__field__>> mode=\"block\" format=\"text\"/>\"\"\">\n<$wikify name=\"result\" \n text=\"\"\"<$macrocall $name=\"regexpsub\" $type=\"text/plain\" \n sourceText=<<source>> \n searchValue=<<__replace__>> \n replaceValue=<<__replaceWith__>> \n flags=<<__flags__>> \n wholeWords=<<__wholeWords__>> />\"\"\" \n >\n<$text text=<<result>>/>\n</$wikify>\n</$wikify>\n\\end\n\n\\define replace-text-diff(tiddler:\"\", field:\"text\", replace, replaceWith, flags:\"\", wholeWords:\"\")\n<$list filter=\"[<__tiddler__>get<__field__>minlength[1]]\" variable=null emptyMessage=\"No tiddler is selected, or tiddler is empty.\">\n<$list filter=\"[<__replace__>minlength[1]]\" variable=null emptyMessage=<<nothing-to-replace \"\"\"$tiddler$\"\"\" \"\"\"$field$\"\"\">> >\n\n<$wikify name=\"result\" \n text=\"\"\"<$macrocall $name=\"replace-text\" \n tiddler=<<__tiddler__>> field=<<__field__>> \n replace=<<__replace__>> \n replaceWith=<<__replaceWith__>> \n flags=<<__flags__>>\n wholeWords=<<__wholeWords__>> />\"\"\"\n >\n<$diff-text source={{{ [<__tiddler__>get<__field__>] }}} dest=<<result>> cleanup={{$:/state/commander/snr/setting/cleanup}}/>\n</$wikify>\n\n</$list>\n</$list>\n\\end\n\n\\define replace-text-button(tiddler:\"\", field:\"text\", replace:\"\", replaceWith:\"\", flags:\"\", wholeWords:\"\")\n\\whitespace trim\n<$list filter=\"[<__tiddler__>get<__field__>minlength[1]]\" variable=null>\n<$list filter=\"[<__replace__>minlength[1]]\" variable=null>\n<$wikify name=\"result\" \n text=\"\"\"<$macrocall $name=\"replace-text\" \n tiddler=<<__tiddler__>> \n field=<<__field__>> \n replace=<<__replace__>> \n replaceWith=<<__replaceWith__>> \n flags=<<__flags__>>\n wholeWords=<<__wholeWords__>> />\"\"\"\n >\n<$reveal type=\"nomatch\" default=\"title\" text=<<__field__>> >\n <$action-setfield $tiddler=<<__tiddler__>> $field=<<__field__>> $value=<<result>>/>\n</$reveal>\n<$reveal type=\"match\" default=\"title\" text=<<__field__>> >\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<__tiddler__>> to=<<result>> />\n</$reveal>\n</$wikify>\n</$list>\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/snr/ui":{"title":"$:/plugins/kookma/commander/snr/ui","caption":"Snr","created":"20190220210055713","icon":"$:/core/images/gitter","list-after":"$:/plugins/kookma/commander/inspect/ui","modified":"20200324070652833","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"Search and replcae in tiddler fields!\n\n<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/SnrOps]!has[draft.of]]\" \ndefault:\"$:/plugins/kookma/commander/snr/uicomp/replace-text\"\nclass:\"tc-vertical cmd-tab-colorful cmd-tab-wd\">>\n\n"},"$:/plugins/kookma/commander/snr/uicomp/replace-text":{"title":"$:/plugins/kookma/commander/snr/uicomp/replace-text","caption":"Search and replace","created":"20190220211138573","icon":"$:/core/images/gitter","modified":"20200325055328748","tags":"$:/tags/Commander/SnrOps","type":"text/vnd.tiddlywiki","text":"<div class=\"cmd-snr-row\">\n<div class=\"cmd-snr-double-column\">\n<<snr-ui-inputs>>\n</div>\n<div class=\"cmd-snr-column\">\n<<snr-regexp-flags>> \n</div>\n</div>\n<<snr-ui-show-diffs>>\n<<snr-actions>>"},"$:/plugins/kookma/commander/stylesheet/alert":{"title":"$:/plugins/kookma/commander/stylesheet/alert","text":".commander-alert {\n padding: 0.75rem 1.25rem;\n margin-bottom: 1rem;\n border: 1px dotted gray;\n border-radius: 0.25rem;\n color: #0c5460;\n background-color: #d1ecf1;\n border-color: #bee5eb;\n\t\n}","created":"20190725161822490","modified":"20200325092557277","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/buttons":{"title":"$:/plugins/kookma/commander/stylesheet/buttons","text":"/**\n.cmd-btn{\n font-size:0.85em;\n font-size:300;\n color: #666666;\n background-color: #d8d8d8;\n padding: 3px 8px 3px 8px;\n border: 1px solid #a1a1a1;\n}\n**/\n\n.cmd-btn-wd{\n\twidth:20ch;\n}","created":"20190317080436103","modified":"20200324070652859","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/compInspect/table":{"title":"$:/plugins/kookma/commander/stylesheet/compInspect/table","text":"/*compInspect table*/\n.cmd-ci-table\n{border-collapse: collapse;}\n\n.cmd-ci-table .leftcol{\nwidth:20%;\nvertical-align: top;\ntext-align: right;\nbackground-color:#f0f0f0;\n}\n.cmd-ci-table .rightcol{\nwidth:650px;\n}\n","created":"20190308134702363","modified":"20200324070652871","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/new":{"title":"$:/plugins/kookma/commander/stylesheet/new","text":".kk-commander-log-note{\n\tfont-style:italic; font-size:small;\n}\n.kk-commander-log-item {\n\tcolor:green;\n}\n\n/* This is missing and I added it */\n.cmd-txt-area{\n\tmin-width:25ch;\n}\n\n.cmd-txt-area2{\n\tmin-width:50ch;\n}\n\n/* Correct this later*/\n.kk-cmd-label{\n\tdisplay:inline-block;\n\twidth:85px;\n}\n\n.kk-cmd-label2{\n\tdisplay:inline-block;\n\twidth:120px;\n}","created":"20200105140038538","modified":"20200324070652878","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/select-width":{"title":"$:/plugins/kookma/commander/stylesheet/select-width","text":".cmd-sl{\n width:8ch;\n}\n\n.cmd-select-wd{\n min-width:25ch;\n}\n","created":"20190218214027079","modified":"20200324070652887","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/snr/flexbox":{"title":"$:/plugins/kookma/commander/stylesheet/snr/flexbox","text":".cmd-snr-row {\n display: flex;\n flex-direction: row;\n flex-wrap: wrap;\n width: 100%;\n}\n.cmd-snr-column {\n display: flex;\n flex-direction: column;\n flex-basis: 100%;\n flex: 1\n}\n\n.cmd-snr-double-column {\n display: flex;\n flex-direction: column;\n flex-basis: 100%;\n flex: 2\n}\n\n@media screen and (min-width: 800px) {\n .cmd-snr-column {\n flex: 1\n }\n\n .cmd-snr-double-column {\n flex: 2\n }\n}","created":"20190306203517655","modified":"20200324070652893","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/snr/form":{"title":"$:/plugins/kookma/commander/stylesheet/snr/form","text":".cmd-snr-form{\n width:100%;\n}\n\n.cmd-snr-textbox {\n width:100%;\n box-sizing: border-box;\n max-width:40ch;\n }\n\n.cmd-snr-form select, .cmd-snr-form input{\n margin: 0.2rem;\n}\n.cmd-snr-form legend{\n background: #f5f5f5;\n padding: 3px 6px;\n}\n\n.cmd-snr-form { padding:20px; }\n.cmd-snr-form .item { padding: 2px; margin:2px; }\n.cmd-snr-form .item label { display:inline-block; width:100px; margin-left:5px; }\n.cmd-snr-form .item input { display:inline-block; }\n.cmd-snr-form .item select { display:inline-block; }\n\n/* only for relink checkbox on renaming tiddler */\n.cmd-snr-form .item-relink { padding: 2px; margin:2px; }\n\n ","created":"20190306191714819","modified":"20200324070652907","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/stylesheet/tiddler-list":{"title":"$:/plugins/kookma/commander/stylesheet/tiddler-list","text":".kk-commander-tiddler-list {\n font-size:small; \n\tborder-left:0.5px \n\tdotted grey;\n\tpadding-left:10px;\n\toverflow-y:auto;\n\tmax-width:100%;\n\tmax-height:$(height)$;\n}\n\n.kk-commander-columns1 { display:block; column-count:1; column-gap:1em; }\n.kk-commander-columns2 { display:block; column-count:2; column-gap:1em; }\n.kk-commander-columns3 { display:block; column-count:3; column-gap:1em; }\n.kk-commander-columns4 { display:block; column-count:4; column-gap:1em; }\n.kk-commander-columns5 { display:block; column-count:5; column-gap:1em; }","created":"20200103165931672","modified":"20200324070652922","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/commander/tag/macros/add-remove-replace":{"title":"$:/plugins/kookma/commander/tag/macros/add-remove-replace","created":"20190212063435548","modified":"20200325055328754","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define add-new-tag-bulk(newTag:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__newTag__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"add-new-tag-bulk\">>\n<$list filter=\"[subfilter<searchfilter>!tag<__newTag__>]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-add-tag\" $param=<<__newTag__>> />\n</$fieldmangler>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"new tag `$newTag$` added\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define remove-old-tag-bulk(oldTag:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore>\n<$list filter=\"[<__oldTag__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"remove-old-tag-bulk\">>\n<$list filter=\"[subfilter<searchfilter>tag<__oldTag__>]\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-remove-tag\" $param=<<__oldTag__>> />\n</$fieldmangler>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"old tag `$oldTag$` removed\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n\\end\n\n\n\\define replace-tag-bulk(oldTag:\"\", newTag:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore>\n<$list filter=\"[<__oldTag__>!is[blank]]\" variable=null>\n<$list filter=\"[<__newTag__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"replace-tag-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]\">\n<$list filter=\"[<currentTiddler>tag<__oldTag__>]\" variable=ignore\n emptyMessage=\"\"\"<$macrocall $name=\"log-add-single-operation\" msg=\"//has not tag `$oldTag$`. Operation ignored.//\" tidItem=<<currentTiddler>> /> \"\"\">\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-add-tag\" $param=<<__newTag__>> />\n<$action-sendmessage $message=\"tm-remove-tag\" $param=<<__oldTag__>> />\n</$fieldmangler>\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"tag `$oldTag$` replaced with `$newTag$`\"\"\" tidItem=<<currentTiddler>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n\n"},"$:/plugins/kookma/commander/tag/ui":{"title":"$:/plugins/kookma/commander/tag/ui","caption":"Tag","created":"20190212051852003","list-after":"$:/plugins/kookma/commander/title/ui","modified":"20200325055328765","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/TagOps]!has[draft.of]]\" default:\"$:/plugins/kookma/commander/tag/uicomp/add-remove-tags\" \nclass:\"tc-vertical cmd-tab-wd\">>\n\n"},"$:/plugins/kookma/commander/tag/uicomp/add-remove-tags":{"title":"$:/plugins/kookma/commander/tag/uicomp/add-remove-tags","caption":"Add remove tags","created":"20190212063924640","modified":"20200325055328771","tags":"$:/tags/Commander/TagOps","type":"text/vnd.tiddlywiki","text":"<label class=\"kk-cmd-label\">New tag</label><$edit-text\ntiddler=\"$:/temp/commander/tag-add-remove/new\"\ntag=\"input\"\ndefault=\"\"\nclass=\"cmd-txt-area\"\nplaceholder=\" new tag\"/>\n<$button class=\"cmd-btn cmd-btn-wd\"> Add new tag\n<$macrocall $name=\"add-new-tag-bulk\" newTag={{$:/temp/commander/tag-add-remove/new}} />\n</$button>\n\n<label class=\"kk-cmd-label\">Old tag</label><$select class=\"cmd-select-wd\" tiddler=\"$:/temp/commander/tag-add-remove/old\" default=\"\" >\n<$list filter=\"[subfilter<searchfilter>!is[missing]tags[]sort[]]-[<workingTag>]\" >\n<option><$view field=\"title\"/></option>\n</$list>\n</$select>\n<$button class=\"cmd-btn cmd-btn-wd\"> Remove old tag\n<$macrocall $name=\"remove-old-tag-bulk\" oldTag={{$:/temp/commander/tag-add-remove/old}} />\n</$button>\n\n"},"$:/plugins/kookma/commander/tag/uicomp/replace-tags":{"title":"$:/plugins/kookma/commander/tag/uicomp/replace-tags","caption":"Replace tags","created":"20190212080523588","list-after":"$:/plugins/kookma/commander/tag/uicomp/add-remove-tags","modified":"20200325055328782","tags":"$:/tags/Commander/TagOps","type":"text/vnd.tiddlywiki","text":"<label class=\"kk-cmd-label\">Old tag</label><$select class=\"cmd-select-wd\" tiddler=\"$:/temp/commander/replace-tags/old\" default=\"\">\n<$list filter=\"[subfilter<searchfilter>!is[missing]tags[]sort[]]-[<workingTag>]\" >\n<option><$view field=\"title\"/></option>\n</$list>\n</$select>\n\n<label class=\"kk-cmd-label\">New tag</label><$edit-text\ntiddler=\"$:/temp/commander/replace-tags/new\"\ntag=\"input\"\ndefault=\"\"\nclass=\"cmd-txt-area\"\nplaceholder=\" new tag\"\n/>\n<$button class=\"cmd-btn cmd-btn-wd\"> Replace tag\n<$macrocall $name=\"replace-tag-bulk\" \noldTag={{$:/temp/commander/replace-tags/old}} \nnewTag={{$:/temp/commander/replace-tags/new}} />\n</$button>\n\n"},"$:/plugins/kookma/commander/template/base":{"title":"$:/plugins/kookma/commander/template/base","caption":"base","created":"20190213200622605","modified":"20200324070652960","tags":"$:/tags/Commander/Template","type":"text/vnd.tiddlywiki","text":"This is a simple one!"},"$:/plugins/kookma/commander/template/doc":{"title":"$:/plugins/kookma/commander/template/doc","caption":"documentation","created":"20190213200338381","modified":"20200324070652969","tags":"$:/tags/Commander/Template","type":"text/vnd.tiddlywiki","version":"beta","text":"!! Introduction\n<<<\nshort introduction\n<<<\n\n!! Content and parameters\n<<<\nThe content, attributes and parameters\n\n|!Parameter |!Description |\n|par1 |description |\n|par2 |description |\n<<<\n\n!! Example i\n<<<\nexample here\n<<<\n\n!! Example ii\n<<<\nanother example here\n<<<\n\n!! References\n<<<\n# one\n# Two\n<<<"},"$:/plugins/kookma/commander/template/simple":{"title":"$:/plugins/kookma/commander/template/simple","caption":"simple","created":"20190213200258460","modified":"20200324070652975","tags":"$:/tags/Commander/Template","type":"text/vnd.tiddlywiki","version":"beta","text":"! Heading one\nThis is sunsection i\n\n!! Heading two\nThis is subsection ii.\n\n* one\n* two\n* three\n\n!!! Heading three\nThis is subsction iii\n\n```\nA sample code\n```\n\n!! Sample table\n|Table 1. This is caption|c\n|Heading one|Heading Two|Heading Three|h\n|r1|100|200|\n|r2|02|03|\n|r3|-1|-2|\n"},"$:/plugins/kookma/commander/tiddler/macros/create":{"title":"$:/plugins/kookma/commander/tiddler/macros/create","created":"20190212125920722","modified":"20200325055328790","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define log-create(msg:\"Tiddler existed, operation ignored\")\n<$action-setfield $tiddler={{$:/state/commander/log-tiddler}} $index=\"$(newTitle)$\" $value=\"$msg$\" />\n\\end\n\n\\define bulk-tiddler-creator(baseTitle, baseTags, datafieldsTid, numberTiddler:\"1\")\n<$list filter=\"[<__baseTitle__>!is[blank]]\" variable=ignore>\n<<create-log-tiddler \"bulk-tiddler-creator\">>\n<$list filter=\"[range[9]addprefix[00]] [range[10,99]addprefix[0]] [range[100,500]] +[limit<__numberTiddler__>]\" variable=\"L1\">\n<$list filter=\"[<__baseTitle__>addsuffix<L1>]\" variable=\"newTitle\">\n<$list filter=\"[<newTitle>] -[has[title]]\" variable=\"ignore\" emptyMessage=<<log-create>> >\n<$action-createtiddler $basetitle=<<newTitle>> tags=<<__baseTags__>> /> \n<$vars datafieldsTid=<<__datafieldsTid__>> >\n<<add-bulk-fields>>\n</$vars>\n<<log-create \"Tiddler created\">>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define oneTid-with-template()\n<$tiddler tiddler=<<newTitle>> >\n <$action-setfield $tiddler=<<myTemplate>> title=<<currentTiddler>> /> \n <$fieldmangler>\n <$list filter=\"[enlist<myTags>]\" variable=newTag>\n <$action-sendmessage $message=\"tm-add-tag\" $param=<<newTag>> />\n </$list><!--next remove extra tag used by template from new created tiddler-->\n <$action-sendmessage $message=\"tm-remove-tag\" $param=\"$:/tags/Commander/Template\" />\n</$fieldmangler>\n</$tiddler>\n\\end\n\n\n\\define bulk-tiddler-with-template-creator(baseTitle, baseTags, datafieldsTid, numberTiddler:\"1\", template:\"\")\n<$list filter=\"[<__baseTitle__>!is[blank]]\" variable=ignore>\n<<create-log-tiddler \"bulk-tiddler-creator with template\">>\n<$vars datafieldsTid=<<__datafieldsTid__>>\n myTags=<<__baseTags__>> \n myTemplate=<<__template__>> >\n<$list filter=\"[range[9]addprefix[00]] [range[10,99]addprefix[0]] [range[100,500]] +[limit<__numberTiddler__>]\" variable=\"L1\">\n<$list filter=\"[<__baseTitle__>addsuffix<L1>]\" variable=\"newTitle\">\n<$list filter=\"[<newTitle>!has[title]]\" variable=\"ignore\" emptyMessage=<<log-create>> >\n <<oneTid-with-template>>\n <<add-bulk-fields>>\n <<log-create \"Tiddler created\">>\n</$list>\n</$list>\n</$list>\n</$vars>\n</$list>\n\\end\n\n"},"$:/plugins/kookma/commander/tiddler/macros/delete":{"title":"$:/plugins/kookma/commander/tiddler/macros/delete","created":"20190215195221625","modified":"20200325055328801","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define log-delete-selectively(item, msg:\"Tiddler deleted\")\n<$action-setfield $tiddler={{$:/state/commander/log-tiddler}} $index=<<__item__>> $value=\"$msg$\" />\n\\end\n\n\\define delete-tiddlers-selective-bulk()\n<$list filter=\"[subfilter<searchfilter>limit[1]]\" variable=\"ignore\"><!-- at least searchfilter shall return one tiddler-->\n<<create-log-tiddler \"delete-tiddler-selectively\">>\n<$list filter=\"[subfilter<searchfilter>]\" variable=\"Item\">\n<$action-deletetiddler $tiddler=<<Item>> />\n<$macrocall $name=\"log-delete-selectively\" item=<<Item>> />\n</$list>\n</$list>\n\\end"},"$:/plugins/kookma/commander/tiddler/macros/export":{"title":"$:/plugins/kookma/commander/tiddler/macros/export","created":"20200113101003510","modified":"20200325055328808","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define add-export-tag()\n<$list filter=<<searchfilter>> >\n<$fieldmangler>\n<$list filter=\"[enlist{$(exportTagTid)$}]~[[exported]]\" variable=\"exportTag\" ><!-- add all export tags-->\n<$action-sendmessage $message=\"tm-add-tag\" $param=<<exportTag>> />\n</$list>\n<$action-sendmessage $message=\"tm-remove-tag\" $param=<<workingTag>> />\n</$fieldmangler>\n</$list>\n\\end\n\n\\define remove-export-tag()\n<$list filter=<<searchfilter>> >\n<$fieldmangler>\n<$list filter=\"[<selectiveOpsTid>get[text]match[yes]]\" variable=ignore>\n<$action-sendmessage $message=\"tm-add-tag\" $param=<<workingTag>> />\n</$list>\n<$list filter=\"[enlist{$(exportTagTid)$}]~[[exported]]\" variable=\"exportTag\" ><!-- remove all export tags-->\n<$action-sendmessage $message=\"tm-remove-tag\" $param=<<exportTag>> />\n</$list>\n</$fieldmangler>\n</$list>\n\\end\n\n\\define log-export-selectively(msg:\"Tiddler exported\")\n<$list filter=\"[subfilter<searchfilter>]\" variable=\"item\">\n<$action-setfield $tiddler={{$:/state/commander/log-tiddler}} $index=<<item>> $value=\"$msg$\" />\n</$list>\n\\end\n\n\n\\define commander-exportButton(exportFilter:\"[!is[system]sort[title]]\",lingoBase,baseFilename:\"tiddlers\")\n<span class=\"tc-popup-keep\"><$button popup=<<qualify \"$:/state/popup/export\">> tooltip={{$lingoBase$Hint}} aria-label={{$lingoBase$Caption}} class=<<tv-config-toolbar-class>> selectedClass=\"tc-selected\">\n<$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n{{$:/core/images/export-button}}\n</$list>\n<$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n<span class=\"tc-btn-text\"><$text text={{$lingoBase$Caption}}/></span>\n</$list>\n</$button></span><$reveal state=<<qualify \"$:/state/popup/export\">> type=\"popup\" position=\"below\" animate=\"yes\">\n<div class=\"tc-drop-down\">\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/Exporter]]\">\n<$set name=\"extension\" value={{!!extension}}>\n<$button class=\"tc-btn-invisible\">\n<<create-log-tiddler \"exportButton: bulk tiddlers exported\">>\n<$macrocall $name=\"log-export-selectively\"/><!--log any tiddler exported-->\n<<add-export-tag>><!-- by Mohammad-->\n<$action-sendmessage $message=\"tm-download-file\" $param=<<currentTiddler>> exportFilter=\"\"\"$exportFilter$\"\"\" filename=<<exportButtonFilename \"\"\"$baseFilename$\"\"\">>/>\n<$action-deletetiddler $tiddler=<<qualify \"$:/state/popup/export\">>/>\n<$transclude field=\"description\"/>\n<<remove-export-tag>><!-- by Mohammad-->\n</$button>\n</$set>\n</$list>\n</div>\n</$reveal>\n\\end"},"$:/plugins/kookma/commander/tiddler/ui":{"title":"$:/plugins/kookma/commander/tiddler/ui","caption":"Tiddler","created":"20190212125319261","list-before":"$:/plugins/kookma/commander/title/ui","modified":"20200325055328821","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/TiddlerOps]!has[draft.of]]\"\ndefault:\"$:/plugins/kookma/commander/tiddler/uicomp/create-tiddlers\"\nclass:\"tc-vertical cmd-tab-colorful cmd-tab-wd\">>\n"},"$:/plugins/kookma/commander/tiddler/uicomp/create-tiddlers":{"title":"$:/plugins/kookma/commander/tiddler/uicomp/create-tiddlers","caption":"Create tiddlers","created":"20190213193004029","modified":"20200325055328832","tags":"$:/tags/Commander/TiddlerOps","type":"text/vnd.tiddlywiki","text":"<label class=\"kk-cmd-label\">Base title</label>\n<$edit-text \n tiddler=\"$:/temp/commander/tiddler-base-title\" \n tag=\"input\" default=\"\"\n placeholder=\" base title\" \n class=\"cmd-txt-area\"/><br>\n\n<label class=\"kk-cmd-label\">Tags</label>\n<$edit-text \n tiddler=\"$:/temp/commander/tiddler-base-tags\" \n tag=\"input\" default=\"\"\n placeholder=\" tags\" \n class=\"cmd-txt-area\"\n/> \n\n<!-- add interface for adding fields -->\n<$macrocall $name=\"add-more-fields-selective-ui\"\n datafieldsTid=\"$:/temp/commander/tiddler/datafields/createTiddler\"\n stateTiddler=\"$:/state/commander/tiddler-addfields-status\" />\n\n\n<$checkbox \n tiddler=\"$:/state/commander/tiddler-template-status\" \n field=\"text\" \n checked=\"use-template\" unchecked=\"no-template\"\n default=\"no-template\"> \n Use template?\n</$checkbox>\n\n<$reveal type=\"match\" state=\"$:/state/commander/tiddler-template-status\" text=\"use-template\" default=\"no-template\" >\nTemplate\n<$select tiddler=\"$:/temp/commander/template-newtiddler\" \n default=\"$:/plugins/kookma/commander/template/base\">\n<$list filter='[all[shadows+tiddlers]tag[$:/tags/Commander/Template]]'>\n<option value=<<currentTiddler>> >\n<$view field=\"caption\"><$view field=\"title\"/></$view>\n</option>\n</$list>\n</$select>\n<$button class=\"tc-btn-invisible\"> {{$:/core/images/edit-button}}\n <$action-sendmessage $message=\"tm-edit-tiddler\" \n $param={{{ [[$:/temp/commander/template-newtiddler]get[text]]~[[$:/plugins/kookma/commander/template/base]] }}} />\n</$button>\n\n</$reveal>\n\nNumber of tiddlers \n<$select tiddler=\"$:/temp/commander/tiddler-base-number\" default='1'>\n<$list filter='[range[1,19, 1]] [range[20,50, 5]] [range[60,100,10]] [range[200,500,100]]'>\n<option value=<<currentTiddler>>><$view field='title'/></option>\n</$list>\n</$select>\n\n<!-- call macros for create tiddlers -->\n<$reveal type=\"nomatch\" state=\"$:/state/commander/tiddler-template-status\" text=\"use-template\" default=\"no-template\">\n <$button class=\"cmd-btn cmd-btn-wd\"> Create tiddlers\n <$macrocall $name=\"bulk-tiddler-creator\"\n baseTitle={{$:/temp/commander/tiddler-base-title}}\n baseTags={{$:/temp/commander/tiddler-base-tags}}\n datafieldsTid=\"$:/temp/commander/tiddler/datafields/createTiddler\"\n numberTiddler={{$:/temp/commander/tiddler-base-number}} />\n </$button>\n</$reveal>\n<$reveal type=\"match\" state=\"$:/state/commander/tiddler-template-status\" text=\"use-template\" default=\"no-template\">\n <$button class=\"cmd-btn cmd-btn-wd\"> Create tiddlers\n <$macrocall $name=\"bulk-tiddler-with-template-creator\"\n baseTitle={{$:/temp/commander/tiddler-base-title}}\n baseTags={{$:/temp/commander/tiddler-base-tags}}\n datafieldsTid=\"$:/temp/commander/tiddler/datafields/createTiddler\"\n numberTiddler={{$:/temp/commander/tiddler-base-number}}\n template={{$:/temp/commander/template-newtiddler}}/>\n </$button>\n</$reveal>\n"},"$:/plugins/kookma/commander/tiddler/uicomp/delete-tiddlers":{"title":"$:/plugins/kookma/commander/tiddler/uicomp/delete-tiddlers","caption":"Delete tiddlers","created":"20190301144408502","list-after":"$:/plugins/kookma/commander/tiddler/uicomp/create-tiddlers","modified":"20200325055328839","tags":"$:/tags/Commander/TiddlerOps","type":"text/vnd.tiddlywiki","text":"<$set name=numTids value={{{[subfilter<searchfilter>!is[missing]count[]] }}}>\n<$reveal type=\"match\" text=\"0\" default=<<numTids>> >\nNo tiddlers is selected for deleteion!\n</$reveal>\n<$reveal type=\"gt\" text=\"0\" default=<<numTids>> >\n\nNumber of tiddlers selected for deletion: <<numTids>><br>\nNote that, the delete operation cannot be undone!!\n<br>\n\n<$macrocall $name=\"compConfirmAction\"\ncountFilter=\"[subfilter<searchfilter>]\"\nactionMacro=\"delete-tiddlers-selective-bulk\"\nstateTiddler=\"$:/state/commander/SelectiveDeleteDropdown\"\n/>\n\n</$reveal>\n</$set>\n\n\n"},"$:/plugins/kookma/commander/tiddler/uicomp/export-tiddlers":{"title":"$:/plugins/kookma/commander/tiddler/uicomp/export-tiddlers","caption":"Export tiddlers","created":"20200112093148417","list-after":"$:/plugins/kookma/commander/tiddler/uicomp/delete-tiddlers","modified":"20200325055328851","tags":"$:/tags/Commander/TiddlerOps","type":"text/vnd.tiddlywiki","text":"\\define export-tiddler-ui(baseFilename)\n<$set name=\"exportList\" filter=<<searchfilter>> ><!-- without this $SetWidget the exportButton does not work -->\n<$vars tv-config-toolbar-text=\"yes\" tv-config-toolbar-class=\"tc-button tt-button\">\n<$macrocall $name=\"commander-exportButton\" exportFilter=<<exportList>> lingoBase=\"$:/language/Buttons/ExportTiddlers/\" baseFilename=\"\"\"$baseFilename$\"\"\"/>\n</$vars>\n</$set>\n\\end\n\n\\define exportFileName-and-Tags-ui() \n<label class=\"kk-cmd-label2\">Export filename</label><$edit-text tiddler=\"$:/temp/commander/exportFilename\" tag=input default=\"tiddlers\" placeholder=\"tiddlers\" class=\"cmd-txt-area\"/>\n<br>\n\n<label class=\"kk-cmd-label2\">Export tags</label><$edit-text tiddler=\"$:/temp/commander/exportTag\" tag=input default=\"exported\" placeholder=\"exported\" class=\"cmd-txt-area\"/><br>\n\\end\n\n<$set name=numTids value={{{[subfilter<searchfilter>!is[missing]count[]] }}}>\n<$reveal type=\"match\" text=\"0\" default=<<numTids>> >\nNo tiddlers is selected for export!\n</$reveal>\n\n<$reveal type=\"gt\" text=\"0\" default=<<numTids>> >\n\nNumber of tiddlers selected for export: <<numTids>><br>\n\n<<exportFileName-and-Tags-ui>>\n<$macrocall $name=\"export-tiddler-ui\" baseFilename={{{[[$:/temp/commander/exportFilename]get[text]]~[[tiddlers]]}}} />\n</$reveal>\n</$set>\n\n"},"$:/plugins/kookma/commander/title/macros/prefix":{"title":"$:/plugins/kookma/commander/title/macros/prefix","created":"20190212122742891","modified":"20200325055328857","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define log-changePrefix(message, oldTitle, newTitle)\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"$message$. New title [[$newTitle$]]\"\"\" tidItem=<<__oldTitle__>> />\n\\end\n\n\\define addPrefix-to-tiltle-bulk(prefix:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__prefix__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"addPrefix-to-tiltle-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]\">\n<$list filter=\"\"\"[<currentTiddler>addprefix[$prefix$]] -[<currentTiddler>]\"\"\" variable=\"newTitle\">\n<$list filter=\"[<newTitle>] -[has[title]]\" variable=\"ignore\">\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<currentTiddler>> to=<<newTitle>> />\n <$macrocall $name=log-changePrefix message=\"title prefix: `$prefix$` added\" oldTitle=<<currentTiddler>> newTitle=<<newTitle>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define removePrefix-from-tiltle-bulk(prefix:\"\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__prefix__>!is[blank]]\" variable=null>\n<<create-log-tiddler \"removePrefix-from-tiltle-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]\">\n<$list filter=\"\"\"[<currentTiddler>removeprefix[$prefix$]] -[<currentTiddler>]\"\"\" variable=\"newTitle\">\n<$list filter=\"[<newTitle>] -[has[title]]\" variable=\"ignore\">\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<currentTiddler>> to=<<newTitle>> />\n <$macrocall $name=log-changePrefix message=\"title prefix: `$prefix$` removed\" oldTitle=<<currentTiddler>> newTitle=<<newTitle>> />\n</$list> \n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/title/macros/remove-cahrs-end":{"title":"$:/plugins/kookma/commander/title/macros/remove-cahrs-end","created":"20190218190318901","modified":"20200325055328869","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define suffix-actions2()\n<$list filter=\"[subfilter<searchfilter>]+[prefix<sfx>]\" variable=\"item\">\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<item>> to=<<sfx>> />\n <$macrocall $name=\"log-add-single-operation\" msg=\"\"\"characters removed from end. New title [[$(sfx)$]]\"\"\" tidItem=<<item>> />\n</$list>\n\\end\n\n\n\\define makelink2() [[$(newTitle)$]]\n\n\\define generate-suffixes2(n:\"0\")\n<$list filter=\"[<__n__>] -0\" variable=null>\n<$list filter=\"[subfilter<searchfilter>]\" variable=\"item\">\n<$list filter=\"\"\"[<item>split[]butlast[$n$]join[]trim[]]\"\"\" variable=\"newTitle\">\n<$text text=<<makelink2>>/>\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define remove-chars-from-end-tiltle-bulk(num:\"0\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__num__>] -0\" variable=null>\n<<create-log-tiddler \"remove-chars-from-end-tiltle-bulk\">>\n<$vars n=<<__num__>> >\n<$wikify text=\"\"\"<<generate-suffixes2 n:\"$num$\">>\"\"\" name=\"outputs\">\n <$list filter=\"[subfilter<outputs>]\" variable=\"sfx\">\n<$list filter=\"[subfilter<searchfilter>]+[prefix<sfx>limit[2]count[]regexp[2]]\" emptyMessage=<<suffix-actions2>> variable=\"cnt\">\n</$list>\n</$list>\n</$wikify>\n</$vars>\n</$list>\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/title/macros/remove-cahrs-start":{"title":"$:/plugins/kookma/commander/title/macros/remove-cahrs-start","created":"20190218214608685","modified":"20200325055328877","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define suffix-actions()\n<$list filter=\"[subfilter<searchfilter>]+[suffix<sfx>]\" variable=\"item\">\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<item>> to=<<sfx>> />\n <$macrocall $name=\"log-add-single-operation\" msg=\"\"\"characters removed from start. New title [[$(sfx)$]]\"\"\" tidItem=<<item>> />\n</$list>\n\\end\n\n\n\\define makelink() [[$(newTitle)$]]\n\n\\define generate-suffixes(n:\"0\")\n<$list filter=\"[<__n__>] -0\" variable=null>\n<$list filter=\"[subfilter<searchfilter>has[title]]\" variable=\"item\">\n<$list filter=\"\"\"[<item>split[]rest[$n$]join[]trim[]]\"\"\" variable=\"newTitle\">\n<$text text=<<makelink>>/>\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define remove-chars-from-begining-tiltle-bulk(num:\"0\")\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<$list filter=\"[<__num__>] -0\" variable=null>\n<<create-log-tiddler \"remove-chars-from-begining-tiltle-bulk\">>\n<$vars n=<<__num__>> >\n<$wikify text=\"\"\"<<generate-suffixes n:\"$num$\">>\"\"\" name=\"outputs\">\n <$list filter=\"[subfilter<outputs>]\" variable=\"sfx\">\n <$list filter=\"[subfilter<searchfilter>]+[suffix<sfx>limit[2]count[]regexp[2]]\" \n emptyMessage=<<suffix-actions>> variable=\"cnt\">\n </$list>\n </$list>\n</$wikify>\n</$vars>\n</$list>\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/title/macros/suffix":{"title":"$:/plugins/kookma/commander/title/macros/suffix","created":"20190212143639636","modified":"20200325055328886","tags":"$:/tags/Commander/Macro","type":"text/vnd.tiddlywiki","text":"\\define log-changeSuffix(message, oldTitle, newTitle)\n<$macrocall $name=\"log-add-single-operation\" msg=\"\"\"$message$. New title [[$newTitle$]]\"\"\" tidItem=<<__oldTitle__>> />\n\\end\n\n\\define addSuffix-to-tiltle-bulk(suffix:\"\")\n<$list filter=\"[<__suffix__>!is[blank]]\" variable=null>\n<$list filter=\"[subfilter<searchfilter>limit[1]]\" variable=ignore><!--check if filter is empty -->\n<<create-log-tiddler \"addSufffix-to-tiltle-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]\">\n<$list filter=\"\"\"[<currentTiddler>addsuffix[$suffix$]] -[<currentTiddler>]\"\"\" variable=\"newTitle\">\n<$list filter=\"[<newTitle>] -[has[title]]\" variable=\"ignore\">\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<currentTiddler>> to=<<newTitle>> />\n <$macrocall $name=log-changeSuffix message=\"title suffix: `$suffix$` added\" oldTitle=<<currentTiddler>> newTitle=<<newTitle>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n\n\\define removeSuffix-from-tiltle-bulk(suffix:\"\")\n<$list filter=\"[<__suffix__>!is[blank]]\" variable=null>\n<$list filter=\"[subfilter<searchfilter>!is[missing]limit[1]]\" variable=ignore><!--check if filter is empty -->\n<<create-log-tiddler \"removeSuffix-from-tiltle-bulk\">>\n<$list filter=\"[subfilter<searchfilter>]\">\n<$list filter=\"\"\"[<currentTiddler>removesuffix[$suffix$]] -[<currentTiddler>]\"\"\" variable=\"newTitle\">\n<$list filter=\"[<newTitle>] -[has[title]]\" variable=\"ignore\">\n <$action-sendmessage $message=\"tm-rename-tiddler\" from=<<currentTiddler>> to=<<newTitle>> />\n <$macrocall $name=log-changeSuffix message=\"title suffix: `$suffix$` removed\" oldTitle=<<currentTiddler>> newTitle=<<newTitle>> />\n</$list>\n</$list>\n</$list>\n</$list>\n</$list>\n\\end\n"},"$:/plugins/kookma/commander/title/ui":{"title":"$:/plugins/kookma/commander/title/ui","caption":"Title","created":"20190212051704536","list-after":"$:/plugins/kookma/commander/tiddler/ui","modified":"20200324070653068","tags":"$:/tags/Commander","type":"text/vnd.tiddlywiki","text":"<<tabs \ntabsList:\"[all[shadows+tiddlers]tag[$:/tags/Commander/TitleOps]!has[draft.of]]\" \ndefault:\"$:/plugins/kookma/commander/title/uicomp/add-remove-prefix\"\nclass:\"tc-vertical cmd-tab-colorful cmd-tab-wd\">>\n\n<!-- relink checkbox for renaming title -->\n{{$:/plugins/kookma/commander/config/relink}}"},"$:/plugins/kookma/commander/title/uicomp/add-remove-chars":{"title":"$:/plugins/kookma/commander/title/uicomp/add-remove-chars","caption":"Remove chars","created":"20190217092719245","list-after":"$:/plugins/kookma/commander/title/uicomp/add-remove-suffix","modified":"20200325055328894","tags":"$:/tags/Commander/TitleOps","type":"text/vnd.tiddlywiki","text":"\\define rngcat() [range[0,$(minchars)$]] -0 \n\n<label style=\"width:30ch;\">Number of chars</label>\n<$select tiddler=\"$:/temp/commander/title-remove-chars\" default=\"0\" class=\"cmd-sl\">\n<$list filter=\"[subfilter<searchfilter>length[]minall[]subtract[1]] -Infinity\" variable=\"minchars\">\n<$list filter=<<rngcat>> >\n<option value=<<currentTiddler>>><$view field='title'/></option>\n</$list>\n</$list>\n</$select><br>\n\n<$button class=\"cmd-btn cmd-btn-wd\"> First n characters\n<$macrocall \n $name=\"remove-chars-from-begining-tiltle-bulk\" \n num={{$:/temp/commander/title-remove-chars}} />\n</$button>\n\n<$button class=\"cmd-btn cmd-btn-wd\"> Last n characters\n<$macrocall \n $name=\"remove-chars-from-end-tiltle-bulk\" \n num={{$:/temp/commander/title-remove-chars}} />\n</$button>\n\n\n"},"$:/plugins/kookma/commander/title/uicomp/add-remove-prefix":{"title":"$:/plugins/kookma/commander/title/uicomp/add-remove-prefix","caption":"Add remove prefix","created":"20190212141758567","modified":"20200325055328903","tags":"$:/tags/Commander/TitleOps","type":"text/vnd.tiddlywiki","text":"<$edit-text\ntiddler=\"$:/temp/commander/title-prefix\"\ntag=\"input\"\ndefault=\"\"\nclass=\"cmd-txt-area\"\nplaceholder=\" prefix\"\n/> <br>\n\n<$button class=\"cmd-btn cmd-btn-wd\"> Add prefix\n<$macrocall \n $name=\"addPrefix-to-tiltle-bulk\" \n prefix={{$:/temp/commander/title-prefix}} \n/>\n</$button>\n\n<$button class=\"cmd-btn cmd-btn-wd\"> Remove prefix\n<$macrocall \n $name=\"removePrefix-from-tiltle-bulk\" \n prefix={{$:/temp/commander/title-prefix}} \n/>\n</$button>\n\n"},"$:/plugins/kookma/commander/title/uicomp/add-remove-suffix":{"title":"$:/plugins/kookma/commander/title/uicomp/add-remove-suffix","caption":"Add remove suffix","created":"20190212143434676","list-after":"$:/plugins/kookma/commander/title/uicomp/add-remove-prefix","modified":"20200325055328912","tags":"$:/tags/Commander/TitleOps","type":"text/vnd.tiddlywiki","text":"<$edit-text\ntiddler=\"$:/temp/commander/title-suffix\"\ntag=\"input\" default=\"\"\nclass=\"cmd-txt-area\" placeholder=\" suffix\" /><br>\n\n<$button class=\"cmd-btn cmd-btn-wd\"> Add suffix\n<$macrocall \n $name=\"addSuffix-to-tiltle-bulk\" \n suffix={{$:/temp/commander/title-suffix}} />\n</$button>\n\n<$button class=\"cmd-btn cmd-btn-wd\"> Remove suffix\n<$macrocall \n $name=\"removeSuffix-from-tiltle-bulk\" \n suffix={{$:/temp/commander/title-suffix}} \n/>\n</$button>\n\n"},"$:/plugins/kookma/commander/viewtemplate/import":{"title":"$:/plugins/kookma/commander/viewtemplate/import","created":"20210102093753666","modified":"20210102140349092","tags":"$:/tags/ViewTemplate","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[all[current]match[$:/Import]status[complete]]\" variable=\"null\">\n<$button actions=\"\"\"<$action-setfield $tiddler=\"$:/temp/commander/search-box\" text=\"[[$:/Import]links[]]\"/><$action-navigate $to=\"$:/Commander\"/>\"\"\">\n{{$:/plugins/kookma/commander/images/commander.svg}} Batch edit\n</$button>\n</$list>"},"$:/Commander":{"title":"$:/Commander","created":"20190212051316149","icon":"$:/plugins/kookma/commander/images/file-alt","modified":"20200324074719052","type":"text/vnd.tiddlywiki","text":"\\import [all[shadows+tiddlers]tag[$:/tags/Commander/Macro]]\n{{$:/plugins/kookma/commander/search/ui}}\n<!-- Create the search filter based on searchbox and selective operation (if active) -->\n<$vars searchTerms={{{ [<searchboxTid>get[text]minlength{$:/plugins/kookma/commander/config/minlength}]~[[$:/errorCode:-23500]] }}} pattern=\"^\\[\"><!-- this part checks the combo search and selective ops-->\n<$set name=\"filtertext\" filter=\"[<searchTerms>regexp<pattern>]\" value=\"[subfilter<searchTerms>]\" emptyValue=\"[!is[system]search<searchTerms>]\">\n<$set name=\"searchfilter\" filter=\"[<selectiveOpsTid>get[text]match[yes]]\" \n\tvalue=\"[subfilter<filtertext>!prefix[$:/temp/commander]]+[tag<workingTag>]\" emptyValue=\"[subfilter<filtertext>!prefix[$:/temp/commander]]\" >\n<small style=\"margin-left:17ch;\"><i><$count filter=\"[subfilter<filtertext>!prefix[$:/temp/commander]!is[missing]]\" /> matches </i></small>\n\n<!-- Display search results and let selective operation -->\n<<commander-slider title:\"$:/plugins/kookma/commander/search/selection\" default:\"open\">>\n\n<!-- Display operation UIs-->\n<<tabs \"[all[shadows+tiddlers]tag[$:/tags/Commander]!has[draft.of]]\" default:\"$:/plugins/kookma/commander/tiddler/ui\">>\n\n</$set>\n</$set>\n<$vars>\n\n---\n\n<<commander-slider title:\"$:/plugins/kookma/commander/log/ui\">>\n"},"$:/language/Buttons/Commander/Hint":{"title":"$:/language/Buttons/Commander/Hint","created":"20190725032106026","modified":"20200324080437905","tags":"","type":"text/vnd.tiddlywiki","text":"Tiddler Commander"},"$:/language/Buttons/Commander/Caption":{"title":"$:/language/Buttons/Commander/Caption","created":"20190725032131129","modified":"20200324080437929","tags":"","type":"text/vnd.tiddlywiki","text":"commander"}}}
{"tiddlers":{"$:/plugins/kookma/refnotes/history":{"title":"$:/plugins/kookma/refnotes/history","created":"20201211095732935","modified":"20210403192546319","tags":"","type":"text/vnd.tiddlywiki","text":"Full change log https://kookma.github.io/TW-Refnotes/#ChangeLog\n\n* ''1.6.0'' -- 2021.04.02 -- development (beta) of new release\n* ''1.0.3'' -- 2019.03.20 -- first stable release\n"},"$:/plugins/kookma/refnotes/license":{"title":"$:/plugins/kookma/refnotes/license","created":"20201211095732937","modified":"20210402194330107","tags":"","type":"text/vnd.tiddlywiki","text":"Distributed under an MIT license.\n\nCopyright (c) 2019-2021 [[Mohammad Rahmani|https://github.com/kookma]]\n\n<<<\nPermission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the \"Software\"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions:\n\nThe above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n<<<"},"$:/plugins/kookma/refnotes/macros/abbr":{"title":"$:/plugins/kookma/refnotes/macros/abbr","created":"20181022071907838","modified":"20210405070107417","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define abbr(term:\"\", dict:\"Glossary\")\n<$set name=\"abbreviation\" \n tiddler=<<__dict__>>\n index=<<__term__>>\n emptyValue=\"Term not found\">\n <span class=refnotes-abbr><abbr title=<<abbreviation>> ><$text text=<<__term__>> /></abbr></span>\n</$set>\n\\end\n"},"$:/plugins/kookma/refnotes/macros/apa/ref":{"title":"$:/plugins/kookma/refnotes/macros/apa/ref","created":"20210407044450831","modified":"20210419162025983","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define ref(tid)\n<$set name=\"ref-tid\" tiddler=<<__tid__>> field=\"title\" emptyValue=\"RefNotFound\">\n<div class=\"refnotes-tooltip refcls\">\n<$reveal type=\"match\" default=<<ref-tid>> text=\"RefNotFound\">\n<$link overrideClass=\"link-refcls\">[<$view tiddler=<<__tid__>> field=\"title\"/>]</$link>\n<div class=\"refnotes-tooltiptext ref-notfound\"><b>Warning</b>: Reference Not Found.<br>Click to create it:<<create-notexisted-ref \"$tid$\">></div>\n</$reveal>\n<$reveal type=\"nomatch\" default=<<ref-tid>> text=\"RefNotFound\">\n<<show-authors>> (<$view tiddler=<<__tid__>> field=\"bibtex-year\"/>)\n<div class=\"refnotes-tooltiptext\">\n<$macrocall $name=\"displayref-onhover\" refTid=<<ref-tid>> />\n</div>\n</$reveal>\n</div>\n</$set>\n\\end\n\n\\define show-authors()\n<$vars number-authors={{{[<__tid__>get[bibtex-author]split[ and ]count[]]}}}>\n<$list filter=\"[<number-authors>compare:number:gt[2]]\" emptyMessage=\"\"\"<$view tiddler=<<__tid__>> field=\"bibtex-author\"/>\"\"\">\n<$text text={{{[<__tid__>get[bibtex-author]split[ and ]first[]addsuffix[, et al.]]}}} />\n</$list>\n</$vars>\n\\end"},"$:/plugins/kookma/refnotes/macros/apa/showrefs":{"title":"$:/plugins/kookma/refnotes/macros/apa/showrefs","created":"20190117195536649","modified":"20210411101848413","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define showrefs(filter:\"[<currentTiddler>]\", title:\"Empty\", class:\"ref-list\", emptyMessage:\"\")\n<$vars leftDelimiter=\"<<ref \">\n<$list filter=\"[subfilter<__filter__>search:text:literal<leftDelimiter>limit[1]]\" variable=null emptyMessage=<<__emptyMessage__>> ><!-- check to see if there is any citation -->\n<$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n<h2>$title$</h2>\n</$reveal>\n<$wikify name=\"mylist\" text=\"\"\"\n<$list filter=<<__filter__>> >\n<$macrocall $name=\"find-refs\" tid=<<currentTiddler>> />\n</$list>\n\"\"\">\n<ol class=\"$class$\">\n<$list filter=\"[enlist<mylist>trim[]sort[]]\" variable=\"reference\">\n\n<$vars currentType={{{[<reference>get[bibtex-entry-type]lowercase[]] ~[[miscellaneous]]}}} >\n<$set name=\"bodyLookup\" \n filter=\"[all[tiddlers+shadows]tag[$:/tags/Refnotes/ReflistTemplate]contains:list<currentType>] +[limit[1]get[title]]\"\n value=<<bodyLookup>> \n emptyValue=\"$:/plugins/kookma/refnotes/templates/reflist/default\">\n<$transclude tiddler=<<bodyLookup>> field=\"text\" mode=\"inline\"/>\n</$set>\n</$vars>\n\n</$list>\n</ol>\n</$wikify>\n</$list>\n</$vars>\n\\end"},"$:/plugins/kookma/refnotes/macros/bibtex/find-refs":{"title":"$:/plugins/kookma/refnotes/macros/bibtex/find-refs","created":"20181213121411187","modified":"20210407034817363","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define find-refs(tid)\n<$vars regexp=\"(?g)<<ref .+?>>\"\nregexp2='<<ref \"*(.*?)\"*>>'\nregexp3=\"^'(.*?)'\"\nregexp4=\"\\[\\[(.*?)\\]\\]\"\n>\n<$list filter=\"[[$tid$]regexprefs:text<regexp>]\">\n<$list filter=\"[all[current]regexprefs<regexp2>]\">\n<$list filter=\"[all[current]regexprefs<regexp3>] ~[all[current]]\" >\n<$list filter=\"[all[current]regexprefs<regexp4>] ~[all[current]]\" variable=p>\n<<pwrapper>>\n</$list>\n</$list>\n</$list>\n</$list>\n</$vars>\n\\end\n\n\\define pwrapper() \n[[[[$(p)$]]]]\n\\end"},"$:/plugins/kookma/refnotes/macros/bibtex/process-entries":{"title":"$:/plugins/kookma/refnotes/macros/bibtex/process-entries","created":"20210405065852415","modified":"20210413145054905","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define title-tolowercase()\n<$vars curTitle=<<currentTiddler>> newTitle={{{[<currentTiddler>lowercase[]]}}}>\n<$list filter=\"[<curTitle>!match<newTitle>]\" variable=null>\n<$action-sendmessage $message=\"tm-rename-tiddler\" from=<<currentTiddler>> to=<<newTitle>> />\n</$list>\n</$vars>\n\\end\n\n\\define tag-entries()\n<!-- change entry type to lower case -->\n<$action-setfield $tiddler=<<currentTiddler>> bibtex-entry-type={{{[<currentTiddler>get[bibtex-entry-type]lowercase[]]}}} />\n<!-- add bibtex-entry -->\n<$fieldmangler>\n<$action-sendmessage $message=\"tm-add-tag\" $param=\"bibtex-entry\" />\n</$fieldmangler>\n\\end\n\n\\define process-entries(title:\"Process New Bibtex Entries\")\n<$button> $title$\n<$list filter=\"[has[bibtex-title]]\">\n<<tag-entries>>\n<<title-tolowercase>>\n</$list>\n</$button>\n\\end"},"$:/plugins/kookma/refnotes/macros/bibtex/regexprefs.js":{"title":"$:/plugins/kookma/refnotes/macros/bibtex/regexprefs.js","text":"/*\\\ntitle: $:/plugins/kookma/macro/bibtex/regexprefs.js\ntype: application/javascript\nmodule-type: filteroperator\n\nFilter operator for regexp matching and returning result. All results are returned if global flag used. All sub-groups are returned if not global and sub-group hits are found.\n\nThis is a hacked version of core macro: $:/core/modules/filters/regexp.js\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n/*\nExport our filter function\n*/\nexports.regexprefs = function(source,operator,options) {\n\tvar results = [],\n\t\tfieldname = (operator.suffix || \"title\").toLowerCase(),\n\t\tregexpString, regexp, flags = \"\", match, global,\n\t\tgetFieldString = function(tiddler,title) {\n\t\t\tif(tiddler) {\n\t\t\t\treturn tiddler.getFieldString(fieldname);\n\t\t\t} else if(fieldname === \"title\") {\n\t\t\t\treturn title;\n\t\t\t} else {\n\t\t\t\treturn null;\n\t\t\t}\n\t\t};\n\t// Process flags and construct regexp\n\tregexpString = operator.operand;\n\tmatch = /^\\(\\?([gim]+)\\)/.exec(regexpString);\n\tif(match) {\n\t\tflags = match[1];\n\t\tregexpString = regexpString.substr(match[0].length);\n\t} else {\n\t\tmatch = /\\(\\?([gim]+)\\)$/.exec(regexpString);\n\t\tif(match) {\n\t\t\tflags = match[1];\n\t\t\tregexpString = regexpString.substr(0,regexpString.length - match[0].length);\n\t\t}\n\t}\n\ttry {\n\t\tregexp = new RegExp(regexpString,flags);\n\t} catch(e) {\n\t\treturn [\"\" + e];\n\t}\n\n\tglobal = /g/.test(flags) ;\n\n\t// Process the incoming tiddlers\n\tif(operator.prefix === \"!\") {\n\t\tsource(function(tiddler,title) {\n\t\t\tvar text = getFieldString(tiddler,title);\n\t\t\tif(text !== null) {\n\t\t\t\tif(!regexp.exec(text)) {\n\t\t\t\t\tresults.push(title);\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t} else {\n\t\tsource(function(tiddler,title) {\n\t\t\tvar text = getFieldString(tiddler,title), ret=\"\";\n\t\t\tif(text !== null) {\n\t\t\t\tret = text.match(regexp) ;\n\t\t\t\tif(ret !==null) {\n\t\t\t\t\tif(global) {\n\t\t\t\t\t\tresults.push.apply(results,ret) ; //DEBUG\n\t\t\t\t\t} else {\n\t\t\t\t\t\t// if there are sub groups return sub groups START\n\t\t\t\t\t\tif(ret.length > 1) { // return sub groups\n\t\t\t\t\t\t\tresults = results.concat(ret.slice(1)) ;\n\t\t\t\t\t\t} else { // if no sub-groups\n\t\t\t\t\t\t\tresults.push(ret[0]);\n\t\t\t\t\t\t} \n\t\t\t\t\t}\n\t\t\t\t}\n\t\t\t}\n\t\t});\n\t}\n\treturn results;\n};\n\n})();","type":"application/javascript","module-type":"filteroperator","created":"20190120190755258","modified":"20210405070107459"},"$:/plugins/kookma/refnotes/macros/bibtex/utility":{"title":"$:/plugins/kookma/refnotes/macros/bibtex/utility","created":"20210407045329557","modified":"20210419162200063","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define displayref-onhover(refTid)\n<$set name=\"ref-tid\" value=<<__refTid__>> >\n<$link overrideClass=\"link-refcls\" to=<<ref-tid>> >\n<$list filter=\"[<ref-tid>get[bibtex-entry-type]lowercase[]!match[website]]\" variable=null\nemptyMessage=\"\"\"<span class=\"ref-author\"><$view tiddler=<<ref-tid>> field=\"bibtex-url\"/>.</span>\"\"\">\n<span class=\"ref-author\"><$view tiddler=<<ref-tid>> field=\"bibtex-author\"/>.</span>\n</$list>\n</$link>\n<$view tiddler=<<ref-tid>> field=\"bibtex-title\"/>. (<$view tiddler=<<ref-tid>> field=\"bibtex-year\"/>)\n</$set>\n\\end\n\n\\define create-notexisted-ref(refTid)\n<$set name=\"myTid\" value=<<__refTid__>> >\n<$button class=\"tc-btn-invisible tc-tiddlylink\">\n<$action-sendmessage $message=\"tm-new-tiddler\"\n title=<<myTid>> \n bibtex-author=\"\" bibtex-year=\"\"\n bibtex-title=\"\" bibtex-abstract=\"\"\n bibtex-entry-type=\"\" bibtex-keywords=\"\"\n bibtex-doi=\"\" bibtex-url=\"\"\n tags=\"bibtex-entry\"\n /><<myTid>> \n</$button>\n</$set> \n\\end"},"$:/plugins/kookma/refnotes/macros/find":{"title":"$:/plugins/kookma/refnotes/macros/find","created":"20181213121411187","modified":"20210405070107484","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define find(text, begin, end, output:\"simple\", mode:\"all\")\n<$vars \n fulltext=<<__text__>>\n start=<<__begin__>>\n stop=<<__end__>>\n output-macro=<<__output__>>\n>\n<$list variable=\"p1\" filter=\"[<fulltext>splitbefore<start>]\">\n<$list variable=\"p2\" filter=\"[<fulltext>removeprefix<p1>]\">\n<$list variable=\"p3\" filter=\"[<p2>splitbefore<stop>removesuffix<stop>]\">\n<$macrocall $name=<<output-macro>> p=<<p3>> />\n<$reveal type=\"match\" text=\"all\" default=<<__mode__>> >\n<$macrocall $name=\"find\"\n text={{{[<p2>removeprefix<p3>removeprefix<stop>]}}}\n begin=<<start>>\n end=<<stop>>\n output=<<output-macro>>\n/>\n</$reveal>\n</$list>\n</$list>\n</$list>\n</$vars>\n\\end\n\n\\define simple(p)\n<$text text=<<__p__>> />\n\\end\n\n\\define simple-list(p)\n<li><$text text=<<__p__>>/></li>\n\\end\n"},"$:/plugins/kookma/refnotes/macros/footnote":{"title":"$:/plugins/kookma/refnotes/macros/footnote","created":"20181214095749808","modified":"20210405070107491","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define fnote(note)\n<div class=\"refnotes-tooltip refnotes-footnote\"><div class=\"refnotes-tooltiptext\">$note$</div></div>\n\\end"},"$:/plugins/kookma/refnotes/macros/numbered/refnum":{"title":"$:/plugins/kookma/refnotes/macros/numbered/refnum","created":"20181210155346225","modified":"20210407045315235","tags":"disp","type":"text/vnd.tiddlywiki","text":"\\define refnum(tid)\n<$set name=\"ref-tid\" tiddler=<<__tid__>> field=\"title\" emptyValue=\"RefNotFound\">\n<div class=\"refnotes-tooltip refcls\">\n<$reveal type=\"match\" default=<<ref-tid>> text=\"RefNotFound\">\n<$link overrideClass=\"link-refcls\">\n[<$view tiddler=<<__tid__>> field=\"title\"/>]\n</$link>\n<div class=\"refnotes-tooltiptext ref-notfound\"><b>Warning</b>: Reference Not Found.<br>Click to create it:<<create-notexisted-ref \"$tid$\">></div>\n</$reveal>\n<$reveal type=\"nomatch\" default=<<ref-tid>> text=\"RefNotFound\">\n[<$view tiddler=<<__tid__>> field=\"caption\"><$view tiddler=<<__tid__>> field=\"title\"/></$view>]\n<div class=\"refnotes-tooltiptext\">\n<$macrocall $name=\"displayref-onhover\" refTid=<<ref-tid>> />\n</div>\n</$reveal>\n</div>\n</$set>\n\\end\n"},"$:/plugins/kookma/refnotes/macros/search-ui":{"title":"$:/plugins/kookma/refnotes/macros/search-ui","created":"20141231095518178","modified":"20210407134452440","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define searchTid() xx$:/temp/search\n\\define bibtexFields() [!is[shadow]!is[system]has[bibtex-title]fields[]prefix[bibtex-]sort[]]\n\\define mainFields() bibtex-title bibtex-author bibtex-year\n\\define searchUi()\n<div class=\"tc-search\">\n<$edit-text tiddler=<<searchTid>> type=\"search\" tag=\"input\" placeholder=\"search terms\" default=\"\"/> <$select field=\"field\" tiddler=<<searchTid>> default=\"bibtex-author\">\n<$set name=allfields filter= \"[subfilter<bibtexFields>split[ ]join[,]]\" >\n<option value=<<allfields>> >all fields</option>\n</$set>\n<optgroup label=\"Main fields\">\n<$list filter=\"[enlist<mainFields>removeprefix[bibtex-]]\" variable=\"field\">\n<option value={{{[<field>addprefix[bibtex-]]}}} ><<field>></option>\n</$list>\n</optgroup>\n<optgroup label=\"Other fields\">\n<$list filter=\"[subfilter<bibtexFields>] -[enlist<mainFields>] +[removeprefix[bibtex-]]\" variable=\"field\">\n<option value={{{[<field>addprefix[bibtex-]]}}} ><<field>></option>\n</$list>\n</optgroup>\n</$select>\n\n<$reveal state=<<searchTid>> type=\"nomatch\" text=\"\">\n<$button class=\"tc-btn-invisible\">\n<$action-setfield $tiddler=<<searchTid>> text=\"\"/>\n{{$:/core/images/close-button}}\n</$button>\n</$reveal>\n</div>\n\\end"},"$:/plugins/kookma/refnotes/macros/showabbrs":{"title":"$:/plugins/kookma/refnotes/macros/showabbrs","created":"20210404111656614","modified":"20210409064928200","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define showabbrs(filter:\"[<currentTiddler>]\", dtiddler:\"Glossary\", title:\"Empty\", emptyMessage:\"\")\n<$wikify name=\"term-indexes\" text=<<find-all-items>> > \n<$macrocall $name=\"abbr-list\" dtiddler=<<__dtiddler__>> indexes=<<term-indexes>> title=<<__title__>> emptyMessage=<<__emptyMessage__>> />\n</$wikify>\n\\end\n\n\\define find-all-items()\n<$list filter=<<__filter__>> >\n<$macrocall $name=\"find\" text={{!!text}} begin=\"<<abbr \" end=\">>\" output=\"output-item\"/>\n</$list>\n\\end\n\n\\define output-item(p)\n<$set name=\"items\" value=<<__p__>> >\n<$set name=\"item\" value={{{ [enlist<items>limit[1]] }}} >\n<$set name=\"item-noquotes\" value={{{ [<item>removeprefix[\"]removesuffix[\"]] [<item>removeprefix[']removesuffix[']] ~[<item>] }}} emptyValue=\"NOT_FOUND\">\n<<item-noquotes>>\n</$set>\n</$set>\n</$set>\n\\end\n\n\n\\define abbr-list(dtiddler, indexes, title:\"Empty\", emptyMessage)\n<$list filter=\"$indexes$ +[limit[1]]\" variable=null emptyMessage=<<__emptyMessage__>> ><!-- check to see if there is any abbreviations -->\n<$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n<h2><$text text=<<__title__>> /></h2>\n</$reveal>\n<table class=\"refnotes-table-borderless\">\n<$list filter=\"\"\"$indexes$ +[sort[title]]\"\"\" variable=\"item\">\n<tr>\n<td class=\"refnotes-abbr-term\"><<item>></td>\n<td>\n <$set name=\"term\" tiddler=<<__dtiddler__>> index=<<item>> emptyValue=<<term-not-found>> >\n <<term>>\n </$set>\n</td>\n</tr>\n</$list>\n</table>\n</$list>\n\\end\n\n\n\\define term-not-found()\n<span class=\"refnotes-abbr-term-not-found\">Term not found</span>\n\\end\n\n\n"},"$:/plugins/kookma/refnotes/macros/showfnotes":{"title":"$:/plugins/kookma/refnotes/macros/showfnotes","created":"20210404111935949","modified":"20210408153215004","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define showfnotes(filter:\"[<currentTiddler>]\", title:\"Empty\" class:\"fnote-list\", emptyMessage:\"\")\n<$vars leftDelimiter=\"<<fnote \">\n<$list filter=\"[subfilter<__filter__>search:text:literal<leftDelimiter>limit[1]]\" variable=null emptyMessage=<<__emptyMessage__>> ><!-- check to see if there is any footnote -->\n<$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n<h2>$title$</h2>\n</$reveal>\n<ol class=\"$class$\">\n<$list filter=<<__filter__>> >\n<$macrocall $name=\"find\" \n text={{!!text}}\n begin=\"<<fnote \"\n end=\">>\"\n output=\"output-fnote\"\n/>\n</$list>\n</ol>\n</$list>\n</$vars>\n\\end\n\n\\define output-fnote(p)\n<$vars output=$p$>\n<li><<output>></li>\n</$vars>\n\\end\n\n"},"$:/plugins/kookma/refnotes/macros/stretch-text":{"title":"$:/plugins/kookma/refnotes/macros/stretch-text","created":"20210407132815001","modified":"20210407133300156","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define tTemp() xx$:/temp/refnotes/library/$(currentTiddler)$\n\n\\define stretchText(text, title:\"...\")\n<$button class=\"tc-btn-invisible\">$title$\n<$action-listops $tiddler=<<tTemp>> $field=\"text\" $subfilter=\"+[toggle[show]]\" />\n</$button> <$reveal type=\"match\" stateTitle=<<tTemp>> sateField=text text=\"show\">$text$</$reveal>\n\\end\n"},"$:/plugins/kookma/refnotes/readme":{"title":"$:/plugins/kookma/refnotes/readme","created":"20201211095732939","modified":"20210404040316167","tags":"revised","type":"text/vnd.tiddlywiki","text":"; Refnotes\nRefnotes is a Tiddlywiki plugin to create and manage footnotes, abbreviations, citations, and references. Refnotes can create bibliography, but for the best performance, the use of the official ''bibtex importer'' plugin is recommended.\n\n;Code and demo\nFor learning Refnotes features, syntax, tutorial and examples see the plugin demo and code pages\n\n* Demo: https://kookma.github.io/TW-Refnotes/\n* Code: https://github.com/kookma/TW-Refnotes\n"},"$:/plugins/kookma/refnotes/styles/abbr":{"title":"$:/plugins/kookma/refnotes/styles/abbr","text":"/* Ref: https://developer.mozilla.org/en-US/docs/Web/CSS/CSS3 */ \n.refnotes-abbr abbr[title] {\n\tcolor: inherit;\n\tfont-style: normal;\n\ttext-decoration: none;\n\tborder-bottom: 1px dotted #aaa;\n\tcursor: help;\n}\n\n.refnotes-abbr-term-not-found{\n/*\tcolor:red;*/\n\tfont-style: oblique;\n}\n\n.refnotes-abbr-term{\n/*\tcolor:blue;*/\n}\n\n\n/* Ref:https://aarontgrogg.com/lab/\nShow the title for small screen\n*/ \n/* this works based on the max-width*/\n@media only screen and (max-width: 960px) {\n.refnotes-abbr abbr:hover:after { content: ' ('attr(title)')'; }\n}\n\n@media (hover: none) {\n/* Push the title attribute into generated content after the abbr. */\n.refnotes-abbr abbr[title]::after { \n content: ' ('attr(title)')'; }\n}\n","created":"20181022085407237","modified":"20210412184321174","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/bibtex-details":{"title":"$:/plugins/kookma/refnotes/styles/bibtex-details","text":".refnotes-details > summary{\n\tpadding-left:0;\n\tpadding-top:15px;\n\tpadding-bottom:15px;\n\twidth: 160px;\n\tcursor: pointer;\n\tfont-weight:bold;\n}\n\n","created":"20210405105138630","modified":"20210405105402620","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/bibtex-entryview":{"title":"$:/plugins/kookma/refnotes/styles/bibtex-entryview","text":"/* used for viewtemplate displaying the bibtex entry */\n.refnotes-bibtex-field{\n\tdisplay:table-row\n}\n.refnotes-bibtex-field span{\n\tdisplay:table-cell\n}\n.refnotes-bibtex-field span:first-of-type{\n\tfont-weight:bold;\n\tpadding-right:10px;\n\twhite-space: nowrap;\n}","created":"20210403171918460","modified":"20210403180454291","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/bibtex":{"title":"$:/plugins/kookma/refnotes/styles/bibtex","created":"20181220161713706","modified":"20210412183314776","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":".ref-nonumber{\n/* color:blue;*/\n font-size:90%;\n list-style-type:none;\n}\n\n.ref-nonumber li{\n padding-bottom:8px;\n}\n\n.ref-list{\n/* color:blue;*/\n font-size:90%;\n}\n\n.link-refcls{\n font-weight:400;\n/* color:#00008B;*/ /*darkblue*/\n text-decoration:none;\n color: <<colour tiddler-link-foreground>>; \t\n}\n\n.refcls{\n/* color:#00008B;*/\n color: <<colour tiddler-link-foreground>>; \n/* text-transform: capitalize;*/\n}\n\n.ref-notfound{\n/* color: #856404 !important;*/\n/* background-color: #fff3cd !important;*/\n}\n\n.ref-author{\n/* color:#00008B;*/ /*color for author in tooltip*/\n}"},"$:/plugins/kookma/refnotes/styles/footnote-counter":{"title":"$:/plugins/kookma/refnotes/styles/footnote-counter","text":"/*automatic counter for fnote macro. The counter resets at the begining of each tiddler*/\n.tc-tiddler-frame {\n counter-reset: fnote-count;\n}\n.refnotes-footnote {\n counter-increment: fnote-count;\n}\n.refnotes-footnote:after {\n content: counter(fnote-count);\n font-size:small;\n /* color:#0000ee;*/\n vertical-align: super;\n line-height: 1.5;\n margin-left: -0.1em;\n}\n","created":"20181214085707714","modified":"20210404194433063","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/library":{"title":"$:/plugins/kookma/refnotes/styles/library","text":"/* in folding-editor*/\n.refnotes-library button svg{\n\tfont-size:0.8em;\n\tvertical-align: middle;\n\tmargin-right:0;\n\tmargin-left:0;\n\n}\n\n","created":"20210407142636629","modified":"20210410175254201","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/showfnotes":{"title":"$:/plugins/kookma/refnotes/styles/showfnotes","text":"/* Footnote class*/\n\n.fnote-list{\n/* color:blue;*/\n font-size:90%;\n}\n\n.fnote-pretty{\n display: block;\n margin: 0.5em;\n margin-right: auto;\n width: 100% !important;\n border-collapse: collapse;\n padding: 15px 15px 15px 25px; /*left padding=25px*/\n border-width: 0px;\n border-style: solid;\n border-left-width: 1px;\n background-color: rgb(255,248,220);\n color: rgb(91,49,7);\n line-height: 1.2em; \n font-size:0.9em;\n}\n","created":"20181219144814573","modified":"20210404182831676","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/table-borderless":{"title":"$:/plugins/kookma/refnotes/styles/table-borderless","text":"/*Borderless table*/\n.refnotes-table-borderless, \n.refnotes-table-borderless th, \n.refnotes-table-borderless tr, \n.refnotes-table-borderless td{\n border:0;\n}","created":"20190320094538299","modified":"20201211110125006","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/styles/tooltip":{"title":"$:/plugins/kookma/refnotes/styles/tooltip","text":"/* tooltip class used for ref, fnote and other macros */\n.refnotes-tooltip {\n\tposition: relative;\n\tdisplay: inline-block;\n\tcursor: pointer; \n}\n\n.refnotes-tooltip .refnotes-tooltiptext{\n\tfont-size: 0.90em; /* change if it is too small */\n}\n\n.refnotes-tooltip .refnotes-tooltiptext {\n\tvisibility: hidden;\n\tbackground-color: #fff;\n\tcolor: #222222; \n\ttext-align: left;\n\tborder-radius: 2px;\n\tpadding: 5px 10px;\n\tmax-width: 30vw;\n\tmax-height:20em;\n\toverflow-y: auto;\n\tcursor: auto;\n\twidth: max-content;\n\twidth: -moz-max-content;\n\twidth: -webkit-max-content;\n\twidth: -o-max-content;\n\n\t/* Position the tooltip */\n\tposition: absolute;\n\tz-index: 1;\n\tbottom: 100%;\n\tleft: 50%;\n\tmargin-left: -40px;\n\tbox-shadow:0 4px 10px 0 rgba(0,0,0,0.2),0 4px 20px 0 rgba(0,0,0,0.19);\n}\n\n.refnotes-tooltip:hover .refnotes-tooltiptext {\n\tvisibility: visible;\n\t/*opacity: 0.9;*/\n}\n\n/* for small screens */\n\n@media screen and (max-width: 960px) {\n.refnotes-tooltip .refnotes-tooltiptext {\n /* Position the tooltip */\n \tposition:fixed;\n top:0;\n left: 0;\n margin-left: 0px;\n bottom: unset;\n width:100%;\n max-width: 100vw;\n z-index: 9999;\n} \n\n.refnotes-tooltip:hover .refnotes-tooltiptext {\n opacity: 1;\n} \n \n}","created":"20181215201115750","modified":"20210413140804683","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/refnotes/templates/reflist/article":{"title":"$:/plugins/kookma/refnotes/templates/reflist/article","created":"20210406035737424","list":"article","modified":"20210411113305111","tags":"$:/tags/Refnotes/ReflistTemplate","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=title emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>. (<$view field=\"bibtex-year\"/>). <$text text={{{ [{!!bibtex-title}lowercase[]sentencecase[]] }}} />. <span style=\"font-style:italic\"><$view field=\"bibtex-journal\"/></span>. <$view field=\"bibtex-volume\"/>. <$view field=\"bibtex-pages\"/>. <a href={{{[<currentTiddler>get[bibtex-doi]]}}}><$view field=\"bibtex-doi\"/></a></li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b><$text text=<<reference>>/></b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>\n\n<!-- APA 7 \nAuthor. (Year). Title [Translated Title] [Type of Article] [Reviewed Item]|. Journal|, Volume|(Issue)|, Pages|, Article Article Number|.| `https://doi.org/`DOI (Reprint Edition) (Original Publication) (Errata)\n-->"},"$:/plugins/kookma/refnotes/templates/reflist/book":{"title":"$:/plugins/kookma/refnotes/templates/reflist/book","created":"20210406035831544","list":"book incollection","modified":"20210411113119761","tags":"$:/tags/Refnotes/ReflistTemplate","type":"text/vnd.tiddlywiki","text":"\\define disp-bibtex-edition()\n<$list filter=\"[<currentTiddler>has[bibtex-edition]]\" variable=null>(<$view field=\"bibtex-edition\"/>).</$list>\n\\end\n\n\n<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=title emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>. (<$view field=\"bibtex-year\"/>). <span style=\"font-style:italic;\"><$text text={{{ [{!!bibtex-title}lowercase[]sentencecase[]] }}} /></span>. <<disp-bibtex-edition>> <$view field=\"bibtex-publisher\"/>.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b><$text text=<<reference>>/></b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>\n\n<!--\nAuthor. (Year). Title [Translated Title] (|Translator, Trans.;| Editor, Ed.^Eds.| Edition ed.,| Vol. Volume|)| [Type of Work]|. Publisher|. `https://doi.org/`DOI (Original Publication)\n-->"},"$:/plugins/kookma/refnotes/templates/reflist/default":{"title":"$:/plugins/kookma/refnotes/templates/reflist/default","created":"20210406035344521","modified":"20210411113326976","tags":"$:/tags/Refnotes/ReflistTemplate","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=\"title\" emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>. (<$view field=\"bibtex-year\"/>). <$text text={{{ [{!!bibtex-title}lowercase[]sentencecase[]] }}} />.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b><$text text=<<reference>>/></b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>"},"$:/plugins/kookma/refnotes/templates/reflist/inproceedings":{"title":"$:/plugins/kookma/refnotes/templates/reflist/inproceedings","created":"20210411092205967","list":"inproceedings","modified":"20210411113352980","tags":"$:/tags/Refnotes/ReflistTemplate","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=title emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>. (<$view field=\"bibtex-year\"/>). <$text text={{{ [{!!bibtex-title}lowercase[]sentencecase[]] }}} />. In <span style=\"font-style:italic\"><$view field=\"bibtex-booktitle\"/></span>. pp. <$view field=\"bibtex-pages\"/>. <a href={{{[<currentTiddler>get[bibtex-doi]]}}}><$view field=\"bibtex-doi\"/></a></li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b><$text text=<<reference>>/></b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>\n\n<!-- APA 7\nAuthor, A. A. (Date). Title of paper. In A. Editor & B. Editor (Eds.), Title of proceedings (page numbers). Publisher. DOI OR URL if relevant.\n-->"},"$:/plugins/kookma/refnotes/templates/reflist/numbered/article":{"title":"$:/plugins/kookma/refnotes/templates/reflist/numbered/article","created":"20210407034252960","list":"article","modified":"20210407053354664","tags":"","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=title emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>, <i><span style=\"text-transform: capitalize;\"><$view field=\"bibtex-title\"/></span></i>, <$view field=\"bibtex-journal\"/>, <$view field=\"bibtex-year\"/>.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b>$p$</b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>"},"$:/plugins/kookma/refnotes/templates/reflist/numbered/book":{"title":"$:/plugins/kookma/refnotes/templates/reflist/numbered/book","created":"20210407034324705","list":"book","modified":"20210407053401778","tags":"","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=title emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>, <span style=\"text-transform: capitalize;font-style:italic;\"><$view field=\"bibtex-title\"/></span>, <$view field=\"bibtex-edition\"/>, <$view field=\"bibtex-year\"/>, <$view field=\"bibtex-address\"/>, <$view field=\"bibtex-publisher\"/>.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b>$p$</b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>"},"$:/plugins/kookma/refnotes/templates/reflist/numbered/default":{"title":"$:/plugins/kookma/refnotes/templates/reflist/numbered/default","created":"20210407034401566","modified":"20210407053409811","tags":"","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=\"title\" emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$view field=\"bibtex-author\"/>, <span style=\"text-transform: capitalize;\"><$view field=\"bibtex-title\"/></span>,<i><$view field=\"bibtex-journal\"/></i>, <$view field=\"bibtex-year\"/>.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b>$p$</b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>"},"$:/plugins/kookma/refnotes/templates/reflist/numbered/website":{"title":"$:/plugins/kookma/refnotes/templates/reflist/numbered/website","created":"20210407034338287","list":"website","modified":"20210407053417941","tags":"","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=\"curtid\" tiddler=<<bibtexEntryTiddler>> field=\"title\" emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<curtid>> >\n<li><span style=\"text-transform: capitalize;\"><$view field=\"bibtex-title\" tiddler=<<curtid>>/></span>, <a href={{{[<curtid>get[bibtex-url]]}}} target=\"_blank\"><$text text={{{ [<curtid>get[bibtex-url]] }}}/></a>, <$view field=\"bibtex-year\" tiddler=<<curtid>>/>.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<curtid>> >\n<li>Reference <b>$p$</b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>"},"$:/plugins/kookma/refnotes/templates/reflist/website":{"title":"$:/plugins/kookma/refnotes/templates/reflist/website","created":"20210406040657728","list":"website","modified":"20210411114839437","tags":"$:/tags/Refnotes/ReflistTemplate","type":"text/vnd.tiddlywiki","text":"<$wikify name=bibtexEntryTiddler text=<<reference>> >\n<$set name=currentTiddler tiddler=<<bibtexEntryTiddler>> field=\"title\" emptyValue=\"RefNotFound\">\n<$reveal type=\"nomatch\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li><$text text={{{ [{!!bibtex-title}lowercase[]sentencecase[]] }}} />. (<$view field=\"bibtex-year\"/>). <a href={{!!bibtex-url}} target=\"_blank\"><$text text={{!!bibtex-url}} /></a>.</li>\n</$reveal>\n<$reveal type=\"match\" default=\"RefNotFound\" text=<<currentTiddler>> >\n<li>Reference <b><$text text=<<reference>>/></b> NOT FOUND. Check your input.</li>\n</$reveal>\n</$set></$wikify>"},"$:/plugins/kookma/refnotes/ui/bibtexlibrary":{"title":"$:/plugins/kookma/refnotes/ui/bibtexlibrary","caption":"Bibliography","created":"20181220153648454","description":"This code adds the `bibtex-entry` tag to all tiddlers imported by `BibTeX Importer` plugin thus having a `bibtex-title` field.","modified":"20210417133840512","tags":"$:/tags/SideBar","type":"text/vnd.tiddlywiki","text":"\\define dispEntry()\n<$link/>\n<$macrocall $name=stretchText text=\"\"\"\n<$view field=\"bibtex-author\"/>. (<$view field=\"bibtex-year\"/>). <$view field=\"bibtex-title\"/>.\"\"\" />\n\\end\n\n\n\\define searchFilter() [has[bibtex-title]search:$(sField)$[$(sTerm)$]]\n\n\\define bibLibrary()\n<$vars sField={{{[<searchTid>get[field]] ~[[bibtex-author]]}}} sTerm={{{[<searchTid>get[text]]}}}>\n\n<!-- show hide entry details -->\n<div class=\"tc-search refnotes-library\" style=\"margin:10px 0 0 20px;\">\nShow and Hide Details: \n<$button class=\"tc-btn-invisible\">{{$:/core/images/down-arrow}}\n<$list filter=\"[subfilter<searchFilter>]\">\n<$action-setfield $tiddler=<<tTemp>> text=show />\n</$list>\n</$button>\n<$button class=\"tc-btn-invisible\">{{$:/core/images/up-arrow}}\n<$list filter=\"[subfilter<searchFilter>]\">\n<$action-deletetiddler $tiddler=<<tTemp>> />\n</$list>\n</$button>\n</div>\n\n<!-- show the library data -->\n<ol>\n<$list filter=\"[subfilter<searchFilter>]\">\n<li><<dispEntry>></li>\n</$list>\n</ol>\n</$vars>\n\\end\n\n<!-- interface -->\n<div style=\"margin-bottom:8px;\">\n<<process-entries \"Process New Entries\">>\n</div>\n\n<!-- make this optional\n[[Bibliography Table]]\n-->\n\n<<searchUi>>\n\n<<bibLibrary>>\n\n\n\n"},"$:/plugins/kookma/refnotes/viewtemplates/article":{"title":"$:/plugins/kookma/refnotes/viewtemplates/article","created":"20210403164845276","list":"article","modified":"20210411045025505","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-journal bibtex-year bibtex-pages bibtex-number bibtex-volume bibtex-doi bibtex-entry-type\n\n<!-- display fields -->\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/book":{"title":"$:/plugins/kookma/refnotes/viewtemplates/book","created":"20210403164856132","list":"book","modified":"20210411044944793","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-year bibtex-publisher bibtex-entry-type\n\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/default":{"title":"$:/plugins/kookma/refnotes/viewtemplates/default","created":"20210403165027581","modified":"20210406122231139","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-year bibtex-doi bibtex-entry-type\n\n<!-- display fields -->\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/helper":{"title":"$:/plugins/kookma/refnotes/viewtemplates/helper","created":"20210405112132790","modified":"20210406122231155","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define display-bibtex-field()\n<div class=\"refnotes-bibtex-field\">\n<span><$text text={{{ [<currentField>removeprefix[bibtex-]titlecase[]] }}} /></span>\n<span><$transclude tiddler=<<currentTiddler>> field=<<currentField>> mode=inline /></span>\n</div>\n\\end\n\n<!-- display main bibtex fields -->\n<$list filter=\"[enlist<mainFields>]\" variable=currentField>\n<<display-bibtex-field>>\n</$list>\n\n<!-- display other bibtex fields -->\n<details class=\"refnotes-details\">\n <summary>More details</summary>\n<$list filter=\"[<currentTiddler>fields[]prefix[bibtex]sort[]] -[enlist<mainFields>]\" variable=currentField>\n<<display-bibtex-field>>\n</$list>\n</details>"},"$:/plugins/kookma/refnotes/viewtemplates/incollection":{"title":"$:/plugins/kookma/refnotes/viewtemplates/incollection","created":"20210411044534237","list":"incollection","modified":"20210411044919136","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-year bibtex-booktitle bibtex-editor bibtex-publisher bibtex-entry-type\n\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/inproceedings":{"title":"$:/plugins/kookma/refnotes/viewtemplates/inproceedings","created":"20210411094926217","list":"inproceedings","modified":"20210411100516658","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-year bibtex-booktitle bibtex-editor bibtex-doi bibtex-entry-type\n\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/main":{"title":"$:/plugins/kookma/refnotes/viewtemplates/main","created":"20181220142502642","modified":"20210406181431019","tags":"$:/tags/ViewTemplate","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[all[current]has[bibtex-title]]\">\n<$vars currentType={{{[<currentTiddler>get[bibtex-entry-type]lowercase[]] ~[[miscellaneous]]}}} >\n<$set name=\"bodyLookup\" \n filter=\"[all[tiddlers+shadows]tag[$:/tags/Refnotes/Template]contains:list<currentType>] +[limit[1]get[title]]\"\n\t\t\tvalue=<<bodyLookup>> \n emptyValue=\"$:/plugins/kookma/refnotes/viewtemplates/default\">\n<$transclude tiddler=<<bodyLookup>> field=\"text\" mode=\"inline\"/>\n</$set>\n</$vars>\n</$list>"},"$:/plugins/kookma/refnotes/viewtemplates/thesis":{"title":"$:/plugins/kookma/refnotes/viewtemplates/thesis","created":"20210410200742891","list":"phdthesis mastersthesis thesis","modified":"20210411045051185","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-year bibtex-school bibtex-entry-type\n\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/unpublished":{"title":"$:/plugins/kookma/refnotes/viewtemplates/unpublished","created":"20210411041928587","list":"unpublished","modified":"20210411042629830","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-author bibtex-year bibtex-note bibtex-entry-type\n\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"},"$:/plugins/kookma/refnotes/viewtemplates/website":{"title":"$:/plugins/kookma/refnotes/viewtemplates/website","created":"20210403164529700","list":"website","modified":"20210406122239864","tags":"$:/tags/Refnotes/Template","type":"text/vnd.tiddlywiki","text":"\\define mainFields() bibtex-title bibtex-url bibtex-year bibtex-entry-type\n\n<!-- display fields -->\n<$transclude tiddler=\"$:/plugins/kookma/refnotes/viewtemplates/helper\"/>"}}}
{"tiddlers":{"$:/plugins/kookma/shiraz/history":{"title":"$:/plugins/kookma/shiraz/history","created":"20210225163850252","modified":"20210808052511107","tags":"","type":"text/vnd.tiddlywiki","text":"Full change log: [[https://kookma.github.io/TW-Shiraz/#ChangeLog]]\n\n* ''2.3.3'' -- 2021.05.20 -- small bug fixes in switch palette\n* ''2.3.1'' -- 2021.05.19 -- tbl-linktype template to be used for generating node-explorer\n* ''2.3.0'' -- 2021.05.10 -- switch palette for dim/dark and light palette selection\n* ''2.2.2'' -- 2021.04.22 -- several issues fixed for pagination, notebook and image classes\n* ''2.2.0'' -- 2021.02.26 -- upated to TW 5.1.23 and pagination added to dynamic tables\n* ''2.1.1'' -- 2020.03.25 -- slider macro with initial status\n* ''2.1.0'' -- 2020.03.23 -- stable release on TW-5.1.22pre\n* ''1.0.0'' -- 2018.10.05 -- first public release\n"},"$:/plugins/kookma/shiraz/images/palette-switch":{"title":"$:/plugins/kookma/shiraz/images/palette-switch","created":"20210510155317562","modified":"20210808052511840","tags":"","type":"text/vnd.tiddlywiki","text":"<svg width=\"22pt\" height=\"22pt\" class=\"tc-image-palette-button tc-image-button\" viewBox=\"0 0 16 16\"><path d=\"M8 15A7 7 0 1 0 8 1v14zm0 1A8 8 0 1 1 8 0a8 8 0 0 1 0 16z\"/></svg>"},"$:/plugins/kookma/shiraz/license":{"title":"$:/plugins/kookma/shiraz/license","created":"20210225163850253","modified":"20210808052511119","tags":"","type":"text/vnd.tiddlywiki","text":"Distributed under an MIT license.\n\nCopyright (c) 2021 [[Mohammad Rahmani|https://github.com/kookma]]\n\n<<<\nPermission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the \"Software\"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions:\n\nThe above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n<<<"},"$:/plugins/kookma/shiraz/macros/alerts":{"title":"$:/plugins/kookma/shiraz/macros/alerts","created":"20180821095049685","modified":"20210808052511127","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define alert(type:\"primary\" src:\"\", width:\"100%\", class:\"\")\n<div class=\"alert alert-$type$ $class$\" style=\"width:$width$;\">\n$src$\n</div>\n\\end\n\n\\define alert-leftbar(type:\"primary\" src:\"\", width:\"100%\", class:\"\")\n<div class=\"alert alert-$type$ bg-transparent leftbar border-$type$ $class$\" style=\"width:$width$;\">\n$src$\n</div>\n\\end\n"},"$:/plugins/kookma/shiraz/macros/badge":{"title":"$:/plugins/kookma/shiraz/macros/badge","created":"20181124042103310","modified":"20210808052511132","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define badge(type:\"primary\" src:\"\")\n<span class=\"badge badge-$type$\">$src$</span>\n\\end\n\n\\define badge-pill(type:\"primary\" src:\"\")\n<span class=\"badge badge-pill badge-$type$\">$src$</span>\n\\end\n"},"$:/plugins/kookma/shiraz/macros/card":{"title":"$:/plugins/kookma/shiraz/macros/card","created":"20181124111624466","modified":"20210808052511138","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define card(header:\"Empty\", title:\"Empty\" subtitle:\"Empty\" text:\"Empty\",footer:\"Empty\", width:\"100%\" class:\"\")\n<div class=\"card mb-3 $class$\" style=\"width:$width$;\">\n<$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__header__>> >\n <div class=\"card-header\">$header$</div>\n</$reveal>\n<div class=\"card-body\">\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n <div class=\"h5 card-title\">$title$</div>\n </$reveal>\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__subtitle__>> >\n <div class=\"h6 card-subtitle mb-2 text-muted\">$subtitle$</div>\n </$reveal>\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__text__>> >\n <div class=\"card-text\"><<__text__>></div>\n </$reveal>\n</div>\n<$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__footer__>> >\n <div class=\"card-footer text-muted\">$footer$</div>\n</$reveal>\n</div>\n\\end"},"$:/plugins/kookma/shiraz/macros/dbadge":{"title":"$:/plugins/kookma/shiraz/macros/dbadge","created":"20181203212737578","modified":"20210808052511146","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define dbadge(subject,status, type:\"primary\")\n<div class=\"dbadge\"><span class=\"dbadge-subject\">$subject$</span><span class=\"dbadge-status dbadge-$type$ \">$status$</span></div>\n\\end\n"},"$:/plugins/kookma/shiraz/macros/details":{"title":"$:/plugins/kookma/shiraz/macros/details","created":"20181101185833098","modified":"20210808052511151","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define details(label:\"\", src:\"source\", status:\"\", labelClass:\"\", srcClass:\"\")\n<$vars source = {{{ [<__src__>get[text]else<__src__>] }}} >\n<details $status$>\n <summary class=\"$labelClass$\">$label$</summary>\n <div class=\"$srcClass$\">\n\t\n <<source>>\n </div>\n</details>\n</$vars>\n\\end"},"$:/plugins/kookma/shiraz/macros/dtables/confirm-delete":{"title":"$:/plugins/kookma/shiraz/macros/dtables/confirm-delete","created":"20191129201531051","modified":"20210808052511159","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define confirm-delete()\n<!-- reveals deletion confirmation -->\n<$list filter=\"[subfilter<inputFilter>limit[1]]\" variable=ignore>\n<$reveal class=\"tbl-delete-confirm\" type=\"match\" state=\"$:/temp/tables/delete-all!!text\" text=<<currentTable>> tag=\"tr\">\n<th colspan=<<ncols>> >\n<$list filter=\"[[$:/temp/tables/delete-all]get[confirm]match[yes]]\" \n variable=ignore emptyMessage=<<ask-for-delete>> >\n <<perform-delete>>\n</$list>\n</th>\n</$reveal>\n</$list>\n\\end\n\n\\define ask-for-delete()\n<$set name=ntids filter=\"[subfilter<inputFilter>count[]]\">\n Delete all <<ntids>> records?\n\t<$button class=\"tc-btn-invisible\">\n <$action-setfield $tiddler=\"$:/temp/tables/delete-all\" $field=\"confirm\" $value=\"yes\"/>\n {{$:/core/images/delete-button}} yes\n </$button> or \n <$button class=\"tc-btn-invisible\">\n <$action-deletetiddler $tiddler=\"$:/temp/tables/delete-all\"/>\n {{$:/core/images/close-button}} no\n </$button>\n</$set>\t\t\t\t\n\\end\n\n\\define perform-delete()\n Warning! this action cannot be undone!\n\t<$button class=\"tc-btn-invisible\">\n <$action-deletetiddler $tiddler=\"$:/temp/tables/delete-all\"/>\n <$list filter=<<inputFilter>> variable=\"currentRecord\">\n <$action-deletetiddler $tiddler=<<currentRecord>>/>\n </$list>\n\t\t {{$:/core/images/delete-button}} delete\n </$button> or \n <$button class=\"tc-btn-invisible\">\n <$action-deletetiddler $tiddler=\"$:/temp/tables/delete-all\"/>\n\t\t\t{{$:/core/images/close-button}} cancel \n </$button>\n\\end"},"$:/plugins/kookma/shiraz/macros/dtables/display-extrecord":{"title":"$:/plugins/kookma/shiraz/macros/dtables/display-extrecord","created":"20191203155802107","modified":"20210808052511167","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define display-extended-record()\n<td colspan=<<ncols>> >\n<$tiddler tiddler=<<currentRecord>> >\n<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore\n emptyMessage=\"\"\"<$transclude tiddler=<<currentRecord>> field=text mode=block/>\"\"\" >\n <$edit-text class=\"tbl-inpt-edit\" tiddler=<<currentRecord>> field=\"text\" tag=textarea/>\n</$list>\n</$tiddler>\n</td>\n\\end"},"$:/plugins/kookma/shiraz/macros/dtables/helper":{"title":"$:/plugins/kookma/shiraz/macros/dtables/helper","created":"20191203102929722","modified":"20210808052511172","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define columnFilter() $(columns)$ tbl-clone tbl-delete\n\n\\define tempTable() $:/state/dynamictables/$(currentTable)$\n\n\\define tempTableSort() $(tempTable)$/sortby\n\\define tempTagPopup() $(tempTable)$/$(currentRecord)$/$(currentTiddler)$\n\\define tempTableExpand() $(tempTable)$/expand\n\\define tempPathExpand() $(tempTableExpand)$##$(currentRecord)$\n\\define tempTableEdit() $(tempTable)$/edit-view-status\n\n\\define keepstate() $:/keepstate/dynamictables/$(currentTable)$\n\n\\define tempTableFooter() $(keepstate)$/footer\n\\define tempTableStyle() $(keepstate)$/style\n\\define tempWarningMsg() $(keepstate)$/warning\n\n\\define pageStateTiddler() $(keepstate)$/page-number\n\\define entryPerPageStateTiddler() $(keepstate)$/entry-per-page\n\n<!-- \n Those tiddlers based on keepstate are permanent.\n These are tiddlers hold the data and setting for footer, styles and warning (needs to be hold).\n These state and temporary tiddlers are independent of currentTiddler (host tiddler) and on changing\n the title of host tiddler will not disconnected to table\n-->"},"$:/plugins/kookma/shiraz/macros/dtables/maths":{"title":"$:/plugins/kookma/shiraz/macros/dtables/maths","created":"20200209153246553","modified":"20210808073255865","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define average(pn:0) <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>average[]] }}}/>\n\\define median(pn:0) <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>median[]] }}}/>\n\n\\define count() <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>count[]] }}}/>\n\\define sum() <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>sum[]] }}}/>\n\\define product() <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>product[]] }}}/>\n\n\\define minall() <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>minall[]] }}}/>\n\\define maxall() <$text text={{{ [subfilter<inputFilter>$(getFieldOrIndex)$<currentColumn>maxall[]] }}}/>\n\n\n\n\n\n"},"$:/plugins/kookma/shiraz/macros/dtables/pagination":{"title":"$:/plugins/kookma/shiraz/macros/dtables/pagination","created":"20210224180410216","modified":"20210808052511185","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define prev-button()\n<!-- create previous page button --->\n<$list filter=\"[<page-number>compare:number:lt[2]then[yes]else[no]]\" variable=state>\n<$button disabled=<<state>> class=\"shiraz-dtable-page-prev tc-btn-invisible\">\n{{$:/core/images/chevron-left}} <strong>Prev</strong>\n<$action-listops $tiddler=<<pageStateTiddler>> $field=text $subfilter=\"+[subtract[1]] ~[[1]]\"/>\n</$button>\n</$list>\n\\end\n\n\\define next-button()\n<!-- create next page button --->\n<$list filter=\"[<high>compare:number:gteq<total-entries>then[yes]else[no]]\" variable=state> \n<$button disabled=<<state>> class=\"shiraz-dtable-page-next tc-btn-invisible\">\n<strong>Next</strong> {{$:/core/images/chevron-right}} \n<$action-listops $tiddler=<<pageStateTiddler>> $field=text $subfilter=\"+[add[1]] ~[[2]]\"/>\n</$button>\n</$list>\n\\end\n\n\\define limit-entries()\n<!-- set number of entries per page -->\n<$select tiddler=<<entryPerPageStateTiddler>> default=25 actions=\"\"\"<$action-setfield $tiddler=<<pageStateTiddler>> text=1/>\"\"\">\n<$list filter='5 10 15 20 25 30 40 50' variable=num>\n<option value=<<num>>>Limit <$text text=<<num>>/></option>\n</$list>\n<option value=<<total-entries>> >Show all</option>\n</$select>\n\\end"},"$:/plugins/kookma/shiraz/macros/dtables/show-edit-cell":{"title":"$:/plugins/kookma/shiraz/macros/dtables/show-edit-cell","created":"20200209135600453","modified":"20210808052511192","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define showCell()\n <$list filter=\"[<fieldOrIndex>]-index\">\n <$transclude tiddler=<<currentRecord>> field=<<currentColumn>> mode=\"inline\" />\n </$list>\n <$list filter=\"[<fieldOrIndex>]-field\">\n <$transclude tiddler=<<currentRecord>> index=<<currentColumn>> mode=\"inline\" />\n </$list>\n\\end\t\n\\define editCell()\n <$list filter=\"[<fieldOrIndex>]-index\">\n <$edit-text tiddler=<<currentRecord>> field=<<currentColumn>> tag=\"input\" class=\"shiraz-dtable-textbox\"/>\n </$list>\n <$list filter=\"[<fieldOrIndex>]-field\">\n <$edit-text tiddler=<<currentRecord>> index=<<currentColumn>> tag=\"input\" class=\"shiraz-dtable-textbox\"/>\n </$list>\n\\end\n\n\\define showCell_Locked()\n <span style=\"font-style:oblique;\"><<showCell>></span>\n\\end "},"$:/plugins/kookma/shiraz/macros/dtables/table-csv-utility":{"title":"$:/plugins/kookma/shiraz/macros/dtables/table-csv-utility","created":"20210806160339977","modified":"20210812042405560","tags":"","type":"text/vnd.tiddlywiki","text":"\\whitespace trim\n\n\\define mainFilter() [enlist:raw<allRows>butfirst<header_row>] :sort:$(sortType)$:$(sortNegate)$[split<delimiter>!is[blank]trim[]nth<sortPos>]\n\\define tempTableSort() $:/state/tablecsv/$(currentTiddler)$/$(stateTiddler)$\n\n\\define text() <$text text=<<entry>> />\n\\define code() <code><<text>></code>\n\\define transclude() <$transclude tiddler=<<entry>> field=title/>\n\\define date() <$view field=title tiddler=<<entry>> format=date template=\"YYYY-0MM-0DD\"/>\n\\define shortdate() <$view field=title tiddler=<<entry>> format=date template=\"mmm DDth, YYYY\"/>\n\\define longdate() <$view field=title tiddler=<<entry>> format=date template=\"DDD, MMM 0DD, YYYY\"/>\n\\define email() <a class=\"tc-tiddlylink-external\" href=\"mailto:$(entry)$\" rel=\"noopener noreferrer\" target=\"_blank\"><<text>></a>\n\\define rate()\n<$list filter=\"[<entry>split[]match[*]]\" variable=ignore>\n<span class=\"shiraz-star\"><$transclude tiddler=\"$:/core/images/star-filled\" /></span>\n</$list>\n\\end\n\n\\define checkbox()\n<!-- show task item -->\n<$list filter=\"[<entry>trim[]match[x]]\" variable=ignore><input type=checkbox checked disabled/></$list>\n<!-- show done item -->\n<$list filter=\"[<entry>trim[]match[-]]\" variable=ignore><input type=checkbox disabled/></$list>\n\\end\n\n\\define column-header-template()\n<$reveal type=\"nomatch\" stateTitle=<<tempTableSort>> stateIndex=\"sortIndex\" text=<<currentColumn>> tag=\"th\">\n<$button setTitle=<<tempTableSort>> setIndex=\"sortIndex\" setTo=<<currentColumn>> class=\"tc-btn-invisible tc-tiddlylink\" >\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"hasnegate\" $value=\"false\"/>\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"negate\" $value=\"\"/>\n<span style=\"text-transform: capitalize;\"><$text text=<<currentColumn>>/></span>\n</$button>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableSort>> stateIndex=\"sortIndex\" text=<<currentColumn>> tag=\"th\">\n<$list filter=\"[<tempTableSort>getindex[hasnegate]match[false]]\" variable=ignore><!--set negate for sort-->\n<$button setTitle=<<tempTableSort>> setIndex=\"hasnegate\" setTo=\"true\" class=\"tbl-sort-svg tc-btn-invisible tc-tiddlylink\" >\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"negate\" $value=\"reverse\"/>\n<span style=\"text-transform: capitalize;\"><$text text=<<currentColumn>>/></span> {{$:/core/images/down-arrow}}\n</$button>\n</$list>\n<$list filter=\"[<tempTableSort>getindex[hasnegate]match[true]]\" variable=ignore><!--remove negate for sort-->\n<$button setTitle=<<tempTableSort>> setIndex=\"hasnegate\" setTo=\"false\" class=\"tbl-sort-svg tc-btn-invisible tc-tiddlylink\" >\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"negate\" $value=\"\"/>\n<span style=\"text-transform: capitalize;\"><$text text=<<currentColumn>>/></span> {{$:/core/images/up-arrow}}\n</$button>\n</$list>\n</$reveal>\n\\end\n\n<!-- these macro are used by table-csv to display entries -->"},"$:/plugins/kookma/shiraz/macros/dtables/table-csv":{"title":"$:/plugins/kookma/shiraz/macros/dtables/table-csv","created":"20210806160408697","modified":"20210812041057494","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define table-csv(tiddler:\"\", delimiter:\",\", sortType:\"alphanumeric\", format:\"\", caption:\"\", class:\"\", header:\"yes\", stateTiddler:\"\", id:\"\" )\n\\whitespace trim\n\\import [[$:/plugins/kookma/shiraz/macros/dtables/table-csv-utility]]\n\n<$vars src = {{{ [<__tiddler__>is[tiddler]then<__tiddler__>else<currentTiddler>] }}} \n stateTiddler = {{{ [<__stateTiddler__>!is[blank]then<__stateTiddler__>else[01]] }}} >\n<$vars sortCol = {{{ [<tempTableSort>getindex[sortIndex]] }}} \n sortNegate = {{{ [<tempTableSort>getindex[negate]] }}} \n delimiter = {{{ [<__delimiter__>match[\\t]then[°≡°]else<__delimiter__>] }}}\n dataBlockStartDelimiter ={{{ [<__id__>is[blank]then[<!---]] ~[[<!---]addsuffix<__id__>] }}}\n dataBlockEndDelimiter = \"--->\" >\n\n<!-- extract the data block -->\n<$vars dblock0 = {{{ [<src>get[text]splitregexp<dataBlockStartDelimiter>butfirst[1]] }}} >\n<$vars dblock1 = {{{ [<dblock0>splitregexp<dataBlockEndDelimiter>butlast[1]] }}} >\n<$vars dblock = {{{ [<delimiter>!match[°≡°]then<dblock1>] :else[<dblock1>search-replace:g:regexp[\\t],[°≡°]] }}} >\n\n<!-- create table -->\n<table class=\"$class$\">\n<$list filter=\"[<__caption__>!is[blank]]\" variable=ignorw><caption>$caption$</caption></$list>\n<!--check if there is a header row-->\n<$list filter=\"[<__header__>match[yes]then[1]else[0]]\" variable=header_row>\n<!-- process all extracted rows-->\n<$set name=allRows filter=\"\"\"[<dblock>splitregexp[\\n]!is[blank]]\"\"\">\n<!--create table header-->\n<$list filter=\"[enlist:raw<allRows>first<header_row>]\" variable=row >\n<tr><$list filter=\"[<row>splitregexp<delimiter>!is[blank]trim[]]\" variable=currentColumn><<column-header-template>></$list></tr>\n</$list>\n<!--create table body-->\n<$vars sortPos = {{{ [enlist:raw<allRows>first<header_row>splitregexp<delimiter>!is[blank]trim[]] +[allbefore:include<sortCol>count[]] }}} >\n<$vars sortType = {{{ [enlist:raw<__sortType__>nth<sortPos>else[alphanumeric]] }}} >\n<$list filter=<<mainFilter>> variable=row><!-- parse all other rows -->\n<tr><$list filter=\"[<row>splitregexp<delimiter>!is[blank]trim[]]\" variable=entry counter=pos>\n<td><$macrocall $name={{{ [enlist:raw<__format__>nth<pos>else[text]] }}} /></td>\n</$list></tr>\n</$list><!-- end of parse all other rows -->\n</$vars>\n</$vars><!-- sorPs-->\n</$set> <!-- end of process all extracted rows-->\n</$list><!-- end of check header -->\n</table>\n\n</$vars>\n</$vars>\n</$vars><!-- dblock0-->\n</$vars><!-- sortCol-->\n</$vars>\n\\end\n\n<!--\n- the default format is text, if format is not set for any column\n- the default sort type is alphanumeric, if the sort type is not sent \n- tab delimited data cannot be processed as enlist list them as sparate title on tab and do not keep them as a single row, so befor processing the \\tab is replaced with a rarely used combination like `°≡°`\n- leading and trailing blanks (spaces) are always trimmed\n- when use column header, you cannot have two column with the same header, this causes problem with sort routine\n-->"},"$:/plugins/kookma/shiraz/macros/dtables/table-dynamic":{"title":"$:/plugins/kookma/shiraz/macros/dtables/table-dynamic","created":"20200209100939116","modified":"20210808052511219","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define table-dynamic(filter, fields:\"\", indexes:\"\", sortOp:\"sort\", caption:\"\", class:\"\",\n footerRows:\"0\", stateTiddler:\"\", editButton:\"yes\", pagination:\"no\", emptyMessage:\"filter input is empty\")\n\n\\import [all[shadows+tiddlers]tag[$:/tags/Table/Macro]]\n\n<!--above commands import utility macros-->\n<$vars \n inputFilter=\"[subfilter<__filter__>!has[draft.of]]\"\n sortType=<<__sortOp__>>\n pagination=<<__pagination__>>\n> \n<$set name=currentTable value=<<__stateTiddler__>> emptyValue=<<currentTiddler>> >\n<!-- check what type of columns are sent, fileds or indexes (data tiddlers as records) -->\n<$set name=fieldOrIndex filter=\"[<__fields__>!is[blank]]\" value=\"field\" emptyValue=\"index\">\n<<check_tiddlers_type_for_table_from_indexes isEditable:\"$editButton$\">>\n<$set name=columns filter=\"[<fieldOrIndex>]-index\" value=<<__fields__>> emptyValue=<<__indexes__>> >\n<!--check input filter parameter-->\n<$list filter=\"[subfilter<inputFilter>limit[1]]\" emptyMessage=<<__emptyMessage__>> variable=ignore>\n<$set name=sortneg tiddler=<<tempTableSort>> index=\"negate\">\n<!--calculate the number of columns in edit and view mode -->\n<$set name=ncols filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" value={{{ [subfilter<columnFilter>count[]] }}} emptyValue= {{{ [subfilter<columnFilter>count[]subtract[2]] }}}>\n<div class=\"tbl-container\" style=\"max-width:100%;\">\n<table class=<<__class__>> style=\"caption-side:top\">\n<!-- reveal caption and edit button -------------------->\n<caption style=\"text-align:left;\">\n<$list filter=\"[<__editButton__>match[yes]]\" variavle=ignore>\n<span style=\"padding-right:5px;padding-left:3px;\"><<toggle-edit-view>></span></$list>\n$caption$</caption>\n\n<!-- create header -------------------->\n<thead>\n<<confirm-delete>>\n<tr>\n<$list filter=<<columnFilter>> variable=currentColumn>\n<$set name=\"headerLookup\" filter=\"[all[tiddlers+shadows]tag[$:/tags/Table/HeaderTemplate]contains:tbl-column-list<currentColumn>limit[1]get[title]]\" value=<<headerLookup>> emptyValue=\"$:/plugins/kookma/shiraz/templates/header/default\">\n <$transclude tiddler=<<headerLookup>> field=\"text\" mode=\"inline\"/>\n</$set>\n</$list>\n</tr>\n</thead>\n\n<!-- create footer -------------------->\n<$reveal type=\"gt\" default=<<__footerRows__>> text=\"0\" tag=\"tfoot\" class=\"shiraz-dtable-footer\">\n<tr><td colspan=<<ncols>> style=\"font-weight:bold;background-color:transparent;\">Numerical summary</td></tr>\n<$list filter=\"[range[1,$footerRows$]addprefix[footer-]]\" variable=footerRow>\n<tr>\n<$list filter=<<columnFilter>> variable=currentColumn>\n<$set name=\"footerLookup\" filter=\"[all[tiddlers+shadows]tag[$:/tags/Table/FooterTemplate]contains:tbl-column-list<currentColumn>limit[1]get[title]]\" value=<<footerLookup>> emptyValue=\"$:/plugins/kookma/shiraz/templates/footer/default\">\n<$transclude tiddler=<<footerLookup>> field=\"text\" mode=\"inline\"/>\n</$set>\n</$list>\n</tr>\n</$list>\n</$reveal>\n\n<!-- reveal main body of table (generate records) -------------------->\n<tbody>\n<$set name=tableBody filter=\"[<fieldOrIndex>]-index\" value=\"display_body_fields\" emptyValue=\"display_body_indexes\" >\n<!-- setup pagination variables -->\n<$vars total-entries={{{[subfilter<inputFilter>count[]] }}}\n\t\t\t page-number={{{[<pageStateTiddler>get[text]] ~[[1]]}}} \n\t\t\t entries-per-page={{{ [<entryPerPageStateTiddler>get[text]] ~[[25]] }}} >\n<$vars low={{{ [<page-number>subtract[1]multiply<entries-per-page>] }}} \n high={{{[<page-number>multiply<entries-per-page>] }}} >\t \n<$macrocall $name=<<tableBody>> />\n<!--on demand display the pagination row-->\n<$reveal type=\"match\" default=<<pagination>> text=\"yes\" tag=\"tr\" class=\"shiraz-dtable-page-footer\">\n<td colspan=<<ncols>> >\n<<prev-button>>\nDisplaying <$text text={{{[<low>add[1]]}}}/> through <$text text={{{ [<high>compare:number:lt<total-entries>then<high>else<total-entries>] }}}/> of <<total-entries>> Results | <<limit-entries>>\n<<next-button>>\n</td>\n</$reveal><!-- end of pagination ui -->\n</$vars>\n</$vars>\n</$set>\n</tbody>\n</table>\n</div><!-- container-->\n</$set>\n</$set>\n</$list><!--check input filter parameter-->\n</$set>\n</$set>\n</$set>\n</$vars>\n\\end\n\n"},"$:/plugins/kookma/shiraz/macros/dtables/table-utility":{"title":"$:/plugins/kookma/shiraz/macros/dtables/table-utility","created":"20200209195541061","modified":"20210808052511227","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define tableFilter_fields() $(inputFilter)$+[$(sortneg)$$(sortType)${$(tempTableSort)$##sortIndex}]\n\\define tableFilter_indexes() [enlist<items>]+[$(sortneg)$$(sortType)$[]]\n\n\\define getitems()\n<$set name=Index tiddler=<<tempTableSort>> index=\"sortIndex\">\n<$list filter=\"[subfilter<inputFilter>!has[draft.of]]\" >\n<$text text=\"[[\"/>{{{ [<currentTiddler>getindex<Index>addsuffix[°≡°]] }}}<<currentTiddler>><$text text=\"]]\"/>\n</$list>\n</$set>\n\\end\n\n\\define display_one_record()\n<$wikify name=\"rowStyle\" text=\"\"\"<$transclude tiddler=<<tempTableStyle>> index=<<currentRecord>> />\"\"\" mode=\"inline\">\n<tr style=<<rowStyle>>>\n<$list filter=<<columnFilter>> variable=currentColumn>\n<$set name=\"bodyLookup\" \n filter=\"[all[tiddlers+shadows]tag[$:/tags/Table/BodyTemplate]contains:tbl-column-list<currentColumn>]\n +[limit[1]get[title]]\"\n value=<<bodyLookup>> \n emptyValue=\"$:/plugins/kookma/shiraz/templates/body/default\">\n<$transclude tiddler=<<bodyLookup>> field=\"text\" mode=\"inline\"/>\n</$set>\n</$list>\n</tr>\n<!-- reveal expanded record-->\n<$reveal type=\"match\" state=<<tempPathExpand>> text=\"show\" tag=\"tr\">\n<<display-extended-record>>\n</$reveal>\n</$wikify>\n\\end\n\n\\define display_body_fields() \n<$set name=finalFilter filter=\"[<pagination>match[yes]]\" value=\"[subfilter<tableFilter_fields>first<high>] -[subfilter<tableFilter_fields>first<low>]\" emptyValue=\"[subfilter<tableFilter_fields>]\">\n<$list filter=\"[subfilter<finalFilter>]\" variable=\"currentRecord\">\n<<display_one_record>>\n</$list>\n</$set>\n\\end\n\n\\define display_body_indexes()\n<$wikify name=\"items\" text=<<getitems>> > \n<$set name=finalFilter filter=\"[<pagination>match[yes]]\" value=\"[subfilter<tableFilter_indexes>first<high>] -[subfilter<tableFilter_indexes>first<low>]\" emptyValue=\"[subfilter<tableFilter_indexes>]\">\n<$list filter=\"[subfilter<finalFilter>]\" variable=\"currentItem\">\n<$list filter=\"[<currentItem>split[°≡°]last[]]\" variable=\"currentRecord\">\n <<display_one_record>>\n</$list>\n</$list>\n</$set>\n</$wikify>\n\\end\n\n"},"$:/plugins/kookma/shiraz/macros/dtables/toggle-edit-view":{"title":"$:/plugins/kookma/shiraz/macros/dtables/toggle-edit-view","created":"20191128215812372","modified":"20210808052511239","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define toggle-edit-view()\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\">\n<$button class=\"tc-btn-invisible tc-tiddlylink\" setTitle=<<tempTableEdit>> setIndex=\"mode\" setTo=\"edit\">{{$:/core/images/edit-button}}</$button>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\">\n<$button class=\"tc-btn-invisible tc-tiddlylink\" setTitle=<<tempTableEdit>> setIndex=\"mode\" setTo=\"view\">{{$:/core/images/done-button}}</$button>\n</$reveal>\n\\end"},"$:/plugins/kookma/shiraz/macros/dtables/warning_message":{"title":"$:/plugins/kookma/shiraz/macros/dtables/warning_message","created":"20200210083402839","modified":"20210808052511245","tags":"$:/tags/Table/Macro","type":"text/vnd.tiddlywiki","text":"\\define show_tiddler_types()\n<details>\n <summary>List tiddlers with wrong type</summary>\n\t<dl>\n <$list filter=\"[subfilter<inputFilter>]\">\n\t<$list filter=\"[<currentTiddler>get[type]match[application/x-tiddler-dictionary]][<currentTiddler>get[type]match[application/json]]\" variable=ignore\n\temptyMessage=\"\"\"<dt><$link/></dt><dd><$view field=type/></dd>\"\"\">\n\t</$list>\n\t</$list>\n\t</dl>\n</details>\n\\end\n\n\n\\define show_warning_message()\nDynamic editable table from ''indexes'' expects all input tiddlers are of dataTiddler (json or dictionary) types. Using tiddlers of non //json// or //x-tiddler-dictionary// types as input can unintentionally overwrite the data in the text field of those tiddlers.<br>\n<br>\nCheck the tiddler types to find which tiddlers are not of dataTiddler types!<br>\n<<show_tiddler_types>>\n\\end\n\n\n\\define check_tiddlers_type_for_table_from_indexes(isEditable)\n <$list filter=\"[<fieldOrIndex>]-field\" variable=ignore><!-- only dataTiddler -->\n\t<$list filter=\"[<__isEditable__>match[yes]]\" variable=ignore> <!-- only editable table -->\n\t<$list filter=\"[<tempWarningMsg>is[missing]]\" variable=ignore><!-- dismiss if tempWarningMsg is set -->\n\t<$list filter=\"[subfilter<inputFilter>each[type]get[type]]-[[application/x-tiddler-dictionary]]-[[application/json]]\" variable=ignore>\n\t <div style=\"color: white;background-color:#ff0033;padding:8px;text-align:center;width:100%;\">\n\t Danger: Editable dynamic table from idexes with mixed types of tiddlers! \n\t <$button class=\"tc-btn-invisible tc-tiddlylink\" style=\"fill:white;\" tooltip=\"Dismiss alert and continue with the current selection!\">{{$:/core/images/close-button}}\n <$action-setfield $tiddler=<<tempWarningMsg>> text=\"dissmiss\"/>\n </$button>\n\t </div>\n\t <div style=\"border:1px solid #ff0033;padding:8px;\">\n\t <<show_warning_message>>\n\t </div>\n </$list>\n\t</$list>\n\t</$list>\n\t</$list>\n\\end\t\n"},"$:/plugins/kookma/shiraz/macros/image-basic":{"title":"$:/plugins/kookma/shiraz/macros/image-basic","created":"20181119183704246","modified":"20210808052511253","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define image-basic(img, width:\"30%\", align:\"none\", caption:\"\", tooltip:\"\", alt:\"\")\n<figure class=\"image-basic image-align-$align$\" style=\"width:$width$;\">\n <$image source=<<__img__>> tooltip=<<__tooltip__>> alt=<<__alt__>> /> \n <figcaption>$caption$</figcaption>\n</figure> \n\\end"},"$:/plugins/kookma/shiraz/macros/image-card-utility":{"title":"$:/plugins/kookma/shiraz/macros/image-card-utility","created":"20191209113750505","modified":"20210808052511268","type":"text/vnd.tiddlywiki","text":"\\define image-card-top(img, width:\"30%\", align:\"none\", title:\"Empty\", text:\"Empty\", footer:\"Empty\", alt:\"\")\n<div class=\"card image-align-$align$\" style=\"width:$width$;\">\n <$image class=\"card-img-top\" source=<<__img__>> alt=<<__alt__>> />\n <div class=\"card-body\">\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n <div class=\"h5 card-title\">$title$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__text__>> >\n <div class=\"card-text\">$text$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__footer__>> >\n <p class=\"card-text\"><small class=\"text-muted\">$footer$</small></p>\n </$reveal>\n </div> \n</div>\n\\end\n\n\\define image-card-bottom(img, width:\"30%\", align:\"none\", title:\"Empty\", text:\"Empty\", footer:\"Empty\", alt:\"\")\n<div class=\"card image-align-$align$\" style=\"width:$width$;\">\n <div class=\"card-body\">\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n <div class=\"h5 card-title\">$title$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__text__>> >\n <div class=\"card-text\">$text$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__footer__>> >\n <p class=\"card-text\"><small class=\"text-muted\">$footer$</small></p>\n </$reveal>\n </div> \n <$image class=\"card-img-bottom\" source=<<__img__>> alt=<<__alt__>> />\n</div>\n\\end"},"$:/plugins/kookma/shiraz/macros/image-card":{"title":"$:/plugins/kookma/shiraz/macros/image-card","created":"20190913094619863","modified":"20210808052511263","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define image-card(img, width:\"30%\", align:\"none\", title:\"Empty\", text:\"Empty\", footer:\"Empty\", pos:\"top\", alt:\"\")\n\\import $:/plugins/kookma/shiraz/macros/image-card-utility\n<$reveal tag=\"div\" type=\"match\" default=\"top\" text=<<__pos__>> >\n<$macrocall $name=image-card-top img=<<__img__>> title=<<__title__>> text=<<__text__>>\n footer=<<__footer__>> width=<<__width__>> align=<<__align__>> alt=<<__alt__>> />\n</$reveal>\n<$reveal tag=\"div\" type=\"nomatch\" default=\"top\" text=<<__pos__>> >\n<$macrocall $name=image-card-bottom img=<<__img__>> title=<<__title__>> text=<<__text__>>\n footer=<<__footer__>> width=<<__width__>> align=<<__align__>> alt=<<__alt__>> />\n</$reveal>\n\\end\n\n\\define image-card-top(img, width:\"30%\", align:\"none\", title:\"Empty\", text:\"Empty\", footer:\"Empty\", alt:\"\")\n<div class=\"card image-align-$align$\" style=\"width:$width$;\">\n <$image class=\"card-img-top\" source=<<__img__>> alt=<<__alt__>> />\n <div class=\"card-body\">\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n <div class=\"h5 card-title\">$title$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__text__>> >\n <div class=\"card-text\">$text$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__footer__>> >\n <p class=\"card-text\"><small class=\"text-muted\">$footer$</small></p>\n </$reveal>\n </div> \n</div>\n\\end\n\n\\define image-card-bottom(img, width:\"30%\", align:\"none\", title:\"Empty\", text:\"Empty\", footer:\"Empty\", alt:\"\")\n<div class=\"card image-align-$align$\" style=\"width:$width$;\">\n <div class=\"card-body\">\n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__title__>> >\n <div class=\"h5 card-title\">$title$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__text__>> >\n <div class=\"card-text\">$text$</div>\n </$reveal> \n <$reveal tag=\"div\" type=\"nomatch\" default=\"Empty\" text=<<__footer__>> >\n <p class=\"card-text\"><small class=\"text-muted\">$footer$</small></p>\n </$reveal>\n </div> \n <$image class=\"card-img-bottom\" source=<<__img__>> alt=<<__alt__>> />\n</div>\n\\end"},"$:/plugins/kookma/shiraz/macros/image-overlay-utility":{"title":"$:/plugins/kookma/shiraz/macros/image-overlay-utility","created":"20191209114338849","modified":"20210808052511284","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define _cls-content-details() image-overlay-content-details $(fdcls)$"},"$:/plugins/kookma/shiraz/macros/image-overlay":{"title":"$:/plugins/kookma/shiraz/macros/image-overlay","created":"20181117203737197","modified":"20210808052511276","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define image-overlay(img, width:\"30%\", align:\"none\", caption:\"\", title:\"\", text:\"\", fadein:\"left\", alt:\"\")\n\\import $:/plugins/kookma/shiraz/macros/image-overlay-utility\n<div class=\"image-overlay-container image-align-$align$\" style=\"width:$width$;\">\n <h3 class=\"image-overlay-title\">$caption$</h3>\n <div class=\"image-overlay-content\">\n <div class=\"image-overlay-content-overlay\"></div>\n <$image class=\"image-overlay-content-image\" source=<<__img__>> alt=<<__alt__>>/>\n <$set name=\"fdcls\" filter=\"$fadein$ +[splitbefore[ ]] +[addprefix[image-overlay-fadeIn-]]\">\n <div class=<<_cls-content-details>> >\n <h3 class=\"image-overlay-content-title\">$title$</h3>\n <p class=\"image-overlay-content-text\">$text$</p>\n </div>\n </$set>\n </div>\n</div>\n\\end"},"$:/plugins/kookma/shiraz/macros/image-polaroid":{"title":"$:/plugins/kookma/shiraz/macros/image-polaroid","created":"20181117203654803","modified":"20210808052511292","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define image-polaroid(img, width:\"30%\", align:\"none\", caption:\"\", tooltip:\"\", alt:\"\")\n<figure class=\"image-polaroid image-align-$align$\" style=\"width:$width$;\">\n <$image source=\"\"\"$img$\"\"\" tooltip=\"\"\"$tooltip$\"\"\"/>\n <figcaption class=\"image-polaroid-caption\">$caption$</figcaption>\n</figure> \n\\end"},"$:/plugins/kookma/shiraz/macros/image-pretty":{"title":"$:/plugins/kookma/shiraz/macros/image-pretty","created":"20181117203541398","modified":"20210808052511297","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define image-pretty(img, width:\"30%\", align:\"none\", caption:\"\", tooltip:\"\", alt:\"\")\n<figure class=\"image-pretty image-align-$align$\" style=\"width:$width$;\">\n <$image source=<<__img__>> tooltip=<<__tooltip__>> alt=<<__alt__>> /> \n <figcaption class=\"image-pretty-caption\">$caption$</figcaption>\n</figure> \n\\end"},"$:/plugins/kookma/shiraz/macros/image-slidein":{"title":"$:/plugins/kookma/shiraz/macros/image-slidein","created":"20181117040544570","modified":"20210808052511301","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define image-slidein(img, width:\"30%\", align:\"none\", caption:\"\", tooltip:\"\", slidein:\"left\", alt:\"\")\n<figure class=\"image-slidein mr-cap-$slidein$ image-align-$align$\" style=\"width:$width$;\">\n <$image source=<<__img__>> tooltip=<<__tooltip__>> alt=<<__alt__>>/>\n <figcaption>$caption$</figcaption>\n</figure> \n\\end"},"$:/plugins/kookma/shiraz/macros/list-search":{"title":"$:/plugins/kookma/shiraz/macros/list-search","author":"Jeremy Ruston","created":"20191209101857832","creator":"Mohammad","description":"creates few paragraphs of dumy text","modified":"20210808052511310","modifier":"Mohammad","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define list-search( filter:\"[!is[system]]\", search:\"search:title\", template:\"$:/core/ui/ListItemTemplate\",\n class:\"\", stateTiddler:\"\", placeholder:\"keywords\")\n<$set name=\"state\" filter=\"[[$:/temp/list-search]addsuffix[/$stateTiddler$]addsuffix<qualify>]\">\n<div class=<<__class__>> >\n<$edit-text tiddler=<<state>> type=\"search\" tag=\"input\" default=\"\" placeholder=\"$placeholder$\"/>\n</div>\n<$reveal state=<<state>> type=\"match\" text=\"\" class=<<__class__>> tag=div>\n<$list filter=\"$filter$\" template=<<__template__>>/>\n</$reveal>\n<$reveal state=<<state>> type=\"nomatch\" text=\"\" class=<<__class__>> tag=div>\n<$set name=term tiddler=<<state>> field=\"text\">\n<$list filter=\"$filter$+[$search$<term>]\" template=<<__template__>>/>\n</$set>\n</$reveal>\n</$set>\n\\end\n<!-- from Tobias Beer list-search with modification-->"},"$:/plugins/kookma/shiraz/macros/multicol":{"title":"$:/plugins/kookma/shiraz/macros/multicol","created":"20191018063242993","modified":"20210808052511318","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define multicol(src, ncol:\"\", class:\"\")\n<div class=\"sh-multicol$ncol$ $class$\">\n\n$src$\n</div>\n\\end"},"$:/plugins/kookma/shiraz/macros/slider":{"title":"$:/plugins/kookma/shiraz/macros/slider","created":"20190322161929431","description":"Slider macro shows (hides) its content.","modified":"20210808052511326","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define slider(label, src, labelClass, srcClass, status:\"closed\")\n<$vars revealState = \"\"\"$:/state/shiraz/slider-macro/$(currentTiddler)$/$label$\"\"\"\n source = {{{ [<__src__>get[text]else<__src__>] }}} >\n\n\n<h2 class=\"$labelClass$\">\n <$reveal type=\"nomatch\" state=<<revealState>> text=\"open\" default=\"$status$\">\n <$button class=\"tc-btn-invisible tc-tiddlylink\" set=<<revealState>> setTo=\"open\">\n <span class=\"kk-sh-slider\"><$transclude tiddler=\"$:/core/images/right-arrow\" /></span>\n </$button>\n </$reveal>\n <$reveal type=\"match\" state=<<revealState>> text=\"open\" default=\"$status$\">\n <$button class=\"tc-btn-invisible tc-tiddlylink\" set=<<revealState>> setTo=\"closed\">\n <span class=\"kk-sh-slider\"><$transclude tiddler=\"$:/core/images/down-arrow\" /></span>\n </$button>\n </$reveal>\n $label$\n</h2>\n\n<$reveal type=\"match\" state=<<revealState>> text=\"open\" default=\"$status$\" class=\"$srcClass$\" tag=div>\n\n<<source>>\n</$reveal>\n\n</$vars>\n\\end"},"$:/plugins/kookma/shiraz/macros/space":{"title":"$:/plugins/kookma/shiraz/macros/space","created":"20170629183034888","modified":"20210808052511332","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define vspace(height:\"25px\")\n<p style=\"margin-bottom: $height$;\"></p>\n\\end\n\n\\define hspace(width:\"25px\")\n<span style=\"margin-left: $width$;\"></span>\n\\end\n"},"$:/plugins/kookma/shiraz/macros/text-utility":{"title":"$:/plugins/kookma/shiraz/macros/text-utility","created":"20181101154956345","modified":"20210808052511341","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define tc(src:\"\", color:\"red\") <span style=\"color:$color$;\">$src$</span>\n\\define bc(src:\"\", color:\"yellow\") <span style=\"background-color:$color$;\">$src$</span>\n\\define mono(src:\"\", class:\"\") <code class=\"mono $class$\">$src$</code>\n\\define transform(case:\"\", src:\"\", class:\"\") <span class=\"text-$case$ $class$\">$src$</span>"},"$:/plugins/kookma/shiraz/readme":{"title":"$:/plugins/kookma/shiraz/readme","created":"20210225163850254","modified":"20210808052511349","tags":"","type":"text/vnd.tiddlywiki","text":"; Shiraz\nShiraz is a small framework of stylesheets, templates and macros to create stylish contents in Tiddlywiki. Shiraz has customized elements like alerts, cards, panels, images, static tables, dynamic tables, badges, texts, etc. Shiraz uses some modified CSS classes from [[Bootstrap|https://getbootstrap.com/]] 4.3.1.\n\n;Code and demo\nFor learning plugin features, syntax, tutorial and examples see the plugin demo and code pages\n\n* Demo: https://kookma.github.io/TW-Shiraz/\n* Code: https://github.com/kookma/TW-Shiraz\n"},"$:/plugins/kookma/shiraz/styles/alerts-leftbar":{"title":"$:/plugins/kookma/shiraz/styles/alerts-leftbar","text":".leftbar{\n border-width:0px !important;\n border-radius:0px !important;\n border-left-width: 5px !important;\n}","created":"20181208184228896","modified":"20210808052511357","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bglowtone-colors":{"title":"$:/plugins/kookma/shiraz/styles/bglowtone-colors","text":"/* Colors taked from [1] https://www.bg-w3schools.bg-com/colors/colors_names.bg-asp \n[2] http://www.bg-workwithcolor.bg-com/color-chart-full-01.bg-htm*/\n/*Low tone background colors*/\n.bg-mistyrose{background-color:#ffe4e1;}\n.bg-lemonchiffon{background-color:#fffacd;}\n.bg-lavenderblush{background-color:#fff0f5;}\n.bg-lavender{background-color:#e6e6fa;}\n.bg-honeydew{background-color:#f0fff0;}\n.bg-lightcyan{background-color:#e0ffff;}\n.bg-aliceblue{background-color:#f0f8ff;}\n.bg-cornsilk{background-color:#fff8dc;}\n.bg-gainsboro{background-color:#dcdcdc;}\n.bg-bisque{background-color:#ffe4c4;}\n.bg-snow{background-color:#fffafa;}","created":"20181029071532524","list":"mistyrose lemonchiffon lavenderblush lavender honeydew lightcyan aliceblue cornsilk gainsboro bisque snow","modified":"20210808052511365","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/alerts":{"title":"$:/plugins/kookma/shiraz/styles/bs/alerts","text":"/*Was taken from bootstrap 4.1.3*/\n.alert {\n position: relative;\n padding: 0.75rem 1.25rem;\n margin-bottom: 1rem;\n border: 1px solid transparent;\n border-radius: 0.25rem;\n}\n.alert-primary {\n color: #004085;\n background-color: #cce5ff;\n border-color: #b8daff;\n}\n\n.alert-primary hr {\n border-top-color: #9fcdff;\n}\n.alert-secondary {\n color: #383d41;\n background-color: #e2e3e5;\n border-color: #d6d8db;\n}\n\n.alert-secondary hr {\n border-top-color: #c8cbcf;\n}\n\n.alert-success {\n color: #155724;\n background-color: #d4edda;\n border-color: #c3e6cb;\n}\n\n.alert-success hr {\n border-top-color: #b1dfbb;\n}\n.alert-info {\n color: #0c5460;\n background-color: #d1ecf1;\n border-color: #bee5eb;\n}\n\n.alert-info hr {\n border-top-color: #abdde5;\n}\n.alert-warning {\n color: #856404;\n background-color: #fff3cd;\n border-color: #ffeeba;\n}\n\n.alert-warning hr {\n border-top-color: #ffe8a1;\n}\n\n.alert-danger {\n color: #721c24;\n background-color: #f8d7da;\n border-color: #f5c6cb;\n}\n\n.alert-danger hr {\n border-top-color: #f1b0b7;\n}\n.alert-light {\n color: #818182;\n background-color: #fefefe;\n border-color: #fdfdfe;\n}\n\n.alert-light hr {\n border-top-color: #ececf6;\n}\n.alert-dark {\n color: #1b1e21;\n background-color: #d6d8d9;\n border-color: #c6c8ca;\n}\n\n.alert-dark hr {\n border-top-color: #b9bbbe;\n}\n","created":"20180820171551129","modified":"20210808052511374","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/background-colors":{"title":"$:/plugins/kookma/shiraz/styles/bs/background-colors","text":".bg-primary {\n background-color: #007bff !important;\n}\n\na.bg-primary:hover, a.bg-primary:focus,\nbutton.bg-primary:hover,\nbutton.bg-primary:focus {\n background-color: #0062cc !important;\n}\n\n.bg-secondary {\n background-color: #6c757d !important;\n}\n\na.bg-secondary:hover, a.bg-secondary:focus,\nbutton.bg-secondary:hover,\nbutton.bg-secondary:focus {\n background-color: #545b62 !important;\n}\n\n.bg-success {\n background-color: #28a745 !important;\n}\n\na.bg-success:hover, a.bg-success:focus,\nbutton.bg-success:hover,\nbutton.bg-success:focus {\n background-color: #1e7e34 !important;\n}\n\n.bg-info {\n background-color: #17a2b8 !important;\n}\n\na.bg-info:hover, a.bg-info:focus,\nbutton.bg-info:hover,\nbutton.bg-info:focus {\n background-color: #117a8b !important;\n}\n\n.bg-warning {\n background-color: #ffc107 !important;\n}\n\na.bg-warning:hover, a.bg-warning:focus,\nbutton.bg-warning:hover,\nbutton.bg-warning:focus {\n background-color: #d39e00 !important;\n}\n\n.bg-danger {\n background-color: #dc3545 !important;\n}\n\na.bg-danger:hover, a.bg-danger:focus,\nbutton.bg-danger:hover,\nbutton.bg-danger:focus {\n background-color: #bd2130 !important;\n}\n\n.bg-light {\n background-color: #f8f9fa !important;\n}\n\na.bg-light:hover, a.bg-light:focus,\nbutton.bg-light:hover,\nbutton.bg-light:focus {\n background-color: #dae0e5 !important;\n}\n\n.bg-dark {\n background-color: #343a40 !important;\n}\n\na.bg-dark:hover, a.bg-dark:focus,\nbutton.bg-dark:hover,\nbutton.bg-dark:focus {\n background-color: #1d2124 !important;\n}\n\n.bg-white {\n background-color: #fff !important;\n}\n\n.bg-transparent {\n background-color: transparent !important;\n}","created":"20180820170518161","modified":"20210808052511382","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/badge":{"title":"$:/plugins/kookma/shiraz/styles/bs/badge","text":"/* Extracted from bootstrap 4.1.3 */\n.badge {\n display: inline-block;\n padding: 0.25em 0.4em;\n font-size: 75%;\n font-weight: 700;\n line-height: 1;\n text-align: center;\n white-space: nowrap;\n vertical-align: baseline;\n border-radius: 0.25rem;\n}\n\n.badge:empty {\n display: none;\n}\n\n.btn .badge {\n position: relative;\n top: -1px;\n}\n\n.badge-pill {\n padding-right: 0.6em;\n padding-left: 0.6em;\n border-radius: 10rem;\n}\n\n.badge-primary {\n color: #fff;\n background-color: #007bff;\n}\n\n.badge-primary[href]:hover, .badge-primary[href]:focus {\n color: #fff;\n text-decoration: none;\n background-color: #0062cc;\n}\n\n.badge-secondary {\n color: #fff;\n background-color: #6c757d;\n}\n\n.badge-secondary[href]:hover, .badge-secondary[href]:focus {\n color: #fff;\n text-decoration: none;\n background-color: #545b62;\n}\n\n.badge-success {\n color: #fff;\n background-color: #28a745;\n}\n\n.badge-success[href]:hover, .badge-success[href]:focus {\n color: #fff;\n text-decoration: none;\n background-color: #1e7e34;\n}\n\n.badge-info {\n color: #fff;\n background-color: #17a2b8;\n}\n\n.badge-info[href]:hover, .badge-info[href]:focus {\n color: #fff;\n text-decoration: none;\n background-color: #117a8b;\n}\n\n.badge-warning {\n color: #212529;\n background-color: #ffc107;\n}\n\n.badge-warning[href]:hover, .badge-warning[href]:focus {\n color: #212529;\n text-decoration: none;\n background-color: #d39e00;\n}\n\n.badge-danger {\n color: #fff;\n background-color: #dc3545;\n}\n\n.badge-danger[href]:hover, .badge-danger[href]:focus {\n color: #fff;\n text-decoration: none;\n background-color: #bd2130;\n}\n\n.badge-light {\n color: #212529;\n background-color: #f8f9fa;\n}\n\n.badge-light[href]:hover, .badge-light[href]:focus {\n color: #212529;\n text-decoration: none;\n background-color: #dae0e5;\n}\n\n.badge-dark {\n color: #fff;\n background-color: #343a40;\n}\n\n.badge-dark[href]:hover, .badge-dark[href]:focus {\n color: #fff;\n text-decoration: none;\n background-color: #1d2124;\n}\n\n","created":"20181122140031075","modified":"20210808052511390","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/borders":{"title":"$:/plugins/kookma/shiraz/styles/bs/borders","text":".border {\n border: 1px solid #dee2e6 !important;\n}\n\n.border-top {\n border-top: 1px solid #dee2e6 !important;\n}\n\n.border-right {\n border-right: 1px solid #dee2e6 !important;\n}\n\n.border-bottom {\n border-bottom: 1px solid #dee2e6 !important;\n}\n\n.border-left {\n border-left: 1px solid #dee2e6 !important;\n}\n\n.border-0 {\n border: 0 !important;\n}\n\n.border-top-0 {\n border-top: 0 !important;\n}\n\n.border-right-0 {\n border-right: 0 !important;\n}\n\n.border-bottom-0 {\n border-bottom: 0 !important;\n}\n\n.border-left-0 {\n border-left: 0 !important;\n}\n\n.border-primary {\n border-color: #007bff !important;\n}\n\n.border-secondary {\n border-color: #6c757d !important;\n}\n\n.border-success {\n border-color: #28a745 !important;\n}\n\n.border-info {\n border-color: #17a2b8 !important;\n}\n\n.border-warning {\n border-color: #ffc107 !important;\n}\n\n.border-danger {\n border-color: #dc3545 !important;\n}\n\n.border-light {\n border-color: #f8f9fa !important;\n}\n\n.border-dark {\n border-color: #343a40 !important;\n}\n\n.border-white {\n border-color: #fff !important;\n}\n\n.rounded {\n border-radius: 0.25rem !important;\n}\n\n.rounded-top {\n border-top-left-radius: 0.25rem !important;\n border-top-right-radius: 0.25rem !important;\n}\n\n.rounded-right {\n border-top-right-radius: 0.25rem !important;\n border-bottom-right-radius: 0.25rem !important;\n}\n\n.rounded-bottom {\n border-bottom-right-radius: 0.25rem !important;\n border-bottom-left-radius: 0.25rem !important;\n}\n\n.rounded-left {\n border-top-left-radius: 0.25rem !important;\n border-bottom-left-radius: 0.25rem !important;\n}\n\n.rounded-circle {\n border-radius: 50% !important;\n}\n\n.rounded-0 {\n border-radius: 0 !important;\n}\n","created":"20180820174710383","modified":"20210808052511397","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/btn":{"title":"$:/plugins/kookma/shiraz/styles/bs/btn","text":"/* Button and btn classes Mohammad*/\n.btn {\n display: inline-block;\n font-weight: 400;\n text-align: center;\n white-space: nowrap;\n vertical-align: middle;\n -webkit-user-select: none;\n -moz-user-select: none;\n -ms-user-select: none;\n user-select: none;\n border: 1px solid transparent;\n padding: 0.375rem 0.75rem;\n font-size: 1rem;\n line-height: 1.5;\n border-radius: 0.25rem;\n transition: color 0.15s ease-in-out, background-color 0.15s ease-in-out, border-color 0.15s ease-in-out, box-shadow 0.15s ease-in-out;\n}\n\n\n.btn:hover, .btn:focus {\n text-decoration: none;\n}\n\n.btn:focus, .btn.focus {\n outline: 0;\n box-shadow: 0 0 0 0.2rem rgba(0, 123, 255, 0.25);\n}\n\n.btn.disabled, .btn:disabled {\n opacity: 0.65;\n}\n\n.btn:not(:disabled):not(.disabled) {\n cursor: pointer;\n}\n\na.btn.disabled,\nfieldset:disabled a.btn {\n pointer-events: none;\n}\n\n.btn-primary {\n color: #fff;\n background-color: #007bff;\n border-color: #007bff;\n}\n\n.btn-primary:hover {\n color: #fff;\n background-color: #0069d9;\n border-color: #0062cc;\n}\n\n.btn-primary:focus, .btn-primary.focus {\n box-shadow: 0 0 0 0.2rem rgba(0, 123, 255, 0.5);\n}\n\n.btn-primary.disabled, .btn-primary:disabled {\n color: #fff;\n background-color: #007bff;\n border-color: #007bff;\n}\n\n.btn-secondary {\n color: #fff;\n background-color: #6c757d;\n border-color: #6c757d;\n}\n\n.btn-secondary:hover {\n color: #fff;\n background-color: #5a6268;\n border-color: #545b62;\n}\n\n.btn-secondary:focus, .btn-secondary.focus {\n box-shadow: 0 0 0 0.2rem rgba(108, 117, 125, 0.5);\n}\n\n.btn-secondary.disabled, .btn-secondary:disabled {\n color: #fff;\n background-color: #6c757d;\n border-color: #6c757d;\n}\n\n.btn-success {\n color: #fff;\n background-color: #28a745;\n border-color: #28a745;\n}\n\n.btn-success:hover {\n color: #fff;\n background-color: #218838;\n border-color: #1e7e34;\n}\n\n.btn-success:focus, .btn-success.focus {\n box-shadow: 0 0 0 0.2rem rgba(40, 167, 69, 0.5);\n}\n\n.btn-success.disabled, .btn-success:disabled {\n color: #fff;\n background-color: #28a745;\n border-color: #28a745;\n}\n\n.btn-info {\n color: #fff;\n background-color: #17a2b8;\n border-color: #17a2b8;\n}\n\n.btn-info:hover {\n color: #fff;\n background-color: #138496;\n border-color: #117a8b;\n}\n\n.btn-info:focus, .btn-info.focus {\n box-shadow: 0 0 0 0.2rem rgba(23, 162, 184, 0.5);\n}\n\n.btn-info.disabled, .btn-info:disabled {\n color: #fff;\n background-color: #17a2b8;\n border-color: #17a2b8;\n}\n\n.btn-warning {\n color: #212529;\n background-color: #ffc107;\n border-color: #ffc107;\n}\n\n.btn-warning:hover {\n color: #212529;\n background-color: #e0a800;\n border-color: #d39e00;\n}\n\n.btn-warning:focus, .btn-warning.focus {\n box-shadow: 0 0 0 0.2rem rgba(255, 193, 7, 0.5);\n}\n\n.btn-warning.disabled, .btn-warning:disabled {\n color: #212529;\n background-color: #ffc107;\n border-color: #ffc107;\n}\n\n.btn-danger {\n color: #fff;\n background-color: #dc3545;\n border-color: #dc3545;\n}\n\n.btn-danger:hover {\n color: #fff;\n background-color: #c82333;\n border-color: #bd2130;\n}\n\n.btn-danger:focus, .btn-danger.focus {\n box-shadow: 0 0 0 0.2rem rgba(220, 53, 69, 0.5);\n}\n\n.btn-danger.disabled, .btn-danger:disabled {\n color: #fff;\n background-color: #dc3545;\n border-color: #dc3545;\n}\n\n.btn-light {\n color: #212529;\n background-color: #f8f9fa;\n border-color: #f8f9fa;\n}\n\n.btn-light:hover {\n color: #212529;\n background-color: #e2e6ea;\n border-color: #dae0e5;\n}\n\n.btn-light:focus, .btn-light.focus {\n box-shadow: 0 0 0 0.2rem rgba(248, 249, 250, 0.5);\n}\n\n.btn-light.disabled, .btn-light:disabled {\n color: #212529;\n background-color: #f8f9fa;\n border-color: #f8f9fa;\n}\n\n.btn-dark {\n color: #fff;\n background-color: #343a40;\n border-color: #343a40;\n}\n\n.btn-dark:hover {\n color: #fff;\n background-color: #23272b;\n border-color: #1d2124;\n}\n\n.btn-dark:focus, .btn-dark.focus {\n box-shadow: 0 0 0 0.2rem rgba(52, 58, 64, 0.5);\n}\n\n.btn-dark.disabled, .btn-dark:disabled {\n color: #fff;\n background-color: #343a40;\n border-color: #343a40;\n}\n\n.btn-link {\n font-weight: 400;\n color: #007bff;\n background-color: transparent;\n}\n\n.btn-link:hover {\n color: #0056b3;\n text-decoration: underline;\n background-color: transparent;\n border-color: transparent;\n}\n\n.btn-link:focus, .btn-link.focus {\n text-decoration: underline;\n border-color: transparent;\n box-shadow: none;\n}\n\n.btn-link:disabled, .btn-link.disabled {\n color: #6c757d;\n pointer-events: none;\n}\n\n/* button size */\n\n.btn-lg{\n padding: 0.5rem 1rem;\n font-size: 1.25rem;\n line-height: 1.5;\n border-radius: 0.3rem;\n}\n\n.btn-sm{\n padding: 0.25rem 0.5rem;\n font-size: 0.875rem;\n line-height: 1.5;\n border-radius: 0.2rem;\n}","created":"20180822044340070","modified":"20210808052511406","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/card-column":{"title":"$:/plugins/kookma/shiraz/styles/bs/card-column","text":"/* Extracted from bootstrap 4.3.1 */\n.card-columns .card {\n margin-bottom: 0.75rem;\n}\n\n@media (min-width: 576px) {\n .card-columns {\n -webkit-column-count: 3;\n -moz-column-count: 3;\n column-count: 3;\n -webkit-column-gap: 1.25rem;\n -moz-column-gap: 1.25rem;\n column-gap: 1.25rem;\n orphans: 1;\n widows: 1;\n }\n .card-columns .card {\n display: inline-block;\n width: 100%;\n }\n}","created":"20181122175345419","modified":"20210808052511418","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/card-deck":{"title":"$:/plugins/kookma/shiraz/styles/bs/card-deck","text":"/* Extracted from bootstrap 4.1.3 */\n\n.card-deck {\n display: -ms-flexbox;\n display: flex;\n -ms-flex-direction: column;\n flex-direction: column;\n}\n\n.card-deck .card {\n margin-bottom: 15px;\n}\n\n@media (min-width: 576px) {\n .card-deck {\n -ms-flex-flow: row wrap;\n flex-flow: row wrap;\n margin-right: -15px;\n margin-left: -15px;\n }\n .card-deck .card {\n display: -ms-flexbox;\n display: flex;\n -ms-flex: 1 0 0%;\n flex: 1 0 0%;\n -ms-flex-direction: column;\n flex-direction: column;\n margin-right: 15px;\n margin-bottom: 0;\n margin-left: 15px;\n }\n}","created":"20180822174847352","modified":"20210808052511426","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/card-group":{"title":"$:/plugins/kookma/shiraz/styles/bs/card-group","text":"/* Extracted from bootstrap 4.1.3 */\n.card-group {\n display: -ms-flexbox;\n display: flex;\n -ms-flex-direction: column;\n flex-direction: column;\n}\n\n.card-group > .card {\n margin-bottom: 15px;\n}\n\n@media (min-width: 576px) {\n .card-group {\n -ms-flex-flow: row wrap;\n flex-flow: row wrap;\n }\n .card-group > .card {\n -ms-flex: 1 0 0%;\n flex: 1 0 0%;\n margin-bottom: 0;\n }\n .card-group > .card + .card {\n margin-left: 0;\n border-left: 0;\n }\n .card-group > .card:first-child {\n border-top-right-radius: 0;\n border-bottom-right-radius: 0;\n }\n .card-group > .card:first-child .card-img-top,\n .card-group > .card:first-child .card-header {\n border-top-right-radius: 0;\n }\n .card-group > .card:first-child .card-img-bottom,\n .card-group > .card:first-child .card-footer {\n border-bottom-right-radius: 0;\n }\n .card-group > .card:last-child {\n border-top-left-radius: 0;\n border-bottom-left-radius: 0;\n }\n .card-group > .card:last-child .card-img-top,\n .card-group > .card:last-child .card-header {\n border-top-left-radius: 0;\n }\n .card-group > .card:last-child .card-img-bottom,\n .card-group > .card:last-child .card-footer {\n border-bottom-left-radius: 0;\n }\n .card-group > .card:only-child {\n border-radius: 0.25rem;\n }\n .card-group > .card:only-child .card-img-top,\n .card-group > .card:only-child .card-header {\n border-top-left-radius: 0.25rem;\n border-top-right-radius: 0.25rem;\n }\n .card-group > .card:only-child .card-img-bottom,\n .card-group > .card:only-child .card-footer {\n border-bottom-right-radius: 0.25rem;\n border-bottom-left-radius: 0.25rem;\n }\n .card-group > .card:not(:first-child):not(:last-child):not(:only-child) {\n border-radius: 0;\n }\n .card-group > .card:not(:first-child):not(:last-child):not(:only-child) .card-img-top,\n .card-group > .card:not(:first-child):not(:last-child):not(:only-child) .card-img-bottom,\n .card-group > .card:not(:first-child):not(:last-child):not(:only-child) .card-header,\n .card-group > .card:not(:first-child):not(:last-child):not(:only-child) .card-footer {\n border-radius: 0;\n }\n}\n","created":"20181122175111676","modified":"20210808052511431","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/card":{"title":"$:/plugins/kookma/shiraz/styles/bs/card","text":"/* Extracted from bootstrap 4.1.3 */\n.card {\n position: relative;\n display: -ms-flexbox;\n display: flex;\n -ms-flex-direction: column;\n flex-direction: column;\n min-width: 0;\n word-wrap: break-word;\n background-color: #fff;\n background-clip: border-box;\n border: 1px solid rgba(0, 0, 0, 0.125);\n border-radius: 0.25rem;\n}\n\n.card > hr {\n margin-right: 0;\n margin-left: 0;\n}\n\n.card > .list-group:first-child .list-group-item:first-child {\n border-top-left-radius: 0.25rem;\n border-top-right-radius: 0.25rem;\n}\n\n.card > .list-group:last-child .list-group-item:last-child {\n border-bottom-right-radius: 0.25rem;\n border-bottom-left-radius: 0.25rem;\n}\n\n.card-body {\n -ms-flex: 1 1 auto;\n flex: 1 1 auto;\n padding: 1.25rem;\n}\n\n.card-title {\n margin-bottom: 0.75rem;\n}\n\n.card-subtitle {\n margin-top: -0.375rem;\n margin-bottom: 0;\n}\n\n.card-text:last-child {\n margin-bottom: 0;\n}\n\n.card-link:hover {\n text-decoration: none;\n}\n\n.card-link + .card-link {\n margin-left: 1.25rem;\n}\n\n.card-header {\n padding: 0.75rem 1.25rem;\n margin-bottom: 0;\n background-color: rgba(0, 0, 0, 0.03);\n border-bottom: 1px solid rgba(0, 0, 0, 0.125);\n}\n\n.card-header:first-child {\n border-radius: calc(0.25rem - 1px) calc(0.25rem - 1px) 0 0;\n}\n\n.card-header + .list-group .list-group-item:first-child {\n border-top: 0;\n}\n\n.card-footer {\n padding: 0.75rem 1.25rem;\n background-color: rgba(0, 0, 0, 0.03);\n border-top: 1px solid rgba(0, 0, 0, 0.125);\n}\n\n.card-footer:last-child {\n border-radius: 0 0 calc(0.25rem - 1px) calc(0.25rem - 1px);\n}\n\n.card-header-tabs {\n margin-right: -0.625rem;\n margin-bottom: -0.75rem;\n margin-left: -0.625rem;\n border-bottom: 0;\n}\n\n.card-header-pills {\n margin-right: -0.625rem;\n margin-left: -0.625rem;\n}\n\n.card-img-overlay {\n position: absolute;\n top: 0;\n right: 0;\n bottom: 0;\n left: 0;\n padding: 1.25rem;\n}\n\n.card-img {\n width: 100%;\n border-radius: calc(0.25rem - 1px);\n}\n\n.card-img-top {\n width: 100%;\n border-top-left-radius: calc(0.25rem - 1px);\n border-top-right-radius: calc(0.25rem - 1px);\n}\n\n.card-img-bottom {\n width: 100%;\n border-bottom-right-radius: calc(0.25rem - 1px);\n border-bottom-left-radius: calc(0.25rem - 1px);\n}\n","created":"20180822174608965","modified":"20210808052511411","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/clearfix":{"title":"$:/plugins/kookma/shiraz/styles/bs/clearfix","text":".clearfix::after {\n display: block;\n clear: both;\n content: \"\";\n}","created":"20190919042042391","modified":"20210808052511439","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/float":{"title":"$:/plugins/kookma/shiraz/styles/bs/float","text":".float-left {\n float: left;\n}\n\n.float-right {\n float: right;\n}\n\n.float-none {\n float: none;\n}\n","created":"20180823142040855","modified":"20210808052511446","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/my-adjustment":{"title":"$:/plugins/kookma/shiraz/styles/bs/my-adjustment","text":"/* My adjustments to bootstrap 4.1.3 css classes */\na {\n color: #007bff;\n text-decoration: none;\n background-color: transparent;\n -webkit-text-decoration-skip: objects;\n}\n/* Link is hacked to be compatible with bootstrap \nclasses remove it if the TW core objects break\n*/\n\n","created":"20180822044831813","modified":"20210808052511454","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/shadow":{"title":"$:/plugins/kookma/shiraz/styles/bs/shadow","text":".shadow-sm {\n box-shadow: 0 0.125rem 0.25rem rgba(0, 0, 0, 0.075) !important;\n}\n\n.shadow {\n box-shadow: 0 0.5rem 1rem rgba(0, 0, 0, 0.15) !important;\n}\n\n.shadow-lg {\n box-shadow: 0 1rem 3rem rgba(0, 0, 0, 0.175) !important;\n}\n\n.shadow-none {\n box-shadow: none !important;\n}","created":"20180823114259911","modified":"20210808052511462","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/sizing-spacing":{"title":"$:/plugins/kookma/shiraz/styles/bs/sizing-spacing","text":"/* Extracted from bootstrap 4.3.1 */\n/*Defines margins, paddings, width and height*/\n.w-25 {\n width: 25% !important;\n}\n.w-50 {\n width: 50% !important;\n}\n.w-75 {\n width: 75% !important;\n}\n.w-100 {\n width: 100% !important;\n}\n.w-auto {\n width: auto !important;\n}\n.h-25 {\n height: 25% !important;\n}\n.h-50 {\n height: 50% !important;\n}\n.h-75 {\n height: 75% !important;\n}\n.h-100 {\n height: 100% !important;\n}\n.h-auto {\n height: auto !important;\n}\n.mw-100 {\n max-width: 100% !important;\n}\n.mh-100 {\n max-height: 100% !important;\n}\n.m-0 {\n margin: 0 !important;\n}\n.mt-0,\n.my-0 {\n margin-top: 0 !important;\n}\n.mr-0,\n.mx-0 {\n margin-right: 0 !important;\n}\n.mb-0,\n.my-0 {\n margin-bottom: 0 !important;\n}\n.ml-0,\n.mx-0 {\n margin-left: 0 !important;\n}\n.m-1 {\n margin: 0.25rem !important;\n}\n.mt-1,\n.my-1 {\n margin-top: 0.25rem !important;\n}\n.mr-1,\n.mx-1 {\n margin-right: 0.25rem !important;\n}\n.mb-1,\n.my-1 {\n margin-bottom: 0.25rem !important;\n}\n.ml-1,\n.mx-1 {\n margin-left: 0.25rem !important;\n}\n.m-2 {\n margin: 0.5rem !important;\n}\n.mt-2,\n.my-2 {\n margin-top: 0.5rem !important;\n}\n.mr-2,\n.mx-2 {\n margin-right: 0.5rem !important;\n}\n.mb-2,\n.my-2 {\n margin-bottom: 0.5rem !important;\n}\n.ml-2,\n.mx-2 {\n margin-left: 0.5rem !important;\n}\n\n.m-3 {\n margin: 1rem !important;\n}\n\n.mt-3,\n.my-3 {\n margin-top: 1rem !important;\n}\n\n.mr-3,\n.mx-3 {\n margin-right: 1rem !important;\n}\n\n.mb-3,\n.my-3 {\n margin-bottom: 1rem !important;\n}\n\n.ml-3,\n.mx-3 {\n margin-left: 1rem !important;\n}\n\n.m-4 {\n margin: 1.5rem !important;\n}\n\n.mt-4,\n.my-4 {\n margin-top: 1.5rem !important;\n}\n\n.mr-4,\n.mx-4 {\n margin-right: 1.5rem !important;\n}\n\n.mb-4,\n.my-4 {\n margin-bottom: 1.5rem !important;\n}\n\n.ml-4,\n.mx-4 {\n margin-left: 1.5rem !important;\n}\n\n.m-5 {\n margin: 3rem !important;\n}\n\n.mt-5,\n.my-5 {\n margin-top: 3rem !important;\n}\n\n.mr-5,\n.mx-5 {\n margin-right: 3rem !important;\n}\n\n.mb-5,\n.my-5 {\n margin-bottom: 3rem !important;\n}\n\n.ml-5,\n.mx-5 {\n margin-left: 3rem !important;\n}\n\n.p-0 {\n padding: 0 !important;\n}\n\n.pt-0,\n.py-0 {\n padding-top: 0 !important;\n}\n\n.pr-0,\n.px-0 {\n padding-right: 0 !important;\n}\n\n.pb-0,\n.py-0 {\n padding-bottom: 0 !important;\n}\n\n.pl-0,\n.px-0 {\n padding-left: 0 !important;\n}\n\n.p-1 {\n padding: 0.25rem !important;\n}\n\n.pt-1,\n.py-1 {\n padding-top: 0.25rem !important;\n}\n\n.pr-1,\n.px-1 {\n padding-right: 0.25rem !important;\n}\n\n.pb-1,\n.py-1 {\n padding-bottom: 0.25rem !important;\n}\n\n.pl-1,\n.px-1 {\n padding-left: 0.25rem !important;\n}\n\n.p-2 {\n padding: 0.5rem !important;\n}\n\n.pt-2,\n.py-2 {\n padding-top: 0.5rem !important;\n}\n\n.pr-2,\n.px-2 {\n padding-right: 0.5rem !important;\n}\n\n.pb-2,\n.py-2 {\n padding-bottom: 0.5rem !important;\n}\n\n.pl-2,\n.px-2 {\n padding-left: 0.5rem !important;\n}\n\n.p-3 {\n padding: 1rem !important;\n}\n\n.pt-3,\n.py-3 {\n padding-top: 1rem !important;\n}\n\n.pr-3,\n.px-3 {\n padding-right: 1rem !important;\n}\n\n.pb-3,\n.py-3 {\n padding-bottom: 1rem !important;\n}\n\n.pl-3,\n.px-3 {\n padding-left: 1rem !important;\n}\n\n.p-4 {\n padding: 1.5rem !important;\n}\n\n.pt-4,\n.py-4 {\n padding-top: 1.5rem !important;\n}\n\n.pr-4,\n.px-4 {\n padding-right: 1.5rem !important;\n}\n\n.pb-4,\n.py-4 {\n padding-bottom: 1.5rem !important;\n}\n\n.pl-4,\n.px-4 {\n padding-left: 1.5rem !important;\n}\n\n.p-5 {\n padding: 3rem !important;\n}\n\n.pt-5,\n.py-5 {\n padding-top: 3rem !important;\n}\n\n.pr-5,\n.px-5 {\n padding-right: 3rem !important;\n}\n\n.pb-5,\n.py-5 {\n padding-bottom: 3rem !important;\n}\n\n.pl-5,\n.px-5 {\n padding-left: 3rem !important;\n}\n\n.m-auto {\n margin: auto !important;\n}\n\n.mt-auto,\n.my-auto {\n margin-top: auto !important;\n}\n\n.mr-auto,\n.mx-auto {\n margin-right: auto !important;\n}\n\n.mb-auto,\n.my-auto {\n margin-bottom: auto !important;\n}\n\n.ml-auto,\n.mx-auto {\n margin-left: auto !important;\n}\n","created":"20180822191952379","modified":"20210808052511469","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/text-alignment":{"title":"$:/plugins/kookma/shiraz/styles/bs/text-alignment","text":".text-justify {\n text-align: justify !important;\n}\n\n.text-nowrap {\n white-space: nowrap !important;\n}\n\n.text-truncate {\n overflow: hidden;\n text-overflow: ellipsis;\n white-space: nowrap;\n}\n\n.text-left {\n text-align: left !important;\n}\n\n.text-right {\n text-align: right !important;\n}\n\n.text-center {\n text-align: center !important;\n}","created":"20180822051223866","modified":"20210808052511477","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/text-colors":{"title":"$:/plugins/kookma/shiraz/styles/bs/text-colors","text":"/* from bootstrap 4.1.3 */\n\n.text-white {\n color: #fff !important;\n}\n\n.text-primary {\n color: #007bff !important;\n}\n\na.text-primary:hover, a.text-primary:focus {\n color: #0062cc !important;\n}\n\n.text-secondary {\n color: #6c757d !important;\n}\n\na.text-secondary:hover, a.text-secondary:focus {\n color: #545b62 !important;\n}\n\n.text-success {\n color: #28a745 !important;\n}\n\na.text-success:hover, a.text-success:focus {\n color: #1e7e34 !important;\n}\n\n.text-info {\n color: #17a2b8 !important;\n}\n\na.text-info:hover, a.text-info:focus {\n color: #117a8b !important;\n}\n\n.text-warning {\n color: #ffc107 !important;\n}\n\na.text-warning:hover, a.text-warning:focus {\n color: #d39e00 !important;\n}\n\n.text-danger {\n color: #dc3545 !important;\n}\n\na.text-danger:hover, a.text-danger:focus {\n color: #bd2130 !important;\n}\n\n.text-light {\n color: #f8f9fa !important;\n}\n\na.text-light:hover, a.text-light:focus {\n color: #dae0e5 !important;\n}\n\n.text-dark {\n color: #343a40 !important;\n}\n\na.text-dark:hover, a.text-dark:focus {\n color: #1d2124 !important;\n}\n\n.text-body {\n color: #212529 !important;\n}\n\n.text-muted {\n color: #6c757d !important;\n}\n\n.text-black-50 {\n color: rgba(0, 0, 0, 0.5) !important;\n}\n\n.text-white-50 {\n color: rgba(255, 255, 255, 0.5) !important;\n}\n\n.text-hide {\n font: 0/0 a;\n color: transparent;\n text-shadow: none;\n background-color: transparent;\n border: 0;\n}\n","created":"20180820173351023","modified":"20210808052511485","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/bs/text-utility":{"title":"$:/plugins/kookma/shiraz/styles/bs/text-utility","text":"/* Can be removed latter. This is used for illustration of bootstrap cards */\n\n.text-lowercase {\n text-transform: lowercase !important;\n}\n\n.text-uppercase {\n text-transform: uppercase !important;\n}\n\n.text-capitalize {\n text-transform: capitalize !important;\n}\n\n.font-weight-light {\n font-weight: 300 !important;\n}\n\n.font-weight-normal {\n font-weight: 400 !important;\n}\n\n.font-weight-bold {\n font-weight: 700 !important;\n}\n\n.font-italic {\n font-style: italic !important;\n}\n\n\n.h1, .h2, .h3, .h4, .h5, .h6 {\n margin-bottom: 0.5rem;\n font-family: inherit;\n font-weight: 500;\n line-height: 1.2;\n color: inherit;\n}\n\n.h1 {\n font-size: 2.5rem;\n}\n\n.h2 {\n font-size: 2rem;\n}\n\n.h3 {\n font-size: 1.75rem;\n}\n\n.h4 {\n font-size: 1.5rem;\n}\n\n.h5 {\n font-size: 1.25rem;\n}\n\n.h6 {\n font-size: 1rem;\n}\n\n.lead {\n font-size: 1.25rem;\n font-weight: 300;\n}\n\n.display-1 {\n font-size: 6rem;\n font-weight: 300;\n line-height: 1.2;\n}\n\n.display-2 {\n font-size: 5.5rem;\n font-weight: 300;\n line-height: 1.2;\n}\n\n.display-3 {\n font-size: 4.5rem;\n font-weight: 300;\n line-height: 1.2;\n}\n\n.display-4 {\n font-size: 3.5rem;\n font-weight: 300;\n line-height: 1.2;\n}\n\n.hr {\n margin-top: 1rem;\n margin-bottom: 1rem;\n border: 0;\n border-top: 1px solid rgba(0, 0, 0, 0.1);\n}\n\n.small {\n font-size: 80%;\n font-weight: 400;\n}\n\n.mark {\n padding: 0.2em;\n background-color: #fcf8e3;\n}\n","created":"20180822130528002","modified":"20210808052511493","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/clear-float":{"title":"$:/plugins/kookma/shiraz/styles/clear-float","text":"/* Resolve issue for floating objects which cross the tiddler frame!\nThe below code should force the tiddler to always wrap around floating elements, so that they are always inside\nRef: https://groups.google.com/d/msg/tiddlywiki/5bZwwj6cyac/2LzFeA7AAwAJ\n*/\n\n.tc-tiddler-body:before, .tc-tiddler-body:after {\n content: \"\";\n display: table;\n}\n.tc-tiddler-body:after {\n clear: both;\n}\n.tc-tiddler-body {\n zoom: 1;\n}","created":"20190902043605186","modified":"20210808052511498","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/colorful-sidebar-tab":{"title":"$:/plugins/kookma/shiraz/styles/colorful-sidebar-tab","text":".tc-sidebar-lists .tc-tab-buttons button.tc-tab-selected {\n background: none;\n border: none;\n border-bottom: solid 1px #737373 !important;\n font-weight: bold;\n color: #DB4C3F !important;\n}","created":"20191209105546612","modified":"20210808052511503","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/dbadge":{"title":"$:/plugins/kookma/shiraz/styles/dbadge","text":"/*Credits: \nNishant Srivastava https://codepen.io/nisrulz/pen/bpQWLW\nMohammad Rahmani: https://github.com/kookma\n*/\n.dbadge {\n display: inline-block;\n margin: 0.0em;\n}\n.dbadge > span {\n color: #ffffff;\n font-size: 0.8em;\n font-weight: 400;\n line-height: 1;\n padding: .2em .6em;\n text-align: center;\n vertical-align: baseline;\n white-space: nowrap;}\n\n.dbadge-subject{\n background-color: #656565;\n border-bottom-left-radius: 0.25em;\n border-top-left-radius: 0.25em;}\n.dbadge-status {\n border-bottom-right-radius: 0.25em;\n border-top-right-radius: 0.25em;}\n\n.dbadge-primary {\n background-color: #337ab7;}\n.dbadge-success {\n background-color: #5cb85c;}\n.dbadge-info {\n background-color: #5bc0de;}\n.dbadge-warning {\n background-color: #f0ad4e;}\n.dbadge-danger {\n background-color: #d9534f;}","created":"20181204192835967","modified":"20210808052511511","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/details-slider":{"title":"$:/plugins/kookma/shiraz/styles/details-slider","text":"/*details html5 macro*/\ndetails > summary {\n padding: 2px 6px;\n font-weight:500;\n outline:none;\n}\ndetails > div {\n padding: 2px 6px;\n margin: 0;\n}\n\nbutton .kk-sh-slider svg{\nwidth: 0.8em;\nheight: 0.8em;\nvertical-align: middle;}","created":"20181101185908941","modified":"20210808052511516","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/dynamic-tables-var":{"title":"$:/plugins/kookma/shiraz/styles/dynamic-tables-var","created":"20210224171009495","modified":"20210808052511528","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"/* these are dynamic or variable properties based on the tiddlywiki palette */\n\n.shiraz-dtable-page-footer select{\n background-color: <<color table-header-background>>;\n color:<<color foreground>>;\n}\n\n.shiraz-dtable-page-footer > td{\nbackground-color: <<color table-header-background>>;\n}\n\n/* customize the table footer used for numerical summary*/\n.shiraz-dtable-footer tr td{\n\tbackground-color: <<color table-footer-background>>;\n border:none;\n}\n"},"$:/plugins/kookma/shiraz/styles/dynamic-tables":{"title":"$:/plugins/kookma/shiraz/styles/dynamic-tables","text":"/* edit-text box for dynamic table */\n.shiraz-dtable-textbox {\n width:100%;\n padding-left: 5px;\n border: none;\n}\n\n.shiraz-dtable-textbox:focus {\n outline: none;\n border: 1px solid #5778d8;\n background: transparent;\n}\n\n.tbl-inpt-edit { width: 100%; background-color: transparent; border: none; color: #000000;}\n\nbutton.tbl-sort-svg > svg { text-shadow: none; fill:#000000; height:10px; padding:0 0 2px 0; }\n\nth .tc-tiddlylink, th a { text-shadow: none; margin: 0 0 0 0; padding: 0 0 0 0; color:#000000; font-weight: bold; }\n\n\n/* DELETE CONFIRMATION */\ntable thead .tbl-delete-confirm > th {\n color: white;\n background-color:#ff0033;\n padding: 8px;\n margin: 0px;\n text-align:center;\n\tfont-weight:normal;\n}\n\ntable thead .tbl-delete-confirm > th > button {\n color: white;\n fill: white;\n}\n\n/* -- pagination --*/\n.shiraz-dtable-page-footer td{\n\tmargin: 0 0 0 0;\n\tpadding: 4px 7px 4px 7px;\n}\n\n.shiraz-dtable-page-footer select{\n\tpadding:0;\n\tmargin:0;\n\tborder:none;\t\n}\n\n.shiraz-dtable-page-footer {\n\ttext-align:center;\n}\n\n.shiraz-dtable-page-prev{\n\tfloat:left;\n\tmargin-right:8px;\n}\n\n.shiraz-dtable-page-next{\n\tfloat:right;\n\tmargin-left:8px;\n}\n\n.shiraz-dtable-page-footer button svg {height:0.7em;}\n.shiraz-dtable-page-footer button {outline: none; line-height:normal;}\n.shiraz-dtable-page-footer button:disabled {display:none;}\n","created":"20191128184537594","modified":"20210808052511521","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/image-alignment":{"title":"$:/plugins/kookma/shiraz/styles/image-alignment","text":"/*Image aligning classes*/\n.image-align-right{\n float:right;\n margin:0.5em 0 1.3em 1.4em;\n}\n.image-align-left{\n float:left;\n margin: 0.5em 1.4em 1.3em 0;\n}\n.image-align-center{\n display:block;\n margin: 0.5em auto 1.3em; \n}\n\n.image-float-none {\n float: none !important;\n}","created":"20190918193736314","modified":"20210808052511534","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/image-basic":{"title":"$:/plugins/kookma/shiraz/styles/image-basic","text":".image-basic {\n text-align: center;\n font-style: italic;\n font-size: smaller;\n text-indent: 0;\n padding: 0.5em;\n}","created":"20181119182848505","modified":"20210808052511542","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/image-overlay":{"title":"$:/plugins/kookma/shiraz/styles/image-overlay","text":".image-overlay-container{\n width: 50%; \n box-sizing: border-box;\n}\n\n@media screen and (max-width: 640px){\n .image-overlay-container{\n display: block;\n width: 100%;\n }\n}\n\n@media screen and (min-width: 900px){\n .image-overlay-container{\n width: 33.33%;\n }\n}\n\n.image-overlay-container .image-overlay-title{\n color: #1a1a1a;\n text-align: center;\n margin-bottom:10px;\n}\n\n.image-overlay-content {\n position: relative;\n width: 90%;\n max-width: 400px;\n margin: auto;\n overflow: hidden;\n}\n\n.image-overlay-content .image-overlay-content-overlay {\n background: rgba(0,0,0,0.7);\n position: absolute;\n height: 99%;\n width: 100%;\n left: 0;\n top: 0;\n bottom: 0;\n right: 0;\n opacity: 0;\n -webkit-transition: all 0.4s ease-in-out 0s;\n -moz-transition: all 0.4s ease-in-out 0s;\n transition: all 0.4s ease-in-out 0s;\n}\n\n.image-overlay-content:hover .image-overlay-content-overlay{\n opacity: 1;\n}\n\n.image-overlay-content-image{\n width: 100%;\n}\n\n.image-overlay-content-details {\n position: absolute;\n text-align: center;\n padding-left: 1em;\n padding-right: 1em;\n width: 100%;\n top: 50%;\n left: 50%;\n opacity: 0;\n -webkit-transform: translate(-50%, -50%);\n -moz-transform: translate(-50%, -50%);\n transform: translate(-50%, -50%);\n -webkit-transition: all 0.3s ease-in-out 0s;\n -moz-transition: all 0.3s ease-in-out 0s;\n transition: all 0.3s ease-in-out 0s;\n}\n\n.image-overlay-content:hover .image-overlay-content-details{\n top: 50%;\n left: 50%;\n opacity: 1;\n}\n\n.image-overlay-content-details h3{\n color: #fff;\n font-weight: 500;\n letter-spacing: 0.15em;\n margin-bottom: 0.5em;\n text-transform: uppercase;\n}\n\n.image-overlay-content-details p{\n color: #fff;\n font-size: 0.8em;\n}\n\n.image-overlay-fadeIn-bottom{\n top: 80%;\n}\n\n.image-overlay-fadeIn-top{\n top: 20%;\n}\n\n.image-overlay-fadeIn-left{\n left: 20%;\n}\n\n.image-overlay-fadeIn-right{\n left: 80%;\n}","created":"20181116173704182","modified":"20210808052511547","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/image-polaroid":{"title":"$:/plugins/kookma/shiraz/styles/image-polaroid","text":".image-polaroid {\n min-width:64px;\n background-color: #f8f9fa;\n box-shadow: 0 4px 8px 0 rgba(0, 0, 0, 0.2), 0 6px 20px 0 rgba(0, 0, 0, 0.19);\n}\n.image-polaroid img {\n width: 100%;\n padding:10px;\n height: auto;\n}\n.image-polaroid .image-polaroid-caption {\n padding:10px 15px 10px;\n text-align: center; \n line-height: 1.4em;\n font-weight:300;\n font-size: 0.9em; \n}","created":"20181116094450565","modified":"20210808052511555","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/image-pretty":{"title":"$:/plugins/kookma/shiraz/styles/image-pretty","text":".image-pretty {\n min-width:64px;\n border: 1px solid #c8ccd1;\n background-color:#f8f9fa;\n}\n.image-pretty:hover {\n border: 1px solid #777;\n}\n.image-pretty img {\n padding:2px;\n width: 100%;\n height: auto;\n}\n.image-pretty .image-pretty-caption {\n padding:10px 15px 10px;\n text-align: center; \n line-height: 1.4em;\n font-weight:300;\n font-size: 0.9em; \n}\n\n","created":"20181115182806512","modified":"20210808052511563","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/image-slidein":{"title":"$:/plugins/kookma/shiraz/styles/image-slidein","text":".image-slidein { \n display: block; \n position: relative; \n /*float: left;*/\n overflow: hidden; \n /* margin: 0 20px 20px 0;*/\n}\n\n.image-slidein img {\n width: 100%;\n height: auto;\n}\n\n.image-slidein figcaption { \n position: absolute; \n background: rgba(0,0,0,0.75); \n color: white; \n padding: 10px 20px; \n opacity: 0;\n -webkit-transition: all 0.6s ease;\n -moz-transition: all 0.6s ease;\n -o-transition: all 0.6s ease;\n}\n.image-slidein:hover figcaption {\n opacity: 1;\n}\n.image-slidein:before { \n content: \"?\"; \n position: absolute; \n font-weight: 800; \n background: rgba(255,255,255,0.75); \n text-shadow: 0 0 5px white;\n color: black;\n width: 24px;\n height: 24px;\n -webkit-border-radius: 12px;\n -moz-border-radius: 12px;\n border-radius: 12px;\n text-align: center;\n font-size: 14px;\n line-height: 24px;\n -moz-transition: all 0.6s ease;\n opacity: 0.75;\t\n}\n.image-slidein:hover:before {\n opacity: 0;\n}\n\n.mr-cap-left:before { bottom: 10px; left: 10px; }\n.mr-cap-left figcaption { bottom: 0; left: -30%; }\n.mr-cap-left:hover figcaption { left: 0; }\n\n.mr-cap-right:before { bottom: 10px; right: 10px; }\n.mr-cap-right figcaption { bottom: 0; right: -30%; }\n.mr-cap-right:hover figcaption { right: 0; }\n\n.mr-cap-top:before { top: 10px; left: 10px; }\n.mr-cap-top figcaption { left: 0; top: -30%; }\n.mr-cap-top:hover figcaption { top: 0; }\n\n.mr-cap-bottom:before { bottom: 10px; left: 10px; }\n.mr-cap-bottom figcaption { left: 0; bottom: -30%;}\n.mr-cap-bottom:hover figcaption { bottom: 0; }\n","created":"20181117040213926","modified":"20210808052511579","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/misc/details":{"title":"$:/plugins/kookma/shiraz/styles/misc/details","text":"/* Styles for summary cursor\nurl: https://css-tricks.com/two-issues-styling-the-details-element-and-how-to-solve-them/\n*/\n\nsummary {\n cursor: pointer;\n}\n\nsummary > * {\n display: inline;\n}","created":"20210812081549226","modified":"20210812082029378","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/misc/edit-buttons":{"title":"$:/plugins/kookma/shiraz/styles/misc/edit-buttons","text":"/*Edit buttons as traffic lights*/\n.tc-tiddler-controls .tc-image-delete-button {fill:#ebb;}\n.tc-tiddler-controls .tc-image-cancel-button {fill:#ed9;}\n.tc-tiddler-controls .tc-image-done-button {fill:#beb;}","created":"20191029091851469","modified":"20210808052511585","tags":"","type":"text/css"},"$:/plugins/kookma/shiraz/styles/misc/table-csv":{"title":"$:/plugins/kookma/shiraz/styles/misc/table-csv","text":"/* Styles for star rating used with table-csv macro */\n.shiraz-star svg{\nwidth: 1.2em;\nheight: 1.2em;\nvertical-align: middle;\nfill:#FF9529; /*Deep Saffron*/\n}","created":"20210808144209865","modified":"20210808144511445","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/misc/tiddler-button-visibility":{"title":"$:/plugins/kookma/shiraz/styles/misc/tiddler-button-visibility","text":"/* Mouseover toolbar visibility: courtesy from Tobias Beer*/\n.tc-tiddler-frame .tc-titlebar button {\n opacity: 0;\n transition: opacity .5s ease-in-out;\n}\n.tc-tiddler-frame:hover .tc-titlebar button {\n zoom: 1;\n filter: alpha(opacity=100);\n opacity: 1;\n}\n","created":"20191029094209435","modified":"20210808052511590","tags":"","type":"text/css"},"$:/plugins/kookma/shiraz/styles/misc/ui-buttons":{"title":"$:/plugins/kookma/shiraz/styles/misc/ui-buttons","text":"/* These css rules makes TW UI buttons in beatiful color */\n\n/*page control buttons*/\n.tc-page-controls .tc-image-new-button { fill: #5EB95E; } /*New tiddler button*/\n.tc-page-controls .tc-image-options-button { fill:#8058A5; } /*Open control pannel*/\n\n/*tiddler buttons in beautiful color*/\n.tc-tiddler-controls .tc-image-edit-button { fill:#F37B1D; }/*edit tiddler*/\n.tc-tiddler-controls .tc-image-info-button { fill: #0e90d2; } /*Info button*/\n","created":"20191029092047069","modified":"20210808052511595","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/mono":{"title":"$:/plugins/kookma/shiraz/styles/mono","text":".mono {\n\tcolor:unset;\n\tbackground-color: #f7f7f9;\n\tborder: 1px solid #e1e1e8;\n\twhite-space: pre-wrap;\n\tpadding: 0 3px 2px;\n\tborder-radius: 3px;\n\tfont-family: \"SFMono-Regular\",Consolas,\"Liberation Mono\",Menlo,Courier,monospace;\n}","created":"20181010192406005","modified":"20210808052511602","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/multicols/column":{"title":"$:/plugins/kookma/shiraz/styles/multicols/column","text":"/* multicolumn layouts with fixed column number works on the whole tiddler */\n.multicol .tc-tiddler-body {\n column-width: 14em;\n column-rule: 1px solid #ccc;\n}\n/* two columns responsive*/\n.multicol2 .tc-tiddler-body {\n\tcolumn-count:2; \n\tcolumn-width:15em;\n}\n/* three columns responsive*/\n.multicol3 .tc-tiddler-body {\n\tcolumn-count:3; \n\tcolumn-width:10em;\n}\n\n\n/* remove the extra space from first paragraph */\n.multicol .tc-tiddler-body > :first-child, \n.multicol2 .tc-tiddler-body > :first-child, \n.multicol3 .tc-tiddler-body > :first-child { margin-top: 0;}\n\n/*-------------------------------------------------------------------------------*/\n/* Classes for using with macro and div elements */\n.sh-multicol {\n column-width: 14em;\n column-rule: 1px solid #ccc;\n}\n/* two columns responsive*/\n.sh-multicol2 {\n\tcolumn-count:2; \n\tcolumn-width:15em;\n}\n/* three columns responsive*/\n.sh-multicol3 {\n\tcolumn-count:3; \n\tcolumn-width:10em;\n}\n\n/* remove the extra space from first paragraph */\n.sh-multicol > :first-child,\n.sh-multicol2 > :first-child,\n.sh-multicol3 > :first-child { margin-top: 0;}","created":"20190627204703061","modified":"20210808052511607","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/multicols/flex backup":{"title":"$:/plugins/kookma/shiraz/styles/multicols/flex backup","text":"/* multicolumn layout using flexbox courtesy from Bootstrap 4.3.1*/\n.flex-row {\n display: flex;\n flex-wrap: wrap;\n margin-right: -15px;\n margin-left: -15px;\n}\n.flex-col-1, \n.flex-col-2, \n.flex-col-3 {\n position: relative;\n width: 100%;\n padding-right: 15px;\n padding-left: 15px;\n}\n\n.flex-col-1{flex: 1 1 0;}\n.flex-col-2{flex: 2 1 0;}\n.flex-col-3{flex: 3 1 0;}\n\n.flex-col-1 > :first-child,\n.flex-col-2 > :first-child,\n.flex-col-3 > :first-child {\n\tmargin-top: 0;}","created":"20191030140900552","modified":"20210808052511618","type":"text/css"},"$:/plugins/kookma/shiraz/styles/multicols/flex":{"title":"$:/plugins/kookma/shiraz/styles/multicols/flex","text":"/* multicolumn layout using flexbox courtesy from Bootstrap 4.3.1*/\n.flex-row {\n display: flex;\n flex-direction: row;\n flex-wrap: wrap;\n/* margin-right: -15px;\n margin-left: -15px;*/\n}\n\n.flex-col,\n.flex-col-1, \n.flex-col-2, \n.flex-col-3,\n.flex-col-4 {\n position: relative;\n width: 100%;\n padding-right: 15px;\n padding-left: 15px;\n}\n\n/* for small screen width>=576px\nhttps://getbootstrap.com/docs/4.3/layout/grid/\n*/\n@media (min-width: 576px) {\n.flex-col {flex: 1 1 0; max-width: 100%;}\n.flex-col-1 {flex: 0 0 25%; max-width:25%}\n.flex-col-2 {flex: 0 0 50%; max-width:50%}\n.flex-col-3 {flex: 0 0 75%; max-width:75%}\n.flex-col-4 {flex: 0 0 100%; max-width:100%}\n}\n\n.flex-col > :first-child,\n.flex-col-1 > :first-child,\n.flex-col-2 > :first-child,\n.flex-col-3 > :first-child,\n.flex-col-4 > :first-child {\n margin-top: 0;}\n\n\n/* Alignment */\n.flex-align-items-center {\n align-items: center !important;\n}\n.flex-justify-content-center {\n justify-content: center !important;\n}\n\n.flex-no-gutters {\n margin-right: 0;\n margin-left: 0;\n}","created":"20191014193910006","modified":"20210808052511613","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/multicols/storyriver":{"title":"$:/plugins/kookma/shiraz/styles/multicols/storyriver","text":"/* create story river in two column layout */\n.tc-story-river {\n display: flex;\n flex-wrap: wrap;\n}\n\n.tc-tiddler-frame\n{\n max-width: 49%; margin-right: 1%;\n /*max-width: 32%; margin-right: 1%; */\n}\n","created":"20140523214749659","modified":"20210808150936240","tags":"","type":"text/css"},"$:/plugins/kookma/shiraz/styles/notebook":{"title":"$:/plugins/kookma/shiraz/styles/notebook","text":"@media print{\n .notebook .tc-tiddler-body {\n padding-left:60px;\n margin-top:25px;\n }\n .notebook .tc-tiddler-title,\n .notebook .tc-subtitle,\n\t.notebook .tc-tags-wrapper {\n padding-left:60px;\n }\n}\n\n@media screen{\n .notebook .tc-tiddler-title,\n .notebook .tc-subtitle,\n\t.notebook .tc-tags-wrapper,\n\t.notebook .tc-tiddler-body {\n padding-left:30px;\n }\n\n}\t\n\n@media screen and (max-width:960px) {\n .notebook .tc-tiddler-title,\n .notebook .tc-subtitle,\n .notebook .tc-tags-wrapper,\n .notebook .tc-tiddler-body {\n padding-left:60px;\n }\n\n}\n/*prevent applying left border in edit mode */\n.notebook:not([data-tiddler-title^=\"Draft of\"]):before {\n content: '';\n position: absolute;\n top: 0; bottom: 0; left: 0;\n width: 50px;\n background: radial-gradient(#575450 6px, transparent 7px) repeat-y;\n background-size: 30px 30px;\n border-right: 3px solid #D44147;\n\t z-index:1;\n}\n\n.notebook .tc-tiddler-body {\n\t position: relative;\n background: linear-gradient(transparent, transparent 1.95em, #91D1D3 1.95em);\n background-size: 2em 2em;\n\t min-height:90px; \n}\n\n.notebook .tc-tiddler-body{\n\t padding-top:20px;\n font-family: \"Handlee\", cursive;\n font-weight:300;\n line-height:2em;\n color:#696969;\n}\n\n/* Setting font for other elements */\n.notebook .tc-tiddler-body pre,\n.notebook .tc-tiddler-body code,\n.notebook .tc-tiddler-body pre code\n{\n font-family: \"Handlee\", cursive;\n font-weight:300;\n}","created":"20210420164111716","modified":"20210808052511631","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/sticky-footer":{"title":"$:/plugins/kookma/shiraz/styles/sticky-footer","text":".sticky-footer {\n position: absolute;\n right: 0;\n bottom: 0;\n left: 0;\n padding: 0.5rem;\n background-color: #efefef;\n text-align: center;\n margin-top: 5px;\n box-sizing: border-box;\n width: 100%;\n}\n","created":"20180907070611557","modified":"20210808052511635","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/tables":{"title":"$:/plugins/kookma/shiraz/styles/tables","text":".table-tight{\n\tfont-size:0.8em;\n}\n\n\n/*\nThis tiddler defines the custom stylesheet for tables \nApril 13, 2018\n*/\n\n/*center aligned table*/\n.table-center {\n margin:0 auto;\n}\n\n/* Table caption at top */\n.table-caption-top caption {\n caption-side:top;\n margin-bottom:0.2rem;\n}\n\n/* Striped row table */\n.table-striped-row tr:nth-child(even) td{\n background-color:#F3F6F6; \n}\n\n/* Striped column table */\n.table-striped-col tbody tr td:nth-child(odd) {\n\tbackground-color: #F3F6F6;\n}\n\n/*Borderless table*/\n.table-borderless, \n.table-borderless thead td, \n.table-borderless th, \n.table-borderless tr, \n.table-borderless td{\n border:0;\n}\n\n/* Table lines should be used with table-borderless for abbreviations and two column layout */\n\n.table-lines thead td, .table-lines th{\n border-bottom: 2px solid #dddddd;\n\t background-color:unset;\n }\n.table-lines td{\n border-bottom: 1px solid #dddddd;\n background-color:unset;\n }\n\n/* Table hover (yellow background on mouse over) */\n.table-hover tbody tr:hover{\n color: #212529;\n background-color: #e6e6e6;\n}\n.table-hover-yellow tbody tr:hover{background-color: #ffffcc;}\n.table-hover-cyan tbody tr:hover{background-color: #e6ffff;}\n\n/* Table with colored header */\n.thead-primary thead td, .thead-primary th{background-color: #007bff; color: #fff;}\n.thead-secondary thead td, .thead-secondary th{background-color: #6c757d; color: #fff;}\n.thead-success thead td, .thead-success th{background-color: #28a745; color: #fff;}\n.thead-warning thead td, .thead-warning th{background-color: #ffc107; color: #fff;}\n.thead-danger thead td, .thead-danger th{background-color: #dc3545; color: #fff;}\n.thead-info thead td, .thead-info th{background-color: #17a2b8; color: #fff;}\n.thead-dark thead td, .thead-dark th{background-color: #343a40; color: #fff;}\n.thead-light thead td, .thead-light th{background-color: #f8f9fa; color: #212529;}\n\n/* Table with colored header correct to fill svgs with white color */\n.thead-primary > thead> tr > td svg, .thead-primary > thead> tr > th svg,\n.thead-secondary > thead> tr > td svg, .thead-secondary > thead> tr > th svg,\n.thead-success > thead> tr > td svg, .thead-success > thead> tr > th svg,\n.thead-warning > thead> tr > td svg, .thead-warning > thead> tr > th svg,\n.thead-danger > thead> tr > td svg, .thead-danger > thead> tr > th svg,\n.thead-info > thead> tr > td svg, .thead-info > thead> tr > th svg,\n.thead-dark > thead> tr > td svg, .thead-dark > thead> tr > th svg\n {fill:#ffffff; padding:0 0 3px 0; }\n\n\n.thead-primary th .tc-tiddlylink, .thead-primary th a,\n.thead-secondary th .tc-tiddlylink, .thead-primary th a,\n.thead-success th .tc-tiddlylink, .thead-primary th a,\n.thead-warning th .tc-tiddlylink, .thead-primary th a,\n.thead-danger th .tc-tiddlylink, .thead-primary th a,\n.thead-info th .tc-tiddlylink, .thead-primary th a,\n.thead-dark th .tc-tiddlylink, .thead-primary th a{color:#ffffff}\n","created":"20180413092232257","modified":"20210808052511640","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/styles/tiddler-title-class":{"title":"$:/plugins/kookma/shiraz/styles/tiddler-title-class","text":".title-primary .tc-title {\n color: #007bff;\n}\n.title-secondary .tc-title {\n color: #6c757d;\n}\n.title-success .tc-title {\n color: #28a745;\n}\n.title-info .tc-title {\n color: #17a2b8;\n}\n.title-warning .tc-title {\n color: #ffc107;\n}\n.title-danger .tc-title {\n color: #dc3545;\n}\n.title-light .tc-title {\n color: #f8f9fa;\n}\n.title-dark .tc-title {\n color: #343a40;\n}\n.title-white .tc-title {\n color: #fff;\n}","created":"20191101112257846","modified":"20210808052511648","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/shiraz/templates/body/color":{"title":"$:/plugins/kookma/shiraz/templates/body/color","created":"20200210160016959","modified":"20210808052511653","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"color","type":"text/vnd.tiddlywiki","text":"\\define showCell()\n<style>\n.dt:not(:disabled):dt(.disabled) {cursor: pointer;}\na.dt.disabled,fieldset:disabled a.dt {pointer-events: none;}\n</style>\n<$link overrideClass=\"dt disabled\" to=\"\">\n<$edit-text tag=input type=color tiddler=<<currentRecord>> field=color/>\n</$link>\n\\end\n\n\\define edit_color() <$edit-text tag=input type=color tiddler=<<currentRecord>> field=<<currentColumn>>/>\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<<showCell>>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<edit_color>> >\n <<showCell_Locked>>\n</$list>\n</$reveal>"},"$:/plugins/kookma/shiraz/templates/body/date":{"title":"$:/plugins/kookma/shiraz/templates/body/date","created":"20170128100657312","modified":"20210808052511660","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"created modified","type":"text/vnd.tiddlywiki","text":"<td>\n<$view tiddler=<<currentRecord>> field=<<currentColumn>> format=\"date\" template=\"YYYY.0MM.0DD\"/>\n</td>"},"$:/plugins/kookma/shiraz/templates/body/default":{"title":"$:/plugins/kookma/shiraz/templates/body/default","created":"20191125202328213","modified":"20210808052511665","tags":"$:/tags/Table/BodyTemplate","type":"text/vnd.tiddlywiki","text":"<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<<showCell>>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<editCell>> >\n <<showCell_Locked>>\n</$list>\n</$reveal>"},"$:/plugins/kookma/shiraz/templates/body/due-date":{"title":"$:/plugins/kookma/shiraz/templates/body/due-date","created":"20200206191120454","modified":"20210808052511673","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"due-date","type":"text/vnd.tiddlywiki","text":"\\define showCell() <$transclude tiddler=<<currentRecord>> field=<<currentColumn>> mode=\"inline\" />\n\\define showCell_Locked() <span style=\"font-style:oblique;\"><<showCell>></span>\n\\define edit_date() <$edit-text tag=input type=date tiddler=<<currentRecord>> field=<<currentColumn>>/>\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<<showCell>>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<edit_date>> >\n <<showCell_Locked>>\n</$list>\n</$reveal>\n\n<!-- Part of Task Manager\n this template ALWAYS works on fields -->"},"$:/plugins/kookma/shiraz/templates/body/email":{"title":"$:/plugins/kookma/shiraz/templates/body/email","created":"20191202210913762","modified":"20210808052511678","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"email","type":"text/vnd.tiddlywiki","text":"\\define display-email-address()\n<a class=\"tc-tiddlylink-external\" href=\"mailto:$(currentRecord)$!!$(currentColumn)$\" rel=\"noopener noreferrer\" target=\"_blank\">\n<<showCell>>\n</a>\n\\end\n\\define display-email-address_Locked()\n<a class=\"tc-tiddlylink-external\" href=\"mailto:$(currentRecord)$!!$(currentColumn)$\" rel=\"noopener noreferrer\" target=\"_blank\">\n<<showCell_Locked>>\n</a>\n\\end\n\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<<display-email-address>>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<editCell>> >\n<<display-email-address_Locked>>\n</$list>\n</$reveal>\n\n"},"$:/plugins/kookma/shiraz/templates/body/priority":{"title":"$:/plugins/kookma/shiraz/templates/body/priority","created":"20200424102701026","modified":"20210808052511686","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"priority","type":"text/vnd.tiddlywiki","text":"\\define circle(color, fill)\n<svg width=\"12\" height=\"12\" >\n<circle cx=\"6\" cy=\"6\" r=\"5\" stroke=<<__color__>> fill=<<__fill__>> stroke-width=\"1\"/>\n</svg>\n\\end\n\n\\define showCell()\n<$list filter=\"[<currentRecord>get<currentColumn>match[very high]]\" variable=ignore>\n<$macrocall $name=\"circle\" color=\"#dc3545\" fill=\"#f8d7da\"/>\n</$list>\n<$list filter=\"[<currentRecord>get<currentColumn>match[high]]\" variable=ignore>\n<$macrocall $name=\"circle\" color=\"#ff8c00\" fill=\"#fff3cd\"/>\n</$list>\n<$list filter=\"[<currentRecord>get<currentColumn>match[normal]]\" variable=ignore>\n<$macrocall $name=\"circle\" color=\"#17a2b8\" fill=\"#d1ecf1\"/>\n</$list>\n<$list filter=\"[<currentRecord>get<currentColumn>match[low]]\" variable=ignore>\n<$macrocall $name=\"circle\" color=\"#007bff\" fill=\"#cce5ff\"/>\n</$list>\n<$list filter=\"[<currentRecord>get<currentColumn>match[very low]]\" variable=ignore>\n<$macrocall $name=\"circle\" color=\"#6c757d\" fill=\"#e2e3e5\"/>\n</$list>\n <$transclude tiddler=<<currentRecord>> field=<<currentColumn>> />\n\\end\n\n\\define showCell_Locked() <span style=\"font-style:oblique;\"><<showCell>></span>\n\n\\define select_priority()\n<$select tiddler=<<currentRecord>> field=<<currentColumn>> default=\"\"><option value=''>Select...</option><option value='very high'>very high</option><option value='high'>high</option><option value='normal'>normal</option><option value='low'>low</option><option value='very low'>very low</option><option value=''>no priority</option></$select>\n\\end\n\n\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<<showCell>>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<select_priority>> >\n <<showCell_Locked>>\n</$list>\n<$reveal>\n\n<!-- Part of Task Manager\n this template ALWAYS works on fields\n -->"},"$:/plugins/kookma/shiraz/templates/body/status":{"title":"$:/plugins/kookma/shiraz/templates/body/status","created":"20200424100127763","modified":"20210808052511690","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"status","type":"text/vnd.tiddlywiki","text":"\\define showCell() <$transclude tiddler=<<currentRecord>> field=<<currentColumn>> mode=\"inline\" />\n\\define showCell_Locked() <span style=\"font-style:oblique;\"><<showCell>></span>\n\\define select_status()\n<$select tiddler=<<currentRecord>> field=<<currentColumn>> default=\"\"><option value=''>Select...</option><option value='open'>open</option><option value='not started'>not started</option><option value='in-work'>in-work</option><option value='on-hold'>on-hold</option><option value='complete'>complete</option><option value='rework'>rework</option><option value='closed'>closed</option></$select>\n\\end\n\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<<showCell>>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<select_status>> >\n <<showCell_Locked>>\n</$list>\n</$reveal>\n\n<!-- Part of Task Manager\n this template ALWAYS works on fields -->"},"$:/plugins/kookma/shiraz/templates/body/tags":{"title":"$:/plugins/kookma/shiraz/templates/body/tags","created":"20191125193831767","modified":"20210808052511699","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"tags","type":"text/vnd.tiddlywiki","text":"<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<$list filter=\"[title<currentRecord>tags[]]\">\n<span class=\"tc-tag-list-item\" >\n<$set name=\"transclusion\" value=<<currentTiddler>>>\n<$macrocall $name=\"tag-pill-body\" tag=<<currentTiddler>> icon={{!!icon}} color={{!!color}} palette={{$:/palette}} element-tag=\"\"\"$button\"\"\" element-attributes=\"\"\"popup=<<tempTagPopup>> dragFilter='[all[current]tagging[]]' tag='span'\"\"\"/>\n<$reveal state=<<tempTagPopup>> style=\"position:absolute; z-index:9999;\" type=\"popup\" position=\"below\" animate=\"yes\" class=\"tc-drop-down\">\n<$set name=\"tv-show-missing-links\" value=\"yes\">\n<$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n</$set>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/TagDropdown]!has[draft.of]]\" variable=\"listItem\"> \n<$transclude tiddler=<<listItem>>/> \n</$list>\n<hr>\n<$macrocall $name=\"list-tagged-draggable\" tag=<<currentTiddler>>/>\n</$reveal>\n</$set>\n</span>\n</$list>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<!--check if the current column is not selected for sorting-->\n<$list filter=\"[<tempTableSort>getindex[sortIndex]match<currentColumn>]\" variable=ignore\nemptyMessage=<<editCell>> >\n <<showCell_Locked>>\n</$list>\n</$reveal>"},"$:/plugins/kookma/shiraz/templates/body/tbl-checkbox":{"title":"$:/plugins/kookma/shiraz/templates/body/tbl-checkbox","created":"20200206150644636","modified":"20210808052511704","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"tbl-checkbox","type":"text/vnd.tiddlywiki","text":"<td style=\"width:28px;text-align:center;\">\n<$checkbox tiddler=<<currentRecord>> tag=\"done\"\ncheckactions=\"\"\"<$action-setfield $tiddler=<<tempTableStyle>> $index=<<currentRecord>> $value=\"color:#155724;background-color:#d4edda;\" /><$action-setfield $tiddler=<<currentRecord>> status=\"complete\"/>\"\"\"\nuncheckactions=\"\"\"<$action-setfield $tiddler=<<tempTableStyle>> $index=<<currentRecord>> /><$action-setfield $tiddler=<<currentRecord>> status=\"rework\"/>\"\"\" />\n</td>"},"$:/plugins/kookma/shiraz/templates/body/tbl-clone":{"title":"$:/plugins/kookma/shiraz/templates/body/tbl-clone","created":"20201203153613838","modified":"20210808052511708","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"tbl-clone","type":"text/vnd.tiddlywiki","text":"\\define cloneTiddler() <$action-createtiddler $basetitle=<<currentRecord>> $template=<<currentRecord>> />\n\n<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore>\n<td style=\"width:28px;text-align:center;\">\n <$button actions=<<cloneTiddler>> class=\"tc-btn-invisible\">\n\t {{$:/core/images/clone-button}}\n\t</$button>\n</td>\n</$list>"},"$:/plugins/kookma/shiraz/templates/body/tbl-delete":{"title":"$:/plugins/kookma/shiraz/templates/body/tbl-delete","created":"20170212101814663","modified":"20210808052511715","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"tbl-delete","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore>\n<td style=\"width:28px;text-align:center;\">\n <$button class=\"tc-btn-invisible\">\n <$action-sendmessage $message=\"tm-delete-tiddler\" $param=<<currentRecord>>/>\n {{$:/core/images/delete-button}}\n </$button>\n</td>\n</$list>"},"$:/plugins/kookma/shiraz/templates/body/tbl-expand":{"title":"$:/plugins/kookma/shiraz/templates/body/tbl-expand","created":"20200209072642825","modified":"20210808052511720","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"tbl-expand","type":"text/vnd.tiddlywiki","text":"<$reveal type=\"nomatch\" state=<<tempPathExpand>> text=\"show\" tag=\"td\">\n <$button class=\"tc-btn-invisible tc-tiddlylink\">\n <$action-setfield $tiddler=<<tempTableExpand>> $index=<<currentRecord>> $value=\"show\" />\n {{$:/core/images/right-arrow}}\n </$button>\n</$reveal>\n<$reveal type=\"match\" state=<<tempPathExpand>> text=\"show\" tag=\"td\">\n <$button class=\"tc-btn-invisible tc-tiddlylink\">\n <$action-setfield $tiddler=<<tempTableExpand>> $index=<<currentRecord>>/>\n {{$:/core/images/down-arrow}}\n </$button>\n</$reveal>"},"$:/plugins/kookma/shiraz/templates/body/tbl-linktype":{"title":"$:/plugins/kookma/shiraz/templates/body/tbl-linktype","created":"20210501184147078","modified":"20210808052511724","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"tbl-linktype","type":"text/vnd.tiddlywiki","text":"<td>\n<$text text={{{ [all[current]links[]match<currentRecord>then[link]] [all[current]backlinks[]match<currentRecord>then[backlink]] [all[current]tagging[]match<currentRecord>then[tagging]] ~[[transclusion]] }}} />\n</td>\n<!-- \ntb-linktype is not sortable not editable. The priority is as below:\nlink, backlink, tagging, transclusion\n-->"},"$:/plugins/kookma/shiraz/templates/body/title":{"title":"$:/plugins/kookma/shiraz/templates/body/title","created":"20170128100357203","modified":"20210808052511731","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"title","type":"text/vnd.tiddlywiki","text":"<td>\n<$link to=<<currentRecord>>><$text text=<<currentRecord>> /></$link>\n</td>"},"$:/plugins/kookma/shiraz/templates/body/type":{"title":"$:/plugins/kookma/shiraz/templates/body/type","created":"20200210063953546","modified":"20210808052511737","tags":"$:/tags/Table/BodyTemplate","tbl-column-list":"type","type":"text/vnd.tiddlywiki","text":"\\define showCell() <$transclude tiddler=<<currentRecord>> field=<<currentColumn>> mode=\"inline\" />\n<td>\n<<showCell>>\n</td>\n<!-- Note that the showCell here overrides the global showCell from table-dynamic macro -->"},"$:/plugins/kookma/shiraz/templates/footer/default":{"title":"$:/plugins/kookma/shiraz/templates/footer/default","created":"20200130171717175","modified":"20210808052511744","tags":"$:/tags/Table/FooterTemplate","type":"text/vnd.tiddlywiki","text":"<$vars idx={{{ [<currentColumn>addsuffix[/]addsuffix<footerRow>] }}}>\n<$set name=getFieldOrIndex filter=\"[<fieldOrIndex>]-index\" value=\"get\" emptyValue=\"getindex\">\n<$reveal type=\"nomatch\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<$transclude tiddler=<<tempTableFooter>> index=<<idx>> mode=\"inline\" />\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableEdit>> stateIndex=\"mode\" text=\"edit\" tag=\"td\">\n<$edit-text tiddler=<<tempTableFooter>> index=<<idx>> tag=\"input\" class=\"shiraz-dtable-textbox\"/>\n</$reveal>\n</$set>\n</$vars>"},"$:/plugins/kookma/shiraz/templates/footer/tbl-clone":{"title":"$:/plugins/kookma/shiraz/templates/footer/tbl-clone","created":"20201203155343568","modified":"20210808052511749","tags":"$:/tags/Table/FooterTemplate","tbl-column-list":"tbl-clone","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore>\n<td></td>\n</$list>"},"$:/plugins/kookma/shiraz/templates/footer/tbl-delete":{"title":"$:/plugins/kookma/shiraz/templates/footer/tbl-delete","created":"20200130174835714","modified":"20210808052511757","tags":"$:/tags/Table/FooterTemplate","tbl-column-list":"tbl-delete","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore>\n<td></td>\n</$list>"},"$:/plugins/kookma/shiraz/templates/footer/tbl-expand":{"title":"$:/plugins/kookma/shiraz/templates/footer/tbl-expand","created":"20200130173518861","modified":"20210808052511762","tags":"$:/tags/Table/FooterTemplate","tbl-column-list":"tbl-expand","type":"text/vnd.tiddlywiki","text":"<td style=\"width:28px;\"></td>"},"$:/plugins/kookma/shiraz/templates/header/default":{"title":"$:/plugins/kookma/shiraz/templates/header/default","created":"20170205223914688","modified":"20210808165151493","tags":"$:/tags/Table/HeaderTemplate","type":"text/vnd.tiddlywiki","text":"<$reveal type=\"nomatch\" stateTitle=<<tempTableSort>> stateIndex=\"sortIndex\" text=<<currentColumn>> tag=\"th\">\n<$button setTitle=<<tempTableSort>> setIndex=\"sortIndex\" setTo=<<currentColumn>> class=\"tc-btn-invisible tc-tiddlylink\" >\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"hasnegate\" $value=\"false\"/>\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"negate\" $value=\"\"/>\n<span style=\"text-transform: capitalize;\"><$text text=<<currentColumn>>/></span>\n</$button>\n</$reveal>\n<$reveal type=\"match\" stateTitle=<<tempTableSort>> stateIndex=\"sortIndex\" text=<<currentColumn>> tag=\"th\">\n<$list filter=\"[<tempTableSort>getindex[hasnegate]match[false]]\" variable=ignore><!--set negate for sort-->\n<$button setTitle=<<tempTableSort>> setIndex=\"hasnegate\" setTo=\"true\" class=\"tbl-sort-svg tc-btn-invisible tc-tiddlylink\" >\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"negate\" $value=\"!\"/>\n<span style=\"text-transform: capitalize;\"><$text text=<<currentColumn>>/></span> {{$:/core/images/down-arrow}}\n</$button>\n</$list>\n<$list filter=\"[<tempTableSort>getindex[hasnegate]match[true]]\" variable=ignore><!--remove negate for sort-->\n<$button setTitle=<<tempTableSort>> setIndex=\"hasnegate\" setTo=\"false\" class=\"tbl-sort-svg tc-btn-invisible tc-tiddlylink\" >\n<$action-setfield $tiddler=<<tempTableSort>> $index=\"negate\" $value=\"\"/>\n<span style=\"text-transform: capitalize;\"><$text text=<<currentColumn>>/></span> {{$:/core/images/up-arrow}}\n</$button>\n</$list>\n</$reveal>\n"},"$:/plugins/kookma/shiraz/templates/header/tbl-checkbox":{"title":"$:/plugins/kookma/shiraz/templates/header/tbl-checkbox","created":"20200206151157578","modified":"20210808052511775","tags":"$:/tags/Table/HeaderTemplate","tbl-column-list":"tbl-checkbox","type":"text/vnd.tiddlywiki","text":"\\define chk-checkactions()\n<$list filter=\"[subfilter<inputFilter>]\" variable=\"currentRecord\">\n<$action-listops $tiddler=<<currentRecord>> $tags=\"+[append[done]]\" />\n<$action-setfield $tiddler=<<currentRecord>> status=\"complete\"/>\n<$action-setfield $tiddler=<<tempTableStyle>> $index=<<currentRecord>> $value=\"color:#155724;background-color:#d4edda;\" />\n</$list>\n\\end\n\\define chk-uncheckactions()\n<$list filter=\"[subfilter<inputFilter>]\" variable=\"currentRecord\">\n<$action-listops $tiddler=<<currentRecord>> $tags=\"+[remove[done]]\" />\n<$action-setfield $tiddler=<<currentRecord>> status=\"rework\"/>\n<$action-setfield $tiddler=<<tempTableStyle>> $index=<<currentRecord>> />\n</$list>\n\\end\n\n<th style=\"width:28px;\">\n<$checkbox checkactions=<<chk-checkactions>> uncheckactions=<<chk-uncheckactions>> />\n</th>"},"$:/plugins/kookma/shiraz/templates/header/tbl-clone":{"title":"$:/plugins/kookma/shiraz/templates/header/tbl-clone","created":"20201203155440168","modified":"20210808052511782","tags":"$:/tags/Table/HeaderTemplate","tbl-column-list":"tbl-clone","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore>\n<th style=\"width:28px;text-align:center;\">\n<span>\n<$button class=\"tc-btn-invisible\" disabled=yes tooltip=\"disabled button\" style=\"cursor:default\">\n{{$:/core/images/clone-button}}\n</$button>\n</span>\n</th>\n</$list>"},"$:/plugins/kookma/shiraz/templates/header/tbl-delete":{"title":"$:/plugins/kookma/shiraz/templates/header/tbl-delete","created":"20170212102107998","modified":"20210808052511788","tags":"$:/tags/Table/HeaderTemplate","tbl-column-list":"tbl-delete","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[<tempTableEdit>getindex[mode]match[edit]]\" variable=ignore>\n<th style=\"width:28px;text-align:center;\">\n <span>\n <$button class=\"tc-btn-invisible\">\n <$action-setfield $tiddler=\"$:/temp/tables/delete-all\" text=<<currentTable>>/>\n {{$:/core/images/delete-button}}\n </$button>\n </span>\n</th>\n</$list>"},"$:/plugins/kookma/shiraz/templates/header/tbl-expand":{"title":"$:/plugins/kookma/shiraz/templates/header/tbl-expand","created":"20200209072944418","modified":"20210808052511796","tags":"$:/tags/Table/HeaderTemplate","tbl-column-list":"tbl-expand","type":"text/vnd.tiddlywiki","text":"<th style=\"width:28px;\">\n <$list filter=\"[<tempTableExpand>indexes[]limit[1]]\">\n <$button class=\"tc-btn-invisible\">{{$:/core/images/fold-button}}\n <$action-setfield $tiddler=<<tempTableExpand>> text=\"\"/>\n </$button>\n </$list>\n</th>"},"$:/plugins/kookma/shiraz/templates/header/tbl-linktype":{"title":"$:/plugins/kookma/shiraz/templates/header/tbl-linktype","created":"20210517200330994","modified":"20210808052511806","tags":"$:/tags/Table/HeaderTemplate","tbl-column-list":"tbl-linktype","type":"text/vnd.tiddlywiki","text":"<th style=\"width:32px;text-align:center;cursor:default;\">Linktype</th>\n<!-- \ntb-linktype is not sortable not editable\n-->"},"$:/plugins/kookma/shiraz/ui/Buttons/SwitchPalette":{"title":"$:/plugins/kookma/shiraz/ui/Buttons/SwitchPalette","caption":"{{$:/plugins/kookma/shiraz/images/palette-switch}} {{$:/language/Buttons/Shiraz/Caption}}","created":"20201210171047824","dark-palette":"$:/palettes/SolarFlare","description":"Toggle between light/dark color palette","light-palette":"$:/palettes/Vanilla","modified":"20210808064214879","tags":"$:/tags/PageControls","type":"text/vnd.tiddlywiki","text":"\\whitespace trim\n<$vars \ndarkPalette ={{$:/plugins/kookma/shiraz/ui/Buttons/SwitchPalette!!dark-palette}}\nlightPalette={{$:/plugins/kookma/shiraz/ui/Buttons/SwitchPalette!!light-palette}}\n> \n<$button \n tooltip={{$:/language/Buttons/Shiraz/Hint}} \n aria-label={{$:/language/Buttons/Shiraz/Caption}} \n class=<<tv-config-toolbar-class>>\n>\n <$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n {{$:/plugins/kookma/shiraz/images/palette-switch}}\n </$list>\n\n <$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n <span class=\"tc-btn-text\">switch palettes</span>\n </$list>\n\n <$reveal type=\"match\" state=\"$:/palette\" text=<<darkPalette>> > \n <$action-setfield $tiddler=\"$:/palette\" text=<<lightPalette>> />\n </$reveal>\n <$reveal type=\"nomatch\" state=\"$:/palette\" text=<<darkPalette>> >\n <$action-setfield $tiddler=\"$:/palette\" text=<<darkPalette>> >\n </$reveal>\n</$button>\n</$vars>"},"$:/plugins/kookma/shiraz/ui/ControlPanel/Settings":{"title":"$:/plugins/kookma/shiraz/ui/ControlPanel/Settings","caption":"Shiraz","created":"20191018054657077","list-after":"$:/core/ui/ControlPanel/Settings/TiddlyWiki","modified":"20210808064559781","tags":"$:/tags/ControlPanel/SettingsTab $:/tags/MoreSideBar","type":"text/vnd.tiddlywiki","text":"These settings let you customise the behaviour of Shiraz plugin.\n\n---\n\n;Show Shiraz setting in more sidebar\n:<$checkbox tiddler=\"$:/plugins/kookma/shiraz/ui/ControlPanel/Settings\" tag=\"$:/tags/MoreSideBar\"> Show setting in more sidebar</$checkbox>\n\n;Options\n:<$checkbox tiddler=\"$:/plugins/kookma/shiraz/styles/multicols/storyriver\" tag=\"$:/tags/Stylesheet\"> Multicolumn story river</$checkbox>\n:<$checkbox tiddler=\"$:/plugins/kookma/shiraz/styles/misc/ui-buttons\" tag=\"$:/tags/Stylesheet\"> Colorful UI buttons</$checkbox>\n:<$checkbox tiddler=\"$:/plugins/kookma/shiraz/styles/misc/tiddler-button-visibility\" tag=\"$:/tags/Stylesheet\"> Tiddler visibility on mouse hover</$checkbox>\n:<$checkbox tiddler=\"$:/plugins/kookma/shiraz/styles/misc/edit-buttons\" tag=\"$:/tags/Stylesheet\"> Traffic lights for edit toolbar buttons</$checkbox>\n:<$checkbox tiddler=\"$:/plugins/kookma/shiraz/styles/colorful-sidebar-tab\" tag=\"$:/tags/Stylesheet\"> Colorify sidebar tabs</$checkbox>\n\n;Set dark and light palettes\n{{$:/plugins/kookma/shiraz/ui/set-dark-light-palette}}\n\n\n"},"$:/plugins/kookma/shiraz/ui/set-dark-light-palette":{"title":"$:/plugins/kookma/shiraz/ui/set-dark-light-palette","created":"20210510155820574","dark-palette":"$:/palettes/SolarFlare","light-palette":"$:/palettes/Vanilla","modified":"20210808052511827","tags":"","type":"text/vnd.tiddlywiki","text":"\\define switchpaletteTid() $:/plugins/kookma/shiraz/ui/Buttons/SwitchPalette\n\n\\define selectPelette(title, default, tiddler, field)\n<label class=\"kk-switch-label\">$title$</label>\n<$select tiddler=<<__tiddler__>> field=<<__field__>> default=\"\">\n<$list filter=\"[all[tiddlers+shadows]tag[$:/tags/Palette]]\" >\n<option value=<<currentTiddler>> >\n<$view field='name'>\n <$view field='title'/>\n</$view>\n</option>\n</$list>\n</$list>\n\\end\n\n<div style=\"margin-left:40px\">\n\n<$macrocall $name=selectPelette \n title=\"Dark palette\" filter=<<filterNight>> \n\tdefault=\"$:/palettes/SolarizedDark\" field=\"dark-palette\" \n\ttiddler=<<switchpaletteTid>> /><br>\n\n<$macrocall $name=selectPelette \n title=\"Light palette\" filter=<<filterDay>> \n\tdefault=\"$:/palettes/Vanilla\" field=\"light-palette\" \n\ttiddler=<<switchpaletteTid>> />\n\n<label class=\"kk-switch-label\">Reset to default</label>\n<$button> {{$:/core/images/erase}}\n<$action-setfield \n $tiddler=<<switchpaletteTid>> \n\t$field=dark-palette \n\t$value={{!!dark-palette}} />\n<$action-setfield \n $tiddler=<<switchpaletteTid>> \n\t$field=light-palette \n\t$value={{!!light-palette}} />\t\n\t\n<$action-setfield \n $tiddler=\"$:/palette\" \n\t$field=text\n\t$value={{!!light-palette}} />\t\t\n</$button>\n</div>\n<style>\n.kk-switch-label{\n width:105px;\n display: inline-block;\n}\n</style>\t"},"$:/plugins/kookma/shiraz/viewtemplates/sticky-footer":{"title":"$:/plugins/kookma/shiraz/viewtemplates/sticky-footer","created":"20180907071314793","modified":"20210808052511833","tags":"$:/tags/ViewTemplate","type":"text/vnd.tiddlywiki","text":"<$list filter=\"[all[current]has[sticky-footer]]\">\n<div class=\"sticky-footer\">\n{{!!sticky-footer}}\n</div>\n</$list>\n"},"$:/language/Buttons/Shiraz/Hint":{"title":"$:/language/Buttons/Shiraz/Hint","created":"20210520125309893","modified":"20210808054302552","tags":"","type":"text/vnd.tiddlywiki","text":"Switch dark/light color palette"},"$:/language/Buttons/Shiraz/Caption":{"title":"$:/language/Buttons/Shiraz/Caption","created":"20210520125335245","modified":"20210808054312009","tags":"","type":"text/vnd.tiddlywiki","text":"Switch dark/light color palette"}}}
{"tiddlers":{"$:/plugins/kookma/tamasha/animations/slideIn":{"title":"$:/plugins/kookma/tamasha/animations/slideIn","text":"/* https://www.w3schools.com/w3css/w3css_animate.asp*/\n.tamasha-animation-slidedown{position:relative;animation:animatetop 0.4s}@keyframes animatetop{from{top:-300px;opacity:0} to{top:0;opacity:1}}\n.tamasha-animation-slideleft{position:relative;animation:animateleft 0.4s}@keyframes animateleft{from{left:-300px;opacity:0} to{left:0;opacity:1}}\n.tamasha-animation-slideright{position:relative;animation:animateright 0.4s}@keyframes animateright{from{right:-300px;opacity:0} to{right:0;opacity:1}}\n.tamasha-animation-slideup{position:relative;animation:animatebottom 0.4s}@keyframes animatebottom{from{bottom:-300px;opacity:0} to{bottom:0;opacity:1}}\n.tamasha-animation-slidezoom {animation:animatezoom 0.8s ease-out}@keyframes animatezoom{from{transform:scale(0)} to{transform:scale(1)}}","created":"20210130184033628","modified":"20210131150814704","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/history":{"title":"$:/plugins/kookma/tamasha/history","created":"20210107204722366","modified":"20210202174123721","tags":"","type":"text/vnd.tiddlywiki","text":"Full change log https://kookma.github.io/TW-Tamasha/#ChangeLog\n\n* ''0.4.2'' -- 2021.02.02 -- linear scaling of font size, new kimia theme\n* ''0.4.2'' -- 2021.02.01 -- css and ui cleanup\n* ''0.4.0'' -- 2021.01.27 -- dynamic font size for slide\n* ''0.3.0'' -- 2021.01.25 -- new shortcut keys, new transition effects for progress bar\n* ''0.2.0'' -- 2021.01.24 -- beta release\n* ''0.1.0'' -- 2020.12.12 -- alpha release\n"},"$:/plugins/kookma/tamasha/license":{"title":"$:/plugins/kookma/tamasha/license","created":"20210107204722371","modified":"20210129140611672","tags":"","type":"text/vnd.tiddlywiki","text":"Distributed under an MIT license.\n\nCopyright (c) 2020 - 2021 [[Mohammad Rahmani|https://github.com/kookma]]\n\n<<<\nPermission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the \"Software\"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions:\n\nThe above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n<<<"},"$:/plugins/kookma/tamasha/macros/frame":{"title":"$:/plugins/kookma/tamasha/macros/frame","created":"20210119143256561","modified":"20210131165620152","tags":"$:/tags/Macro/Tamasha","type":"text/vnd.tiddlywiki","text":"\\define presentation-frame-focus-selector() .$(myState)$\n\\define presentation-frame-class() tamasha-presentation-frame $(myState)$\n\n\\define slideBackground() [<stateNavigatorTid>get[text]] ~[tag<mainTag>first[]] :and[get[background]addprefix[background-color:]addsuffix[;]]\n"},"$:/plugins/kookma/tamasha/macros/navigator":{"title":"$:/plugins/kookma/tamasha/macros/navigator","created":"20201231071150623","modified":"20210129140329956","tags":"$:/tags/Macro/Tamasha","type":"text/vnd.tiddlywiki","text":"\\define stateNavigatorTid() $:/state/tamasha/navigator/$(currentTiddler)$/$(myState)$\n\\define loopSlides() [tag<mainTag>$(loopState)$[]]\n\n\\define goHome() <$action-setfield $tiddler=<<stateNavigatorTid>> text={{{[tag<mainTag>first[]]}}}/>\n\\define goEnd() <$action-setfield $tiddler=<<stateNavigatorTid>> text={{{[tag<mainTag>last[]]}}}/>\n\n\n\n\\define nextSlide() \n<$vars currentTid={{{[<stateNavigatorTid>get[text]] ~[tag<mainTag>first[]]}}}>\n<$list filter=\"[<loopShow>match[yes]then[first]else[last]]\" variable=\"loopState\">\n<$action-setfield $tiddler=<<stateNavigatorTid>> \n text={{{ [tag<mainTag>after<currentTid>] ~[subfilter<loopSlides>] }}} />\n</$list>\t\n</$vars>\n\\end\n\n\\define previousSlide()\n<$vars currentTid={{{[<stateNavigatorTid>get[text]]}}}>\n<$list filter=\"[<loopShow>match[yes]then[last]else[first]]\" variable=\"loopState\">\n<$action-setfield $tiddler=<<stateNavigatorTid>> \n text={{{ [tag<mainTag>before<currentTid>] ~[subfilter<loopSlides>] }}} />\n</$list>\t\t\n</$vars>\n\\end\n\n\\define navigator()\n<$list filter=\"[<stateNavigatorTid>get[text]] ~[tag<mainTag>first[]]\" variable=\"currentSlide\" emptyMessage=\"No slide existed or wrong input\">\n\t<div class=<<animationClass>> ><!-- this div also used for flexbox layout -->\n\t<$transclude tiddler=<<myTemplate>> mode=block/>\n\t</div>\n</$list> \n\\end"},"$:/plugins/kookma/tamasha/macros/presenter":{"title":"$:/plugins/kookma/tamasha/macros/presenter","created":"20210122151112086","modified":"20210131195124929","tags":"$:/tags/Macro","type":"text/vnd.tiddlywiki","text":"\\define presenter(tag, loop:\"no\", footer:\"\", template:\"vanilla\", theme:\"vanda\", animation, state)\n\\import [all[tiddlers+shadows]tag[$:/tags/Macro/Tamasha]]\n<$vars\n mainTag=<<__tag__>>\n loopShow=<<__loop__>>\n slideFooter=<<__footer__>> \n myTemplate={{{ [all[tiddlers+shadows]tag[$:/tags/Tamasha/Template]search:title<__template__>] ~$:/plugins/kookma/tamasha/templates/vanilla }}} \n myTheme={{{ [all[tiddlers+shadows]tag[$:/tags/Tamasha/Theme]getindex<__theme__>] ~[<__theme__>] }}}\n animationClass={{{[<__animation__>trim[]!is[blank]addprefix[tamasha-animation-]]}}}\n myState={{{ [<__state__>trim[]is[blank]then[presenter]else<__state__>addsuffix<qualify>] }}}\n SlidesCount={{{[tag<__tag__>count[]]}}}\n >\n<$keyboard key=\"F\" actions=<<toggle-presentation-mode>> >\n<$keyboard key=\"escape\" actions=<<toggle-author-mode>> >\n<$keyboard key=\"H\" actions=<<goHome>> >\n<$keyboard key=\"E\" actions=<<goEnd>> >\n<$keyboard key=\"right down enter space\" actions=<<nextSlide>> >\n<$keyboard key=\"left up backspace\" actions=<<previousSlide>> >\n\n<div class=<<myTheme>> ><!-- apply theme -->\n<div class=<<presentation-frame-class>> tabindex=1 style={{{[subfilter<slideBackground>]}}} >\n\n<!-- Presentation Mode -->\n<div class={{{[<statePresentTid>getindex[overlayClass]] ~[[tamasha-overlay]]}}} style={{{[subfilter<slideBackground>]}}} >\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/slide\" mode=block/>\n</div>\n\n<!-- Author mode -->\n<div><!-- reserved for further development-->\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/slide\" mode=block/>\n</div>\n\n</div>\n</div>\n\n</$keyboard>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n</$keyboard>\n\n<$reveal type=\"match\" stateTitle=<<statePresentTid>> stateIndex=\"mode\" text=\"presentation-mode\">\n<style>body { overflow: hidden; /* Hide scrollbars */ }</style>\n</$reveal>\n\n</$vars>\n\\end\n"},"$:/plugins/kookma/tamasha/macros/toggle":{"title":"$:/plugins/kookma/tamasha/macros/toggle","created":"20201231090618034","modified":"20210129122518224","tags":"$:/tags/Macro/Tamasha","type":"text/vnd.tiddlywiki","text":"\\define statePresentTid() $:/state/tamasha/presentation/$(currentTiddler)$/$(myState)$\n\n\\define toggle-presentation-mode() \n<$action-setfield $tiddler=<<statePresentTid>> $index=\"overlayClass\" $value=\"tamasha-overlay open\"/>\n<$action-setfield $tiddler=<<statePresentTid>> $index=\"mode\" $value=\"presentation-mode\"/>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=<<presentation-frame-focus-selector>> />\n\\end\n\\define toggle-author-mode()\n<$action-setfield $tiddler=<<statePresentTid>> $index=\"overlayClass\" $value=\"tamasha-overlay\"/>\n<$action-setfield $tiddler=<<statePresentTid>> $index=\"mode\" $value=\"author-mode\"/>\n<$action-sendmessage $message=\"tm-focus-selector\" $param=<<presentation-frame-focus-selector>> />\n\\end"},"$:/plugins/kookma/tamasha/readme":{"title":"$:/plugins/kookma/tamasha/readme","created":"20210107204722374","modified":"20210129122518233","tags":"","type":"text/vnd.tiddlywiki","text":"; Tamasha\nTamasha is a presentation app based on Tiddlywiki 5.1.23+\n\n;Code and demo\nFor learning plugin features, syntax, tutorial and examples see the plugin demo and code pages\n\n* Demo: https://kookma.github.io/TW-Tamasha/\n* Code: https://github.com/kookma/TW-Tamasha\n"},"$:/plugins/kookma/tamasha/styles/buttons":{"title":"$:/plugins/kookma/tamasha/styles/buttons","created":"20201231045002172","modified":"20210129122518307","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":".tamasha-viewer-nav {\n\tvisibility: hidden;\n\topacity: 0.02;\n transition: visibility 0.5s, opacity 0.75s linear;\n\n\tfont-size:1.3em;\n\tbackground-color: <<colour background>>;\n\t/*border: 2px solid #9a9a9aaa;*/\n}\n\n.tamasha-presentation-frame:hover .tamasha-viewer-nav {\n\tvisibility: visible;\n\topacity: 0.6;\n}\n\n.tamasha-nav-button svg{\n\tfill: #9a9a9a;\n}\n\n.tamasha-nav-button:hover svg{\n/*\tfill: #ffffff; */\n fill: <<color foreground>>\n}\n.tamasha-nav-button,\n.tamasha-nav-button:focus {\n\toutline:none;\n}\n\n.tamasha-viewer-nav .tamasha-toolbar-separator {\n\tbackground-color:#9a9a9a;\n}\n\n"},"$:/plugins/kookma/tamasha/styles/content":{"title":"$:/plugins/kookma/tamasha/styles/content","text":".tamasha-slide-content{\t\n/*\tborder:1px dotted orange;*/ /*for debug purpose*/\n}","created":"20201231044947045","modified":"20210129174303521","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/footer":{"title":"$:/plugins/kookma/tamasha/styles/footer","text":".tamasha-slide-footer{\t\n/*\tborder-bottom: 1px dotted green;*/\n/*\t font-size:18px;\n line-height:24px;\t\n\tfont-weight:400; */\n\n}\n\n.tamasha-slide-footer span{\n\topacity: 0.8;\n/*\tcolor: darkblue;*/\n}","created":"20210115133308688","modified":"20210129122518323","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/frame":{"title":"$:/plugins/kookma/tamasha/styles/frame","created":"20210117164656409","modified":"20210129122518330","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":".tamasha-presentation-frame{\n border: 1px solid #B2FBF6CC;\n}\n.tamasha-presentation-frame:focus{\n\toutline:none;\n}"},"$:/plugins/kookma/tamasha/styles/layout/buttons":{"title":"$:/plugins/kookma/tamasha/styles/layout/buttons","text":"/* buttons, slidenumber and progressbar are positioned based on\n .tamasha-presentation-frame\n*/\n\n.tamasha-viewer-nav {\n\tleft: 1.5rem;\n\tposition: absolute;\n\tbottom: 1rem;\n\tborder-radius:2px;\n\tpadding:4px 10px;\n}\n\n.tamasha-viewer-nav .tamasha-toolbar-separator {\n\tdisplay:inline-block;\n\twidth:2px;\n\theight:1.3em;\n\tmargin:0 4px;\n\tvertical-align: top;\n}","created":"20210106134346667","modified":"20210129122518339","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/content":{"title":"$:/plugins/kookma/tamasha/styles/layout/content","text":".tamasha-slide-content {\n font-size: 1.3rem;\n line-height: 1.85rem;\n padding: 30px;\n margin: 0 auto;\n max-width: 900px;\n height: 480px;\n overflow: hidden;\n margin-bottom: 4rem;\n}\n\n.tamasha-overlay .tamasha-slide-content {\n font-size: 3vh;\n line-height: 5vh;\n height: auto;\n padding: 8vh;\n}\n","created":"20210106135745822","modified":"20210202180141281","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/footer":{"title":"$:/plugins/kookma/tamasha/styles/layout/footer","text":".tamasha-slide-footer{\t\n\tposition: absolute; \n\tleft: 0; \n\tright: 0; \n\tmargin-left: auto; \n\tmargin-right: auto; \n\tbottom:1rem;\n\tmax-width:100%;\n\toverflow:hidden;\n\ttext-align:center;\n\tdisplay:inline-block;\n\tmax-width:40%;\n}","created":"20210115104602585","modified":"20210129122518356","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/frame":{"title":"$:/plugins/kookma/tamasha/styles/layout/frame","text":".tamasha-presentation-frame{\n\tposition:relative;\t\t\n\twidth:100%;\n\theight:100%;\n}","created":"20210117092237012","modified":"20210129122518364","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/overlay":{"title":"$:/plugins/kookma/tamasha/styles/layout/overlay","text":".tamasha-overlay {\n\theight: 0px; \n\tposition:fixed;\n\ttop:0; left:0;\n\tz-index:1500;\n\toverflow-y: hidden;\n\twidth:100%;\n}\n\n.tamasha-overlay.open {\n\theight: 100%; /* use full screen height */\n\tmax-height:100%;\n}","created":"20210106134000981","modified":"20210129122518382","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/progressbar":{"title":"$:/plugins/kookma/tamasha/styles/layout/progressbar","text":".tamasha-progress{\n\tleft:0;\n\tbottom: 0;\n\tposition: absolute;\n\twidth:100%;\n\theight:4px;\n}\n\n.tamasha-progress .bar{\n\tdisplay: block;\n\theight:100%;\n\twidth:100%; \n\ttransform-origin:0 0;\n\ttransform:sclaeX(0);\n\ttransition: transform 0.8s ease-in-out;\n}","created":"20210115133910870","modified":"20210129153532287","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/slidelist":{"title":"$:/plugins/kookma/tamasha/styles/layout/slidelist","text":".tamasha-popup{\n\tleft: 50px;\n\tposition: absolute;\n\tbottom: 60px;\n\n\tpadding-right:30px; /* to adapt with ol used inside popup */\n\tborder-radius:4px;\n\n\tmax-height:50%;\n\toverflow-y:auto;\n\tmax-width:50%;\n}\n\n.tamasha-popup .tc-btn-invisible{\n\ttext-align:left;\n}","created":"20210106140729502","modified":"20210131180409213","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/layout/slidenumber":{"title":"$:/plugins/kookma/tamasha/styles/layout/slidenumber","text":".tamasha-slide-number {\n\tposition: absolute;\n\tbottom: 1rem;\n\tright: 1.5rem;\n}\n\n.tamasha-number-circle {\n\tbox-sizing: content-box; \n\twidth: 1.5em;\n\theight: 1.5em;\n\tline-height: 1.5em;\n\ttext-align: center;\n\tborder-radius: 50%; \n}\n/* change the circle size: increase line-height=width=height */","created":"20210106140007444","modified":"20210129122518399","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/overlay":{"title":"$:/plugins/kookma/tamasha/styles/overlay","created":"20201231041850064","modified":"20210131122746614","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":".tamasha-overlay {\n\ttransition-property: all;\n\ttransition-duration: 1s;\n\ttransition-timing-function: cubic-bezier(0, 1, 0.5, 1);\n\tbackground-color:<<colour background>>;\n}\n"},"$:/plugins/kookma/tamasha/styles/progressbar":{"title":"$:/plugins/kookma/tamasha/styles/progressbar","created":"20210110170610895","modified":"20210131184913509","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":"\\define progress-light() #e5e5e5\n\\define progress-dark() #494949\n\\define bar-light() #9a9a9a\n\\define bar-dark() #d79921\n\n<$vars \nlight=\"Blanca Blue Muted ContrastLight DesertSand Rocker SolarFlare SolarizedLight SpartanDay Vanilla [[Notebook Beige]] [[Notebook Grey]]\"\ndark=\"ContrastDark CupertinoDark DarkPhotos GruvboxDark Nord SolarizedDark SpartanNight Twilight\"\npalette={{{ [[$:/palette]get[text]removeprefix[$:/palettes/]] }}}\n>\n<$list filter=\"[enlist<dark>search:title<palette>then[dark]else[light]]\" variable=colorMacro>\n\n.tamasha-progress{\n\tbackground-color:<$macrocall $name={{{[<colorMacro>addprefix[progress-]]}}} />;\n}\n.tamasha-progress .bar{\n\tbackground-color:<$macrocall $name={{{[<colorMacro>addprefix[bar-]]}}} />;\n}\n</$list>\n</$vars>"},"$:/plugins/kookma/tamasha/styles/scrollbars":{"title":"$:/plugins/kookma/tamasha/styles/scrollbars","text":"/* custom scrollbar */\n.tamasha-popup::-webkit-scrollbar {\n width: 20px;\n}\n\n.tamasha-popup::-webkit-scrollbar-track {\n background-color: transparent;\n}\n\n.tamasha-popup::-webkit-scrollbar-thumb {\n background-color: #666666;\n border-radius: 20px;\n border: 6px solid transparent;\n background-clip: content-box;\n}\n\n.tamasha-popup::-webkit-scrollbar-thumb:hover {\n background-color: #909090; /* #a8bbbf;*/\n}","created":"20210101193022396","modified":"20210131184304342","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/slidelist":{"title":"$:/plugins/kookma/tamasha/styles/slidelist","text":".tamasha-popup{\n\tbackground-color: #323232;\n\tfont-size:1em;\n\tbox-shadow:0 4px 10px 0 rgba(0,0,0,0.2),0 4px 20px 0 rgba(0,0,0,0.19);\n}\n\n.tamasha-popup .tc-btn-invisible{\n\tcolor:#b9b9b9;\n}\n\n.tamasha-popup button:focus{\n\toutline:none;\n}\n\n/* change number color*/\n.tamasha-popup li::marker { \n\tcolor:#b9b9b9;\n}\n\n/* slide in view: current slide in the slideList */\n.tamasha-popup .currentSlide .tc-btn-invisible,\n.tamasha-popup .currentSlide::marker {\n\tcolor:#fff;\n\tfont-size:0.9em;\n}\n\n","created":"20210101161416909","modified":"20210131180440752","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/styles/slidenumber":{"title":"$:/plugins/kookma/tamasha/styles/slidenumber","created":"20210101212605633","modified":"20210129122518439","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":".tamasha-number-circle {\n\tborder: 0.1em solid #666;\n\tfont-size: 1.2em;\n\tfont-weight:300;\n\tbackground: <<colour background>>;\n\tcolor: #666;\n\topacity:0.9;\n}"},"$:/plugins/kookma/tamasha/styles/uisetting":{"title":"$:/plugins/kookma/tamasha/styles/uisetting","created":"20210202180320935","modified":"20210202180601520","tags":"$:/tags/Stylesheet","type":"text/vnd.tiddlywiki","text":".noslidenumber .tamasha-slide-number{display:none}\n.noprogressbar .tamasha-progress{display:none}\n.nonavbutton .tamasha-viewer-nav {display:none}"},"$:/plugins/kookma/tamasha/templates/nolink":{"title":"$:/plugins/kookma/tamasha/templates/nolink","created":"20210131183020336","modified":"20210131183212076","tags":"$:/tags/Tamasha/Template","type":"text/vnd.tiddlywiki","text":"\\define tv-wikilinks() no\n<h2 class=\"title\"><$transclude tiddler=<<currentSlide>> field=title /></h2>\n<$transclude tiddler=<<currentSlide>> mode=block/>"},"$:/plugins/kookma/tamasha/templates/simple":{"title":"$:/plugins/kookma/tamasha/templates/simple","created":"20201231073430523","modified":"20210131183003513","tags":"$:/tags/Tamasha/Template","type":"text/vnd.tiddlywiki","text":"<$transclude tiddler=<<currentSlide>> mode=block/>"},"$:/plugins/kookma/tamasha/templates/vanilla":{"title":"$:/plugins/kookma/tamasha/templates/vanilla","created":"20210126130000247","modified":"20210131182956741","tags":"$:/tags/Tamasha/Template","type":"text/vnd.tiddlywiki","text":"<h2 class=\"title\"><$link to=<<currentSlide>> /></h2>\n<$transclude tiddler=<<currentSlide>> mode=block/>"},"$:/plugins/kookma/tamasha/themes/composite":{"title":"$:/plugins/kookma/tamasha/themes/composite","text":"vanda: vanda\nmitra: mitra\nkimia: kimia\nroya: vanda roya\nhoma: vanda homa\nleila: mitra leila\nirana: vanda irana","type":"application/x-tiddler-dictionary","created":"20210124104008856","modified":"20210131181446453","tags":"$:/tags/Tamasha/Theme"},"$:/plugins/kookma/tamasha/themes/homa":{"title":"$:/plugins/kookma/tamasha/themes/homa","text":".homa .tamasha-presentation-frame, \n.homa .tamasha-overlay {\n\tcolor:#ffffff;\n/*\tbackground-color:#A52A2A;*/\n\tbackground-image: linear-gradient(to left, #4d154f, #3b0f2f, #230c18, #000000);\n}\n.homa .tamasha-presentation-frame a:link {\n\tcolor: yellow;\n}\n.homa .tamasha-presentation-frame a:visited {\n\tcolor: #00ff00;\n}\n.homa .tamasha-slide-content pre {\n\tbackground-color: #230c18;\n}\n/* ---------- tables ---------- */\n.homa .tamasha-slide-content table th, \n.homa .tamasha-slide-content table thead td {\n\tbackground-color: #4d154f;\n}\n.homa .tamasha-slide-content table tfoot td{\n\tbackground-color: #4d154f;\n}","created":"20210124104512255","modified":"20210131181305897","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/themes/irana":{"title":"$:/plugins/kookma/tamasha/themes/irana","text":".irana .tamasha-presentation-frame, \n.irana .tamasha-overlay {\ncolor:#efefef;\nbackground-color: #ee5522;\nbackground-image: url(\"data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' viewBox='0 0 2000 1500'%3E%3Cdefs%3E%3CradialGradient id='a' gradientUnits='objectBoundingBox'%3E%3Cstop offset='0' stop-color='%23FB3'/%3E%3Cstop offset='1' stop-color='%23ee5522'/%3E%3C/radialGradient%3E%3ClinearGradient id='b' gradientUnits='userSpaceOnUse' x1='0' y1='750' x2='1550' y2='750'%3E%3Cstop offset='0' stop-color='%23f7882b'/%3E%3Cstop offset='1' stop-color='%23ee5522'/%3E%3C/linearGradient%3E%3Cpath id='s' fill='url(%23b)' d='M1549.2 51.6c-5.4 99.1-20.2 197.6-44.2 293.6c-24.1 96-57.4 189.4-99.3 278.6c-41.9 89.2-92.4 174.1-150.3 253.3c-58 79.2-123.4 152.6-195.1 219c-71.7 66.4-149.6 125.8-232.2 177.2c-82.7 51.4-170.1 94.7-260.7 129.1c-90.6 34.4-184.4 60-279.5 76.3C192.6 1495 96.1 1502 0 1500c96.1-2.1 191.8-13.3 285.4-33.6c93.6-20.2 185-49.5 272.5-87.2c87.6-37.7 171.3-83.8 249.6-137.3c78.4-53.5 151.5-114.5 217.9-181.7c66.5-67.2 126.4-140.7 178.6-218.9c52.3-78.3 96.9-161.4 133-247.9c36.1-86.5 63.8-176.2 82.6-267.6c18.8-91.4 28.6-184.4 29.6-277.4c0.3-27.6 23.2-48.7 50.8-48.4s49.5 21.8 49.2 49.5c0 0.7 0 1.3-0.1 2L1549.2 51.6z'/%3E%3Cg id='g'%3E%3Cuse href='%23s' transform='scale(0.12) rotate(60)'/%3E%3Cuse href='%23s' transform='scale(0.2) rotate(10)'/%3E%3Cuse href='%23s' transform='scale(0.25) rotate(40)'/%3E%3Cuse href='%23s' transform='scale(0.3) rotate(-20)'/%3E%3Cuse href='%23s' transform='scale(0.4) rotate(-30)'/%3E%3Cuse href='%23s' transform='scale(0.5) rotate(20)'/%3E%3Cuse href='%23s' transform='scale(0.6) rotate(60)'/%3E%3Cuse href='%23s' transform='scale(0.7) rotate(10)'/%3E%3Cuse href='%23s' transform='scale(0.835) rotate(-40)'/%3E%3Cuse href='%23s' transform='scale(0.9) rotate(40)'/%3E%3Cuse href='%23s' transform='scale(1.05) rotate(25)'/%3E%3Cuse href='%23s' transform='scale(1.2) rotate(8)'/%3E%3Cuse href='%23s' transform='scale(1.333) rotate(-60)'/%3E%3Cuse href='%23s' transform='scale(1.45) rotate(-30)'/%3E%3Cuse href='%23s' transform='scale(1.6) rotate(10)'/%3E%3C/g%3E%3C/defs%3E%3Cg transform='rotate(0 0 0)'%3E%3Cg transform='rotate(0 0 0)'%3E%3Ccircle fill='url(%23a)' r='3000'/%3E%3Cg opacity='0.5'%3E%3Ccircle fill='url(%23a)' r='2000'/%3E%3Ccircle fill='url(%23a)' r='1800'/%3E%3Ccircle fill='url(%23a)' r='1700'/%3E%3Ccircle fill='url(%23a)' r='1651'/%3E%3Ccircle fill='url(%23a)' r='1450'/%3E%3Ccircle fill='url(%23a)' r='1250'/%3E%3Ccircle fill='url(%23a)' r='1175'/%3E%3Ccircle fill='url(%23a)' r='900'/%3E%3Ccircle fill='url(%23a)' r='750'/%3E%3Ccircle fill='url(%23a)' r='500'/%3E%3Ccircle fill='url(%23a)' r='380'/%3E%3Ccircle fill='url(%23a)' r='250'/%3E%3C/g%3E%3Cg transform='rotate(0 0 0)'%3E%3Cuse href='%23g' transform='rotate(10)'/%3E%3Cuse href='%23g' transform='rotate(120)'/%3E%3Cuse href='%23g' transform='rotate(240)'/%3E%3C/g%3E%3Ccircle fill-opacity='0.1' fill='url(%23a)' r='3000'/%3E%3C/g%3E%3C/g%3E%3C/svg%3E\");\nbackground-attachment: fixed;\nbackground-size: cover;\n/*https://www.svgbackgrounds.com*/\n}\n\n.irana .tamasha-slide-content pre {\n background-color: #2e2e2e;\n}\n/* ---------- tables ---------- */\n.irana .tamasha-slide-content table th, \n.irana .tamasha-slide-content table thead td {\n background-color: #ee5522;\n}\n.irana .tamasha-slide-content table tfoot td {\n\tbackground-color: #ef5d23;\n}\n\n.irana .tamasha-presentation-frame a:link {\n\tcolor:#efefef;\n}","created":"20210124105817944","modified":"20210201062332534","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/themes/kimia":{"title":"$:/plugins/kookma/tamasha/themes/kimia","text":"/* hide ui elements */\n.kimia .tamasha-slide-number,\n.kimia .tamasha-viewer-nav {\n\tdisplay:none;\n}\n\n/*\n.kimia .tamasha-progress {\n\tdisplay:none;\n}\n*/\n\n.kimia .tamasha-slide-content {\t\n}\n\n\n.kimia .tamasha-slide-content .title{ /* used with vanilla template*/\n font-weight:300;\n}\n","created":"20210124154218942","modified":"20210202173934083","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/themes/leila":{"title":"$:/plugins/kookma/tamasha/themes/leila","text":".leila .tamasha-presentation-frame, \n.leila .tamasha-overlay {\ncolor:#ffffff;\n/*background-color:#A52A2A;*/\nbackground: linear-gradient(90deg, #4b6cb7 0%, #182848 100%);\n}\n\n.leila .tamasha-presentation-frame a:link {\n color: yellow;\n}\n\n.leila .tamasha-presentation-frame a:visited {\n color: #00ff00;\n}\n\n.leila .tamasha-slide-content pre {\n background-color: #182848;\n}\n\n/* ---------- tables ---------- */\n.leila .tamasha-slide-content table th, \n.leila .tamasha-slide-content table thead td {\n background-color: #4b6cb7;\n}","created":"20210124104852479","modified":"20210131181305924","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/themes/mitra":{"title":"$:/plugins/kookma/tamasha/themes/mitra","text":".mitra .tamasha-slide-content {\n\tdisplay: flex;\n\talign-items: center;\n\tjustify-content: center;\n\ttext-align:center;\n}\n\n.mitra .tamasha-slide-content{\n\tfont-weight:500;\n}\n\n.mitra .tamasha-slide-content .title{ /* used with vanilla template*/\n font-size:1.5em;\n line-height:1.5em;\n font-weight:500;\n}\n\n.mitra .tamasha-slide-content ol,\n.mitra .tamasha-slide-content ul{\n\ttext-align:left;\n}\n/* ---------- code ---------- */\n.mitra .tamasha-slide-content code,\n.mitra .tamasha-slide-content pre {\n\tfont-size:0.9em;\n\tline-height:1.2em;\n\ttext-align:left;\n}\n\n/* ---------- tables ---------- */\n.mitra .tamasha-slide-content table td,\n.mitra .tamasha-slide-content table th {\n\tfont-size:0.8em;\n\tfont-weight:400;\n}\n\n.mitra .tamasha-slide-content table caption {\n\tcaption-side:top;\n\tfont-size:1em;\n\tmargin-bottom:0.2rem;\n}\n","created":"20210118164232308","modified":"20210202171514557","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/themes/roya":{"title":"$:/plugins/kookma/tamasha/themes/roya","text":".roya .tamasha-presentation-frame, \n.roya .tamasha-overlay {\ncolor:#000;\nbackground-color: #ffaa00;\nbackground-image: url(\"data:image/svg+xml,%3Csvg xmlns='http://www.w3.org/2000/svg' width='100%25' height='100%25' viewBox='0 0 1600 800'%3E%3Cg %3E%3Cpath fill='%23ffb100' d='M486 705.8c-109.3-21.8-223.4-32.2-335.3-19.4C99.5 692.1 49 703 0 719.8V800h843.8c-115.9-33.2-230.8-68.1-347.6-92.2C492.8 707.1 489.4 706.5 486 705.8z'/%3E%3Cpath fill='%23ffb800' d='M1600 0H0v719.8c49-16.8 99.5-27.8 150.7-33.5c111.9-12.7 226-2.4 335.3 19.4c3.4 0.7 6.8 1.4 10.2 2c116.8 24 231.7 59 347.6 92.2H1600V0z'/%3E%3Cpath fill='%23ffbf00' d='M478.4 581c3.2 0.8 6.4 1.7 9.5 2.5c196.2 52.5 388.7 133.5 593.5 176.6c174.2 36.6 349.5 29.2 518.6-10.2V0H0v574.9c52.3-17.6 106.5-27.7 161.1-30.9C268.4 537.4 375.7 554.2 478.4 581z'/%3E%3Cpath fill='%23ffc500' d='M0 0v429.4c55.6-18.4 113.5-27.3 171.4-27.7c102.8-0.8 203.2 22.7 299.3 54.5c3 1 5.9 2 8.9 3c183.6 62 365.7 146.1 562.4 192.1c186.7 43.7 376.3 34.4 557.9-12.6V0H0z'/%3E%3Cpath fill='%23ffcc00' d='M181.8 259.4c98.2 6 191.9 35.2 281.3 72.1c2.8 1.1 5.5 2.3 8.3 3.4c171 71.6 342.7 158.5 531.3 207.7c198.8 51.8 403.4 40.8 597.3-14.8V0H0v283.2C59 263.6 120.6 255.7 181.8 259.4z'/%3E%3Cpath fill='%23ffd624' d='M1600 0H0v136.3c62.3-20.9 127.7-27.5 192.2-19.2c93.6 12.1 180.5 47.7 263.3 89.6c2.6 1.3 5.1 2.6 7.7 3.9c158.4 81.1 319.7 170.9 500.3 223.2c210.5 61 430.8 49 636.6-16.6V0z'/%3E%3Cpath fill='%23ffe038' d='M454.9 86.3C600.7 177 751.6 269.3 924.1 325c208.6 67.4 431.3 60.8 637.9-5.3c12.8-4.1 25.4-8.4 38.1-12.9V0H288.1c56 21.3 108.7 50.6 159.7 82C450.2 83.4 452.5 84.9 454.9 86.3z'/%3E%3Cpath fill='%23ffeb49' d='M1600 0H498c118.1 85.8 243.5 164.5 386.8 216.2c191.8 69.2 400 74.7 595 21.1c40.8-11.2 81.1-25.2 120.3-41.7V0z'/%3E%3Cpath fill='%23fff558' d='M1397.5 154.8c47.2-10.6 93.6-25.3 138.6-43.8c21.7-8.9 43-18.8 63.9-29.5V0H643.4c62.9 41.7 129.7 78.2 202.1 107.4C1020.4 178.1 1214.2 196.1 1397.5 154.8z'/%3E%3Cpath fill='%23ffff66' d='M1315.3 72.4c75.3-12.6 148.9-37.1 216.8-72.4h-723C966.8 71 1144.7 101 1315.3 72.4z'/%3E%3C/g%3E%3C/svg%3E\");\nbackground-attachment: fixed;\nbackground-size: cover;\n/*https://www.svgbackgrounds.com*/\n}","created":"20210124102959224","modified":"20210131181305948","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/themes/vanda":{"title":"$:/plugins/kookma/tamasha/themes/vanda","text":"/* ---------- code ---------- */\n.vanda .tamasha-slide-content code,\n.vanda .tamasha-slide-content pre {\n\tfont-size:0.9em;\n\tline-height:1.2em;\n\ttext-align:left;\n}\n\n/* ---------- tables ---------- */\n.vanda .tamasha-slide-content table td,\n.vanda .tamasha-slide-content table th {\n\tfont-size:0.8em;\n\tfont-weight:400;\n}\n\n.vanda .tamasha-slide-content table caption {\n\tcaption-side:top;\n\tfont-size:1em;\n\tmargin-bottom:0.2rem;\n}\n","created":"20210120160001447","modified":"20210202171418172","tags":"$:/tags/Stylesheet","type":"text/css"},"$:/plugins/kookma/tamasha/ui/buttons":{"title":"$:/plugins/kookma/tamasha/ui/buttons","created":"20201231162035877","modified":"20210129122518531","type":"text/vnd.tiddlywiki","text":"\\define btn-toggle-presentation-mode()\n<$button class=\"tc-btn-invisible tamasha-nav-button\" actions=<<toggle-presentation-mode>> > {{$:/core/images/full-screen-button}} </$button>\n\\end\n\\define btn-toggle-author-mode()\n<$button class=\"tc-btn-invisible tamasha-nav-button\" actions=<<toggle-author-mode>> >{{$:/core/images/close-button}}</$button>\n\\end\n\n<div class=\"tamasha-viewer-nav\">\n<$button class=\"tc-btn-invisible tamasha-nav-button\" actions=<<previousSlide>> >{{$:/core/images/left-arrow}}\n</$button>\n<$button class=\"tc-btn-invisible tamasha-nav-button\" actions=<<nextSlide>> >{{$:/core/images/right-arrow}}\n</$button>\n<div class=\"tamasha-toolbar-separator\"> </div>\n<$button class=\"tc-btn-invisible tamasha-nav-button\" \n actions=\"\"\"<$action-listops $tiddler=<<stateListboxTid>> $field=\"text\" $subfilter=\"+[toggle[slideList]]\" />\"\"\" >\n\t {{$:/core/images/down-arrow}}\n</$button>\n<div class=\"tamasha-toolbar-separator\"> </div>\n<$list filter=\"[<statePresentTid>getindex[mode]match[presentation-mode]]\" \n variable=ignore emptyMessage=\"\"\"<<btn-toggle-presentation-mode>>\"\"\" \t>\n <<btn-toggle-author-mode>>\n</$list>\n</div>"},"$:/plugins/kookma/tamasha/ui/content":{"title":"$:/plugins/kookma/tamasha/ui/content","created":"20201231053912984","modified":"20210129122518539","type":"text/vnd.tiddlywiki","text":"<div class=\"tamasha-slide-content\">\n<<navigator>>\n</div>\n"},"$:/plugins/kookma/tamasha/ui/footer":{"title":"$:/plugins/kookma/tamasha/ui/footer","created":"20210115074727216","modified":"20210129122518546","tags":"","type":"text/vnd.tiddlywiki","text":"<div class=\"tamasha-slide-footer\">\n<span><<slideFooter>></span>\n</div>"},"$:/plugins/kookma/tamasha/ui/progressbar":{"title":"$:/plugins/kookma/tamasha/ui/progressbar","created":"20210112195456179","modified":"20210129165936287","tags":"","type":"text/vnd.tiddlywiki","text":"\\define calculate-progress()\n<span class=\"bar\"\n style={{{ [tag<mainTag>allbefore{$(stateNavigatorTid)$!!text}count[]add[1]divide<SlidesCount>fixed[2]addprefix[transform:scaleX(]addsuffix[);]] }}} >\n</span>\n\\end\n\n<div class=\"tamasha-progress\">\n\t<<calculate-progress>>\n</div>\n"},"$:/plugins/kookma/tamasha/ui/slide":{"title":"$:/plugins/kookma/tamasha/ui/slide","created":"20210114052937118","modified":"20210131180949356","tags":"","type":"text/vnd.tiddlywiki","text":"<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/content\" mode=block/>\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/footer\" mode=block/>\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/buttons\" mode=block/>\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/slidelist\" mode=block/>\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/slidenumber\" mode=block/>\n<$transclude tiddler=\"$:/plugins/kookma/tamasha/ui/progressbar\" mode=block/>"},"$:/plugins/kookma/tamasha/ui/slidelist":{"title":"$:/plugins/kookma/tamasha/ui/slidelist","created":"20201231205342137","modified":"20210131180949432","tags":"$:/tags/Macro/Tamasha","type":"text/vnd.tiddlywiki","text":"\\define stateListboxTid() $:/state/tamasha/listbox/$(currentTiddler)$/$(myState)$\n\n\\define gotoSlide() \n<$action-setfield $tiddler=<<stateNavigatorTid>> text=<<selectedTid>> />\n<$action-listops $tiddler=<<stateListboxTid>> $field=\"text\" $subfilter=\"+[toggle[slideList]]\" />\n<$action-sendmessage $message=\"tm-focus-selector\" $param=<<presentation-frame-focus-selector>> />\n\\end\n\n\n<$list filter=\"[<stateListboxTid>get[text]match[slideList]]\" variable=\"null\">\n<div class=\"tamasha-popup\">\n<ol>\n<$list filter=\"[tag<mainTag>]\" variable=\"selectedTid\">\n<li class={{{[<stateNavigatorTid>get[text]match<selectedTid>then[currentSlide]]}}} >\n<$button class=\"tc-btn-invisible\" actions=<<gotoSlide>> >\n<$view tiddler=<<selectedTid>> field=\"title\"/>\n</$button>\n</li>\n</$list>\n</ol>\n</div>\n</$list>\n"},"$:/plugins/kookma/tamasha/ui/slidenumber":{"title":"$:/plugins/kookma/tamasha/ui/slidenumber","created":"20210102082138734","modified":"20210129122518568","type":"text/vnd.tiddlywiki","text":"\\define calc-slideNumber()\n<$vars currentTid={{{[<stateNavigatorTid>get[text]] ~[tag<mainTag>first[]]}}}>\n<$text text={{{ [tag<mainTag>allbefore:include<currentTid>count[]pad[2]] }}} />\n</$vars>\n\\end\n<div class=\"tamasha-slide-number\">\n<div class=\"tamasha-number-circle\"><<calc-slideNumber>></div>\n</div>"}}}
{
"tiddlers": {
"$:/plugins/nico/notebook-mobile/js/notebookSidebarNav.js": {
"title": "$:/plugins/nico/notebook-mobile/js/notebookSidebarNav.js",
"text": "/*\\\ntitle: $:/themes/nico/notebook-mobile/js/notebookSidebarNav.js\ntype: application/javascript\nmodule-type: global\n\nCloses the notebook sidebar on mobile when navigating\n\n\\*/\n(function(){\n\n /*jslint node: true, browser: true */\n /*global $tw: false */\n \"use strict\";\n\n const isOnMobile = () => {\n\t\tlet bottombar = document.querySelector('.nc-bottombar');\n\t\treturn bottombar && bottombar.getClientRects().length > 0;\n };\n\n const closeSidebar = () => {\n\t\t$tw.wiki.setText(\"$:/state/notebook-sidebar\", \"text\", undefined, \"no\");\n };\n\n const closeSidebarOnMobile = () => {\n\t\tif (isOnMobile()) {\n console.log(\"closing sidebar\");\n\t\t\tcloseSidebar();\n\t\t};\n };\n\n const setup = () => {\n\t\t$tw.hooks.addHook(\"th-navigating\",function(event) {\n\t\t\tcloseSidebarOnMobile();\n\t\t\treturn event;\n\t\t});\n };\n\n setup();\n\n exports.closeNotebookSidebar = closeSidebar;\n})();\n",
"type": "application/javascript",
"module-type": "global",
"created": "20200430151329085",
"modified": "20201210200127495",
"tags": ""
}
}
}
{
"tiddlers": {
"$:/plugins/snowgoon88/edit-comptext/config": {
"title": "$:/plugins/snowgoon88/edit-comptext/config",
"type": "application/json",
"text": "{\n \"configuration\": {\n \"caseSensitive\" : false,\n \"maxMatch\" : 8,\n \"minPatLength\" : 2,\n \"triggerKeyCombination\" : \"^ \"\n },\n \"template\": [{\n \"pattern\": \"[[\",\n \"filter\": \"[all[tiddlers]!is[system]]\",\n \"start\": \"[[\",\n \"end\": \"]]\"\n }\n ]\n}\n"
},
"$:/plugins/snowgoon88/edit-comptext/edit-comptext.js": {
"title": "$:/plugins/snowgoon88/edit-comptext/edit-comptext.js",
"text": "/*\\\ntitle: $:/plugins/snowgoon88/edit-comptext/edit-comptext.js\ntype: application/javascript\nmodule-type: widget\n\nTaken from original Edit-text widget\nVersion 5.1.13 of TW5\nAdd link-to-tiddler completion in framed.js and simple.js\n\nTODO : CHECK usefull, and particularly save_changes after every input ??\nTODO : where should popupNode be created in the DOM ?\nTODO : check that options are valid (numeric ?)\nvar isNumeric = function(n) {\n return !isNaN(parseFloat(n)) && isFinite(n);\n};\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar editTextWidgetFactory = require(\"$:/core/modules/editor/factory.js\").editTextWidgetFactory,\n\tFramedCompEngine = require(\"$:/plugins/snowgoon88/edit-comptext/framed.js\").FramedCompEngine,\n\tSimpleCompEngine = require(\"$:/plugins/snowgoon88/edit-comptext/simple.js\").SimpleCompEngine;\n\nexports[\"edit-comptext\"] = editTextWidgetFactory(FramedCompEngine,SimpleCompEngine);\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/snowgoon88/edit-comptext/examples": {
"title": "$:/plugins/snowgoon88/edit-comptext/examples",
"text": "The configuration file [[$:/plugins/snowgoon88/edit-comptext/config]] allow you to use the completion plugin for various usages. Here are some examples...\n\n!! Link Completion\nThe basic and default usage. Completion is triggered by `[[`, the search is among all non-system tiddlers. When selected, the `title` of the tiddler is inserted in the text, surrounded by `[[` and `]]`. This gives the following Completion Template.\n\n\n```\n{\n \"pattern\": \"[[\",\n \"title\": \"[all[tiddlers]!is[system]]\",\n \"start\": \"[[\",\n \"end\": \"]]\"\n}\t \n```\n\n\n!! Special macro completion\nI have a 'pnjin' macro that is invoked by `<<pnjin \"TiddlerPNJName\">>` where 'TiddlerPNJName is a tiddler that hold data about a PNJ. I use tiddlywiki to organise my Role Playing Games campaigns. So, I want `<p` to trigger the completion. The search is among all non-system tiddlers tagged `PNJ` and, once selected, the title of the tiddler must be inserted surrouned by `<<pnjin \\\"` and `\\\">>`. So...\n\n```\n{\n\t\"pattern\": \"<p\",\n \t\"title\": \"[tag[PNJ]!is[system]]\",\n \t\"start\": \"<<pnjin \\\"\",\n \t\"end\": \"\\\">>\"\n}\n```\n\n!! Insert some templates or stamp or snippets into text.\nI frequently use some text pattern and I want to insert them easily. So, I could create several tiddlers, tagged '$:stamp' and in their body are the piece of texte I want to insert. The titles of these tiddlers always start with `$:/config/stamp/` (i.e. $:/config/stamp/macro, $:/config/stamp/list1, $:/config/stamp/list2). I want to trigger the completion by using `<<`, then I only want to chose among the last part of the titles of tiddlers tagged `$:stamp` so I use a mask (`$:/config/stamp/`) to only display the last part of the tiddlers title. When selectected, the `body` of the tiddler is inserted, with no surrounding strings. As a results, the Completion Template is (notice the `body` field):\n\n```\n{\n \"pattern\": \"<<\",\n \"body\": \"[tag[$:stamp]]\",\n \"mask\" : \"$:/config/stamp/\",\n \"start\": \"\",\n \"end\": \"\"\n}\n```\n\n!! And you ?\nIf you have funny usages of completion, let me know. If you'd like to do something that is not yet possible, let me know...\n\nmail : snowgoon88(AT)gmail(DOT)com"
},
"$:/plugins/snowgoon88/edit-comptext/framed.js": {
"text": "/*\\\ntitle: $:/plugins/snowgoon88/edit-comptext/framed.js\ntype: application/javascript\nmodule-type: library\n\nTaken from $:/core/modules/editor/engines/framed.js\nText editor engine based on a simple input or textarea within an iframe. This is done so that the selection is preserved even when clicking away from the textarea\n\n\\*/\n(function(){\n\n/*jslint node: true,browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HEIGHT_VALUE_TITLE = \"$:/config/TextEditor/EditorHeight/Height\";\n\n// Configuration tiddler\nvar COMPLETION_OPTIONS = \"$:/plugins/snowgoon88/edit-comptext/config\";\nvar Completion = require(\"$:/plugins/snowgoon88/edit-comptext/completion.js\").Completion;\n\t\nfunction FramedCompEngine(options) {\n //DEBUG console.log( \"==FramedCompEngine::creation\" );\n\t// Save our options\n\toptions = options || {};\n\tthis.widget = options.widget;\n\tthis.value = options.value;\n\tthis.parentNode = options.parentNode;\n\tthis.nextSibling = options.nextSibling;\n\n\t// Completion\n\t// Load Completion configuration as JSON\n this._configOptions = $tw.wiki.getTiddlerData( COMPLETION_OPTIONS, {} );\n\t\n\t// Create our hidden dummy text area for reading styles\n\tthis.dummyTextArea = this.widget.document.createElement(\"textarea\");\n\tif(this.widget.editClass) {\n\t\tthis.dummyTextArea.className = this.widget.editClass;\n\t}\n\tthis.dummyTextArea.setAttribute(\"hidden\",\"true\");\n\tthis.parentNode.insertBefore(this.dummyTextArea,this.nextSibling);\n\tthis.widget.domNodes.push(this.dummyTextArea);\n\t// Create dummy popup for reading its styles\n\t//this._dummyCompletion = new Completion( this.widget, this.dummyTextArea, this._configOptions);\n\t//REMOVEthis._dummyCompletion.setAttribute(\"hidden\",\"true\");\n\t\n\t// Create the iframe\n\tthis.iframeNode = this.widget.document.createElement(\"iframe\");\n\tthis.parentNode.insertBefore(this.iframeNode,this.nextSibling);\n\tthis.iframeDoc = this.iframeNode.contentWindow.document;\n\t// (Firefox requires us to put some empty content in the iframe)\n\tthis.iframeDoc.open();\n\tthis.iframeDoc.write(\"\");\n\tthis.iframeDoc.close();\n\t// Style the iframe\n\tthis.iframeNode.className = this.dummyTextArea.className;\n\tthis.iframeNode.style.border = \"none\";\n\tthis.iframeNode.style.padding = \"0\";\n\tthis.iframeNode.style.resize = \"none\";\n\tthis.iframeDoc.body.style.margin = \"0\";\n\tthis.iframeDoc.body.style.padding = \"0\";\n\tthis.widget.domNodes.push(this.iframeNode);\n\t// Construct the textarea or input node\n\tvar tag = this.widget.editTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"input\";\n\t}\n\tthis.domNode = this.iframeDoc.createElement(tag);\n\t// Set the text\n\tif(this.widget.editTag === \"textarea\") {\n\t\tthis.domNode.appendChild(this.iframeDoc.createTextNode(this.value));\n\t} else {\n\t\tthis.domNode.value = this.value;\n\t}\n\t// Set the attributes\n\tif(this.widget.editType) {\n\t\tthis.domNode.setAttribute(\"type\",this.widget.editType);\n\t}\n\tif(this.widget.editPlaceholder) {\n\t\tthis.domNode.setAttribute(\"placeholder\",this.widget.editPlaceholder);\n\t}\n\tif(this.widget.editSize) {\n\t\tthis.domNode.setAttribute(\"size\",this.widget.editSize);\n\t}\n\tif(this.widget.editRows) {\n\t\tthis.domNode.setAttribute(\"rows\",this.widget.editRows);\n\t}\n\t// Copy the styles from the dummy textarea\n\tthis.copyStyles();\n\t// Add event listeners\n\t$tw.utils.addEventListeners(this.domNode,[\n\t\t{name: \"input\",handlerObject: this,handlerMethod: \"handleInputEvent\"},\n\t\t{name: \"keydown\",handlerObject: this.widget,handlerMethod: \"handleKeydownEvent\"}\n\t]);\n\t// Insert the element into the DOM\n\tthis.iframeDoc.body.appendChild(this.domNode);\n\n\t// add Completion popup\n this._completion = new Completion( this.widget, this.domNode, this._configOptions, this.dummyTextArea, this.iframeNode.offsetTop, this.iframeNode.offsetLeft );\n\t// print iframe offset\n\t//DEBUG console.log( \" __iframe.offsetLeft: \"+this.iframeNode.offsetLeft );\n //DEBUG console.log( \" __iframe.offsetTop: \"+this.iframeNode.offsetTop );\n \n\t// Copy all styles from dummyCompletion\n\t//$tw.utils.copyStyles(this._dummyCompletion._popNode, this._completion._popNode);\n\t// Override the ones that should not be set the same as the dummy textarea\n\t//this._completion._popNode.style.display = \"block\";\n\t//this._completion._popNode.style.width = \"100%\";\n\t//this._completion._popNode.style.margin = \"0\";\n\t// In Chrome setting -webkit-text-fill-color overrides the placeholder text colour\n\t//this._completion._popNode.style[\"-webkit-text-fill-color\"] = \"currentcolor\";\n \n}\n\n/*\nCopy styles from the dummy text area to the textarea in the iframe\n*/\nFramedCompEngine.prototype.copyStyles = function() {\n\t// Copy all styles\n\t$tw.utils.copyStyles(this.dummyTextArea,this.domNode);\n\t// Override the ones that should not be set the same as the dummy textarea\n\tthis.domNode.style.display = \"block\";\n\tthis.domNode.style.width = \"100%\";\n\tthis.domNode.style.margin = \"0\";\n\t// In Chrome setting -webkit-text-fill-color overrides the placeholder text colour\n\tthis.domNode.style[\"-webkit-text-fill-color\"] = \"currentcolor\";\n};\n\n/*\nSet the text of the engine if it doesn't currently have focus\n*/\nFramedCompEngine.prototype.setText = function(text,type) {\n\tif(!this.domNode.isTiddlyWikiFakeDom) {\n\t\tif(this.domNode.ownerDocument.activeElement !== this.domNode) {\n\t\t\tthis.domNode.value = text;\n\t\t}\n\t\t// Fix the height if needed\n\t\tthis.fixHeight();\n\t}\n};\n\n/*\nGet the text of the engine\n*/\nFramedCompEngine.prototype.getText = function() {\n\treturn this.domNode.value;\n};\n\n/*\nFix the height of textarea to fit content\n*/\nFramedCompEngine.prototype.fixHeight = function() {\n\t// Make sure styles are updated\n\tthis.copyStyles();\n\t// Adjust height\n\tif(this.widget.editTag === \"textarea\") {\n\t\tif(this.widget.editAutoHeight) {\n\t\t\tif(this.domNode && !this.domNode.isTiddlyWikiFakeDom) {\n\t\t\t\tvar newHeight = $tw.utils.resizeTextAreaToFit(this.domNode,this.widget.editMinHeight);\n\t\t\t\tthis.iframeNode.style.height = (newHeight + 14) + \"px\"; // +14 for the border on the textarea\n\t\t\t}\n\t\t} else {\n\t\t\tvar fixedHeight = parseInt(this.widget.wiki.getTiddlerText(HEIGHT_VALUE_TITLE,\"400px\"),10);\n\t\t\tfixedHeight = Math.max(fixedHeight,20);\n\t\t\tthis.domNode.style.height = fixedHeight + \"px\";\n\t\t\tthis.iframeNode.style.height = (fixedHeight + 14) + \"px\";\n\t\t}\n\t}\n};\n\n/*\nFocus the engine node\n*/\nFramedCompEngine.prototype.focus = function() {\n\tif(this.domNode.focus && this.domNode.select) {\n\t\tthis.domNode.focus();\n\t\tthis.domNode.select();\n\t}\n};\n\n/*\nHandle a dom \"input\" event which occurs when the text has changed\n*/\nFramedCompEngine.prototype.handleInputEvent = function(event) {\n //DEBUG console.log( \"__framed.js::handleInputEvent\");\n\tthis.widget.saveChanges(this.getText());\n\tthis.fixHeight();\n\treturn true;\n};\n\n/*\nCreate a blank structure representing a text operation\n*/\nFramedCompEngine.prototype.createTextOperation = function() {\n\tvar operation = {\n\t\ttext: this.domNode.value,\n\t\tselStart: this.domNode.selectionStart,\n\t\tselEnd: this.domNode.selectionEnd,\n\t\tcutStart: null,\n\t\tcutEnd: null,\n\t\treplacement: null,\n\t\tnewSelStart: null,\n\t\tnewSelEnd: null\n\t};\n\toperation.selection = operation.text.substring(operation.selStart,operation.selEnd);\n\treturn operation;\n};\n\n/*\nExecute a text operation\n*/\nFramedCompEngine.prototype.executeTextOperation = function(operation) {\n\t// Perform the required changes to the text area and the underlying tiddler\n\tvar newText = operation.text;\n\tif(operation.replacement !== null) {\n\t\tnewText = operation.text.substring(0,operation.cutStart) + operation.replacement + operation.text.substring(operation.cutEnd);\n\t\t// Attempt to use a execCommand to modify the value of the control\n\t\tif(this.iframeDoc.queryCommandSupported(\"insertText\") && this.iframeDoc.queryCommandSupported(\"delete\") && !$tw.browser.isFirefox) {\n\t\t\tthis.domNode.focus();\n\t\t\tthis.domNode.setSelectionRange(operation.cutStart,operation.cutEnd);\n\t\t\tif(operation.replacement === \"\") {\n\t\t\t\tthis.iframeDoc.execCommand(\"delete\",false,\"\");\n\t\t\t} else {\n\t\t\t\tthis.iframeDoc.execCommand(\"insertText\",false,operation.replacement);\n\t\t\t}\n\t\t} else {\n\t\t\tthis.domNode.value = newText;\n\t\t}\n\t\tthis.domNode.focus();\n\t\tthis.domNode.setSelectionRange(operation.newSelStart,operation.newSelEnd);\n\t}\n\tthis.domNode.focus();\n\treturn newText;\n};\n\nexports.FramedCompEngine = FramedCompEngine;\n\n})();\n",
"type": "application/javascript",
"title": "$:/plugins/snowgoon88/edit-comptext/framed.js",
"module-type": "library"
},
"$:/plugins/snowgoon88/edit-comptext/simple.js": {
"text": "/*\\\ntitle: $:/plugins/snowgoon88/edit-comptext/simple.js\ntype: application/javascript\nmodule-type: library\n\nTaken from $:/core/modules/editor/engines/simple.js\nText editor engine based on a simple input or textarea tag\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar HEIGHT_VALUE_TITLE = \"$:/config/TextEditor/EditorHeight/Height\";\n\n// Configuration tiddler\nvar COMPLETION_OPTIONS = \"$:/plugins/snowgoon88/edit-comptext/config\";\nvar Completion = require(\"$:/plugins/snowgoon88/edit-comptext/completion.js\").Completion;\n\nfunction SimpleCompEngine(options) {\n\t// Save our options\n\toptions = options || {};\n\tthis.widget = options.widget;\n\tthis.value = options.value;\n\tthis.parentNode = options.parentNode;\n\tthis.nextSibling = options.nextSibling;\n\n // Completion\n\t// Load Completion configuration as JSON\n this._configOptions = $tw.wiki.getTiddlerData( COMPLETION_OPTIONS, {} );\n\t\n\t// Construct the textarea or input node\n\tvar tag = this.widget.editTag;\n\tif($tw.config.htmlUnsafeElements.indexOf(tag) !== -1) {\n\t\ttag = \"input\";\n\t}\n\tthis.domNode = this.widget.document.createElement(tag);\n\t// Set the text\n\tif(this.widget.editTag === \"textarea\") {\n\t\tthis.domNode.appendChild(this.widget.document.createTextNode(this.value));\n\t} else {\n\t\tthis.domNode.value = this.value;\n\t}\n\t// Set the attributes\n\tif(this.widget.editType) {\n\t\tthis.domNode.setAttribute(\"type\",this.widget.editType);\n\t}\n\tif(this.widget.editPlaceholder) {\n\t\tthis.domNode.setAttribute(\"placeholder\",this.widget.editPlaceholder);\n\t}\n\tif(this.widget.editSize) {\n\t\tthis.domNode.setAttribute(\"size\",this.widget.editSize);\n\t}\n\tif(this.widget.editRows) {\n\t\tthis.domNode.setAttribute(\"rows\",this.widget.editRows);\n\t}\n\tif(this.widget.editClass) {\n\t\tthis.domNode.className = this.widget.editClass;\n\t}\n\t// Add an input event handler\n\t$tw.utils.addEventListeners(this.domNode,[\n\t\t{name: \"focus\", handlerObject: this, handlerMethod: \"handleFocusEvent\"},\n\t\t{name: \"input\", handlerObject: this, handlerMethod: \"handleInputEvent\"}\n\t]);\n\t// Insert the element into the DOM\n\tthis.parentNode.insertBefore(this.domNode,this.nextSibling);\n\tthis.widget.domNodes.push(this.domNode);\n\n\t// add Completion popup\n this._completion = new Completion( this.widget, this.domNode, this._configOptions );\n}\n\n/*\nSet the text of the engine if it doesn't currently have focus\n*/\nSimpleCompEngine.prototype.setText = function(text,type) {\n\tif(!this.domNode.isTiddlyWikiFakeDom) {\n\t\tif(this.domNode.ownerDocument.activeElement !== this.domNode) {\n\t\t\tthis.domNode.value = text;\n\t\t}\n\t\t// Fix the height if needed\n\t\tthis.fixHeight();\n\t}\n};\n\n/*\nGet the text of the engine\n*/\nSimpleCompEngine.prototype.getText = function() {\n\treturn this.domNode.value;\n};\n\n/*\nFix the height of textarea to fit content\n*/\nSimpleCompEngine.prototype.fixHeight = function() {\n\tif(this.widget.editTag === \"textarea\") {\n\t\tif(this.widget.editAutoHeight) {\n\t\t\tif(this.domNode && !this.domNode.isTiddlyWikiFakeDom) {\n\t\t\t\t$tw.utils.resizeTextAreaToFit(this.domNode,this.widget.editMinHeight);\n\t\t\t}\n\t\t} else {\n\t\t\tvar fixedHeight = parseInt(this.widget.wiki.getTiddlerText(HEIGHT_VALUE_TITLE,\"400px\"),10);\n\t\t\tfixedHeight = Math.max(fixedHeight,20);\n\t\t\tthis.domNode.style.height = fixedHeight + \"px\";\n\t\t}\n\t}\n};\n\n/*\nFocus the engine node\n*/\nSimpleCompEngine.prototype.focus = function() {\n\tif(this.domNode.focus && this.domNode.select) {\n\t\tthis.domNode.focus();\n\t\tthis.domNode.select();\n\t}\n};\n\n/*\nHandle a dom \"input\" event which occurs when the text has changed\n*/\nSimpleCompEngine.prototype.handleInputEvent = function(event) {\n\tconsole.log( \"__simple.js::handleInputEvent\");\n\tthis.widget.saveChanges(this.getText());\n\tthis.fixHeight();\n\treturn true;\n};\n\n/*\nHandle a dom \"focus\" event\n*/\nSimpleCompEngine.prototype.handleFocusEvent = function(event) {\n\tif(this.widget.editFocusPopup) {\n\t\t$tw.popup.triggerPopup({\n\t\t\tdomNode: this.domNode,\n\t\t\ttitle: this.widget.editFocusPopup,\n\t\t\twiki: this.widget.wiki,\n\t\t\tforce: true\n\t\t});\n\t}\n\treturn true;\n};\n\n/*\nCreate a blank structure representing a text operation\n*/\nSimpleCompEngine.prototype.createTextOperation = function() {\n\treturn null;\n};\n\n/*\nExecute a text operation\n*/\nSimpleCompEngine.prototype.executeTextOperation = function(operation) {\n};\n\nexports.SimpleCompEngine = SimpleCompEngine;\n\n})();\n",
"type": "application/javascript",
"title": "$:/plugins/snowgoon88/edit-comptext/simple.js",
"module-type": "library"
},
"$:/plugins/snowgoon88/edit-comptext/cursor-position.js": {
"text": "/*\\\nModule that compute the pixel position of the cursor of a text\nements.\n\nTaken from https://github.com/component/textarea-caret-position\n\n(as https://github.com/kir/js_cursor_position is not updated any more)\n\\*/\n// Fonction anonyme executée immediatement\n( function(){\n \n// The properties that we copy into a mirrored div.\n// Note that some browsers, such as Firefox,\n// do not concatenate properties, i.e. padding-top, bottom etc. -> padding,\n// so we have to do every single property specifically.\nvar properties = [\n 'direction', // RTL support\n 'boxSizing',\n 'width', // on Chrome and IE, exclude the scrollbar, so the mirror div wraps exactly as the textarea does\n 'height',\n 'overflowX',\n 'overflowY', // copy the scrollbar for IE\n\n 'borderTopWidth',\n 'borderRightWidth',\n 'borderBottomWidth',\n 'borderLeftWidth',\n 'borderStyle',\n\n 'paddingTop',\n 'paddingRight',\n 'paddingBottom',\n 'paddingLeft',\n\n // https://developer.mozilla.org/en-US/docs/Web/CSS/font\n 'fontStyle',\n 'fontVariant',\n 'fontWeight',\n 'fontStretch',\n 'fontSize',\n 'fontSizeAdjust',\n 'lineHeight',\n 'fontFamily',\n\n 'textAlign',\n 'textTransform',\n 'textIndent',\n 'textDecoration', // might not make a difference, but better be safe\n\n 'letterSpacing',\n 'wordSpacing',\n\n 'tabSize',\n 'MozTabSize'\n\n];\nvar isFirefox = false;\nif($tw.browser) {\n isFirefox = window.mozInnerScreenX != null;\n}\n\nfunction getCaretCoordinates(element, position, options) {\n\n var debug = options && options.debug || false;\n if (debug) {\n var el = document.querySelector('#input-textarea-caret-position-mirror-div');\n if ( el ) { el.parentNode.removeChild(el); }\n }\n\n // mirrored div\n var div = document.createElement('div');\n div.id = 'input-textarea-caret-position-mirror-div';\n document.body.appendChild(div);\n\n var style = div.style;\n var computed;\n if($tw.browser) {\n computed = window.getComputedStyle? getComputedStyle(element) : element.currentStyle; // currentStyle for IE < 9\n } \n else {\n computed = element.currentStyle;\n }\n \n\n // default textarea styles\n style.whiteSpace = 'pre-wrap';\n if (element.nodeName !== 'INPUT')\n style.wordWrap = 'break-word'; // only for textarea-s\n\n // position off-screen\n style.position = 'absolute'; // required to return coordinates properly\n if (!debug)\n style.visibility = 'hidden'; // not 'display: none' because we want rendering\n\n // transfer the element's properties to the div\n properties.forEach(function (prop) {\n style[prop] = computed[prop];\n });\n\n if (isFirefox) {\n // Firefox lies about the overflow property for textareas: https://bugzilla.mozilla.org/show_bug.cgi?id=984275\n if (element.scrollHeight > parseInt(computed.height))\n style.overflowY = 'scroll';\n } else {\n style.overflow = 'hidden'; // for Chrome to not render a scrollbar; IE keeps overflowY = 'scroll'\n }\n\n div.textContent = element.value.substring(0, position);\n // the second special handling for input type=\"text\" vs textarea: spaces need to be replaced with non-breaking spaces - http://stackoverflow.com/a/13402035/1269037\n if (element.nodeName === 'INPUT')\n div.textContent = div.textContent.replace(/\\s/g, \"\\u00a0\");\n\n var span = document.createElement('span');\n // Wrapping must be replicated *exactly*, including when a long word gets\n // onto the next line, with whitespace at the end of the line before (#7).\n // The *only* reliable way to do that is to copy the *entire* rest of the\n // textarea's content into the <span> created at the caret position.\n // for inputs, just '.' would be enough, but why bother?\n span.textContent = element.value.substring(position) || '.'; // || because a completely empty faux span doesn't render at all\n div.appendChild(span);\n\n var coordinates = {\n top: span.offsetTop + parseInt(computed['borderTopWidth']),\n left: span.offsetLeft + parseInt(computed['borderLeftWidth'])\n };\n\n if (debug) {\n span.style.backgroundColor = '#aaa';\n } else {\n document.body.removeChild(div);\n }\n\n return coordinates;\n}\n\n// Exporte as a module of node.js otherwise set as global\nif (typeof module != \"undefined\" && typeof module.exports != \"undefined\") {\n module.exports = getCaretCoordinates;\n} else {\n window.getCaretCoordinates = getCaretCoordinates;\n}\n\n})();\n",
"type": "application/javascript",
"title": "$:/plugins/snowgoon88/edit-comptext/cursor-position.js",
"module-type": "library"
},
"$:/plugins/snowgoon88/edit-comptext/completion.js": {
"text": "/*\\\ntitle: $:/plugins/snowgoon88/edit-comptext/completion.js\ntype: application/javascript\nmodule-type: library\n\nTry to make self-contained completion module.\n\nTo use this 'module', you need a `widget` with a kind of `editarea` node.\nI do not know the exacte prerequisites of this editarea node for the module to\nwork, but mostly one should be able to attach the following `eventHandler` to\nit:\n - input\n - keydown\n - keypress\n - keyup\nThe `widget` is needed because I use:\n - widget.document\n - widget.wiki.filterTiddlers(...)\n\nFrom the Widget, once you have a proper editarea, you just have to call\n - var completion = new Completion( theWidget, theEditAreaNode, configObject);\nwhere `configObject` is expected to have the following fields. if a field is missing, a default value will be given.\nOne can have many `elements' in the template array.\n\n{\n \"configuration\": {\n \"caseSensitive\" : false,\n \"maxMatch\" : 8,\n \"minPatLength\" : 2,\n \"triggerKeyCombination\" : \"^ \"\n },\n \"template\": [{\n \"pattern\": \"[[\",\n \"filter\": \"[all[tiddlers]!is[system]]\",\n \"start\": \"[[\",\n \"end\": \"]]\"\n }\n ]\n}\n\nTODO : CHECK if needed\n\\*/\n\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\n// To compute pixel coordinates of cursor\nvar getCaretCoordinates = require(\"$:/plugins/snowgoon88/edit-comptext/cursor-position.js\");\n\n/** Default Completion Attributes */\nvar DEFATT = { maxMatch: 5, minPatLength: 2, caseSensitive: false, triggerKeyCombination: \"^ \" };\n\n/** \n * Struct for generic Completion Templates.\n * <ul>\n * <li>pat : pattern searched for.</li>\n * <li>filter : filter operation used to find the list of completion options</li>\n * <li>mask: replaced by \"\" when presenting completion options</li>\n * </ul>\n */\nvar Template = function( pat, filter, mask, field, start, end ) {\n this.pat = pat;\n this.filter = filter;\n this.mask = \"^\"+regExpEscape(mask);\n this.field = field;\n this.start = start;\n this.end = end;\n this.pos = 0;\n};\n/**\n * Struct for storing completion options, as we need to memorise \n * the titles of the tiddlers when masked and when body must be displayed.\n */\nvar OptCompletion = function( title, str ) {\n this.title = title;\n this.str = str;\n};\n\nvar keyMatchGenerator = function(combination) {\n\tlet singleMatchGenerator = function(character) {\n\t\tif (character === '^') {\n\t\t\treturn event => event.ctrlKey;\n\t\t}\n\t\telse if (character === '+') {\n\t\t\treturn event => event.shiftKey;\n\t\t}\n\t\telse if (character === '!') {\n\t\t\treturn event => event.altKey;\n\t\t}\n\t\telse {\n\t\t\treturn event => (event.keyCode || event.which) === character.charCodeAt(0);\n\t\t}\n\t};\n\n\tlet matchers = [];\n\tfor (let i = 0; i < combination.length; i++) {\n\t\tmatchers.push(singleMatchGenerator(combination[i]));\n\t}\n\n\treturn event => {\n\t\tfor (let i = 0; i < matchers.length; i++) {\n\t\t\tif (!matchers[i](event)) {\n\t\t\t\treturn false;\n\t\t\t}\n\t\t}\n\t\treturn true;\n\t};\n};\n\n/**\n * Widget is needed in creating popupNode.\n * - widget.document\n * - widget.wiki.filterTiddlers(...)\n * - sibling : where to create the popup in the DOM.\n */\n\tvar Completion = function( editWidget, areaNode, param, sibling, offTop, offLeft ) {\n\tconsole.log( \"==Completion::creation\" );\n\n // About underlying Widget\n this._widget = editWidget;\n\tthis._areaNode = areaNode;\n\tthis._sibling = (typeof sibling !== 'undefined') ? sibling : this._areaNode;\n\tthis._offTop = (typeof offTop !== 'undefined') ? offTop : 0;\n\tthis._offLeft = (typeof offLeft !== 'undefined') ? offLeft : 0;\t\n\t\t\n // Completions attributes\n /** State */\n this._state = \"VOID\";\n this._template = undefined;\n /** Best matches */\n this._bestMatches = []; // An array of OptCompletion\n this._idxChoice = -1;\n /** Param */\n // maximum nb of match displayed\n this._maxMatch = param.configuration.maxMatch || DEFATT.maxMatch; \n this._minPatLength = param.configuration.minPatLength || DEFATT.minPatLength;\n this._caseSensitive= param.configuration.caseSensitive || DEFATT.caseSensitive;\n this._triggerKeyMatcher = keyMatchGenerator(param.configuration.triggerKeyCombination || DEFATT.triggerKeyCombination);\n /** Input information */\n this._lastChar = \"\";\n this._hasInput = false;\n /** List of Completion Templates */\n this._listTemp = [];\n \n // Read templates from Param\n if( param.template ) {\n \tvar idT;\n \tfor( idT=0; idT<param.template.length; idT++ ) {\n \t var temp = param.template[idT];\n\t // field 'body' ou 'title' (default)\n\t if( temp.body ) {\t\t\n \t\tthis._listTemp.push( \n \t\t new Template( temp.pattern, temp.body,\n\t\t\t\t temp.mask ? temp.mask : \"\",\n\t\t\t\t \"body\",\n \t\t\t\t temp.start, temp.end )\n \t\t);\n\t }\n\t else {\n \t\tthis._listTemp.push( \n \t\t new Template( temp.pattern, \n\t\t\t\t temp.title ? temp.title : temp.filter,\n\t\t\t\t temp.mask ? temp.mask : \"\",\n\t\t\t\t \"title\",\n \t\t\t\t temp.start, temp.end )\n \t\t);\n\t }\n\t //DEBUG temp = this._listTemp[this._listTemp.length-1];\n\t //DEBUG console.log( \"__CONF : \"+temp.pattern+\":\"+temp.filter+\":\"+temp.mask+\":\"+temp.field+\":\"+temp.start+\":\"+temp.end );\n \t}\n }\n // or defaut template\n else {\n \tthis._listTemp = [\n \t new Template( \"[[\", \"[all[tiddlers]!is[system]]\", \n\t\t\t \"\", \"title\",\n\t\t\t \"[[\", \"]]\" )\n \t];\n }\n // Create Popup\n\t//this._popNode = createPopup(this._widget, this._areaNode );\n\tthis._popNode = createPopup(this._widget, this._sibling );\t\n \n // Listen to the Keyboard\n $tw.utils.addEventListeners( this._areaNode,[\n\t{name: \"input\", handlerObject: this, handlerMethod: \"handleInput\"},\n\t{name: \"keydown\", handlerObject: this, handlerMethod: \"handleKeydown\"},\n\t{name: \"keypress\", handlerObject: this, handlerMethod: \"handleKeypress\"},\n \t{name: \"keyup\", handlerObject: this, handlerMethod: \"handleKeyup\"}\n ]);\n \n /** \n * Find the bestMatches among listChoice with given pattern\n * @param listChoice : array of String\n * @change : this._bestMatches => array of OptCompletion\n */\n this._findBestMatches = function( listChoice, pattern, nbMax) {\n\t// regexp search pattern, case sensitive\n\tvar flagSearch = this._caseSensitive ? \"\" : \"i\" ;\n\tvar regpat = RegExp( regExpEscape(pattern), flagSearch );\n\tvar regpat_start = RegExp( \"^\"+regExpEscape(pattern), flagSearch );\n\tvar regMask = RegExp( this._template.mask ? this._template.mask : \"\",\"\");\n\tvar nbMatch = 0;\n\t// nbMax set to _maxMatch if no value given\n\tnbMax = nbMax !== undefined ? nbMax : this._maxMatch;\n\n\t//DEBUG console.log( \"__FIND masked=\"+regMask+\" regPat=\"+regpat);\n\n\tthis._bestMatches= [];\n\tvar otherMatches = [];\n\t// We test every possible choice\n\tfor( var i=0; i< listChoice.length; i++ ) {\n\t // apply mask over potential choice\n\t var maskedChoice = listChoice[i].replace( regMask, \"\");\n\t // Test first if pattern is found at START of the maskedChoice\n\t // THEN added to BestMatches\n \t if( regpat_start.test( maskedChoice )) {\n\t\tif (nbMatch >= nbMax) {\n\t\t this._bestMatches.push( new OptCompletion(\"\",\"...\") );\n\t\t return;\n\t\t} else {\n\t\t this._bestMatches.push( new OptCompletion(listChoice[i],maskedChoice) );\n\t\t nbMatch += 1;\n\t\t}\n\t }\n\t // then if pattern is found WITHIN the maskedChoice\n\t // added AFTER the choices that starts with pattern\n\t else if( regpat.test( maskedChoice ) ) {\n\t\tif (nbMatch >= nbMax) {\n\t\t // add all otherMatches to _bestMatches\n\t\t this._bestMatches.push( new OptCompletion(\"\",\"<hr>\") ) ; //separator\n\t\t this._bestMatches = this._bestMatches.concat( otherMatches );\n\t\t this._bestMatches.push( new OptCompletion(\"\",\"...\") );\n\t\t return;\n\t\t} else {\n\t\t otherMatches.push( new OptCompletion(listChoice[i],maskedChoice) );\n\t\t nbMatch += 1;\n\t\t}\n\t }\n\t}\n\t// Here, must add the otherMatches\n\tthis._bestMatches.push( new OptCompletion(\"\",\"<hr>\") ) ; //separator\n\tthis._bestMatches = this._bestMatches.concat( otherMatches );\n };\n /**\n * Change Selected Status of Items\n */\n this._next = function (node) {\n\tvar count = node.children.length;\n\t//DEBUG console.log( \"__NEXT: co=\"+count+\" nbMatch=\"+this._bestMatches.length);\n\tif( this._bestMatches.length > 0 ) \n\t this._goto( node, this._idxChoice < count - 1 ? this._idxChoice + 1 : -1);\n\t//DEBUG this._logStatus( \"NexT\" );\n };\n this._previous = function (node) {\n\tvar count = node.children.length;\n\tvar selected = this._idxChoice > -1;\n\t//DEBUG console.log( \"__PREV: co=\"+count+\" nbMatch=\"+this._bestMatches.length);\n\tif( this._bestMatches.length > 0 ) \n\t this._goto( node, selected ? this._idxChoice - 1 : count - 1);\n\t//DEBUG this._logStatus( \"PreV\" );\n };\n // Should not be used, highlights specific item without any checks!\n this._goto = function (node, idx) {\n\tvar lis = node.children;\n\tvar selected = this._idxChoice > -1;\n\tif (selected) {\n\t lis[this._idxChoice].setAttribute(\"patt-selected\", \"false\");\n\t}\n\n\tthis._idxChoice = idx;\n \n\tif (idx > -1 && lis.length > 0) {\n\t lis[idx].setAttribute(\"patt-selected\", \"true\");\n\t}\n };\n /**\n * Abort pattern and undisplay.\n */\n this._abortPattern = function (displayNode) {\n\tthis._state = \"VOID\";\n\tthis._bestChoices = [];\n\tthis._idxChoice = -1;\n\tthis._undisplay( displayNode );\n\tthis._template = undefined;\n };\n /**\n * Display popupNode at the cursor position in areaNode.\n */\n this._display = function( areaNode, popupNode ) {\n\tif ( popupNode.style.display == 'none' ) {\n\t // Must get coordinate\n\t // Cursor coordinates within area + area coordinates + scroll\n var coord = getCaretCoordinates(areaNode, areaNode.selectionEnd);\n var styleSize = getComputedStyle(areaNode).getPropertyValue('font-size');\n var fontSize = parseFloat(styleSize); \n\t\t\n\t popupNode.style.left = (this._offLeft+areaNode.offsetLeft-areaNode.scrollLeft+coord.left) + 'px';\n\t popupNode.style.top = (this._offTop+areaNode.offsetTop-areaNode.scrollTop+coord.top+fontSize*2) + 'px';\n\t popupNode.style.display = 'block';\n\t}\n };\n /**\n * Undisplay someNode\n */\n this._undisplay = function( displayNode ) {\n\tif ( displayNode.style.display != 'none' ) {\n\t displayNode.style.display = 'none';\n\t}\n };\n\n /**\n * Used for debug\n */\n this._logStatus = function(msg) {\n\tconsole.log( \"__STATUS: \"+this._state+\":-\"+msg+\"- idx=\"+this._idxChoice );\n };\n\n};\n// **************************************************************************\n// ******************************************************************eventCbk\n// **************************************************************************\n/**\n * Disable the *effects* of ENTER / UP / DOWN / ESC when needed.\n * Set _hasInput to false.\n */\nCompletion.prototype.handleKeydown = function(event) {\n // key \n var key = event.keyCode;\n this._hasInput = false;\n \n //DEBUG console.log( \"__KEYDOWN (\"+key+\") hasI=\"+this._hasInput);\n \n // ENTER while selecting\n if( (this._state === \"PATTERN\" || this._state === \"SELECT\") && key === 13 ) {\n \tevent.preventDefault();\n \tevent.stopPropagation();\n }\n // ESC while selecting\n if( (this._state === \"PATTERN\" || this._state === \"SELECT\") && key === 27 ) {\n \tevent.preventDefault();\n \tevent.stopPropagation();\n }\n // UP/DOWN while a pattern is extracted\n if( (key===38 || key===40) && \n\t(this._state === \"PATTERN\" || this._state === \"SELECT\") ) {\n\tevent.preventDefault();\n }\n};\n/**\n * Means that something has been added/deleted => set _hasInput\n */\nCompletion.prototype.handleInput = function(event) {\n this._hasInput = true;\n //DEBUG console.log( \"__INPUT hasI=\"+this._hasInput );\n};\n\t\n/**\n * Set _lastChar, detects CTRL+SPACE.\n */\nCompletion.prototype.handleKeypress = function(event) {\n var curPos = this._areaNode.selectionStart; // cursor position\n var val = this._areaNode.value; // text in the area\n // key \n var key = event.keyCode || event.which;\n\t\n this._lastChar = String.fromCharCode(key);\n //DEBUG console.log( \"__KEYPRESS (\"+key+\") hasI=\"+this._hasInput+\" char=\"+this._lastChar );\n //DEBUG this._logStatus( \"KEYPRESS\" );\n \n // Detect Ctrl+Space\n if( this._triggerKeyMatcher(event) && this._state === \"VOID\" ) {\n\t//Find a proper Template\n\t// first from which we can extract a pattern\n\tif( this._template === undefined ) {\n\t //DEBUG console.log(\"__SPACE : find a Template\" );\n\t var idT, res;\n\t for( idT=0; idT < this._listTemp.length; idT++ ) {\n\t\tres = extractPattern( val, curPos, this._listTemp[idT] );\n\t\t//DEBUG console.log(\" t=\"+this._listTemp[idT].pat+\" res=\"+res);\n\t\t// res is not undefined => good template candidate\n\t\tif( res ) {\n\t\t this._template = this._listTemp[idT];\n\t\t this._state = \"PATTERN\";\n\t\t break;\n\t\t}\n\t }\n\t}\n\telse {\n\t //DEBUG console.log(\"__SPACE : already a template\" );\n\t this._state = \"PATTERN\";\n\t}\n }\n};\n/**\n * ESC -> abort; \n * Detect [ -> VOID switch to _state=PATTERN\n * PATTERN || SELECT : ENTER -> insertText\n * UP/DOWN -> previous/next\n * pattern.length > _minPatternLength -> display \n */\nCompletion.prototype.handleKeyup = function(event) {\n var curPos = this._areaNode.selectionStart; // cursor position\n var val = this._areaNode.value; // text in the area\n // key a\n var key = event.keyCode;\n \n //DEBUG console.log( \"__KEYUP (\"+key+\") hasI=\"+this._hasInput );\n \n // ESC\n if( key === 27 ) {\n\tthis._abortPattern( this._popNode );\n\t//DEBUG this._logStatus( \"\" );\n }\n // Check for every template\n if( this._hasInput && this._state === \"VOID\" ) {\n\t// check every template's pattern\n\tvar idT, template;\n\tfor( idT=0; idT < this._listTemp.length; idT++ ) {\n\t template = this._listTemp[idT];\n\t if( this._lastChar === template.pat[template.pos] ) {\n\t\ttemplate.pos += 1;\n\t\t//DEBUG console.log( \"__CHECK : pat=\"+template.pat+\" pos=\"+template.pos );\n\t\t// Pattern totaly matched ?\n\t\tif( template.pos === template.pat.length ) {\n\t\t //DEBUG console.log( \"__CHECK => found \"+template.pat );\n\t\t this._state = \"PATTERN\";\n\t\t this._template = template;\n\t\t \n\t\t break; // get out of loop\n\t\t}\n\t }\n\t else {\n\t\ttemplate.pos = 0;\n\t\t//DEBUG console.log( \"__CHECK : pat=\"+template.pat+\" pos=\"+template.pos );\n\t }\n\t}\n }\n // a pattern\n else if( this._state === \"PATTERN\" || this._state === \"SELECT\" ) {\n\t// Pattern below cursor : undefined if no pattern\n\tvar pattern = extractPattern( val, curPos, this._template );\n\tif( key === 13 ) { // ENTER\n\t //DEBUG console.log( \"KEY : Enter\" );\n \t // Choice made in the displayNode ?\n \t var selected = this._idxChoice > -1 && this._idxChoice !== this._maxMatch;\n \t //DEBUG console.log( \" > sel=\"+selected+\" len=\"+this._bestChoices.length );\n \t if( selected ) {\n \t\t//DEBUG console.log( \" > selected\" );\n\t\tvar temp = this._bestMatches[this._idxChoice];\n\t\tvar str = temp.str;\n\t\tif( this._template.field === \"body\" ) {\n\t\t str = $tw.wiki.getTiddlerText( temp.title );\n\t\t}\n \t\tinsertInto( this._areaNode,\n\t\t\t str,\n\t\t\t pattern.start, curPos, this._template );\n\t\t// save this new content\n\t\tthis._widget.saveChanges( this._areaNode.value );\n\t }\n\t // otherwise take the first choice (if exists)\n\t else if( this._bestMatches.length > 0 ) {\n \t\t//DEBUG console.log( \" > take first one\" );\n\t\tvar temp = this._bestMatches[0];\n\t\tvar str = temp.str;\n\t\tif( this._template.field === \"body\" ) {\n\t\t str = $tw.wiki.getTiddlerText( temp.title );\n\t\t}\n \t\tinsertInto( this._areaNode,\n\t\t\t str,\n\t\t\t pattern.start, curPos, this._template );\n\t\t// save this new content\n\t\tthis._widget.saveChanges( this._areaNode.value );\n\t }\n\t this._abortPattern( this._popNode );\n\t\t//DEBUG this._logStatus( \"\" );\n \t }\n\t else if( key === 38 && this._hasInput === false) { // up\n\t\tthis._state = \"SELECT\";\n \t\tevent.preventDefault();\n \t\tthis._previous( this._popNode );\n\t\t//DEBUG this._logStatus( pattern.text );\n \t\t//event.stopPropagation();\n \t }\n \t else if( key === 40 && this._hasInput === false) { // down\n\t\tthis._state = \"SELECT\";\n \t\tevent.preventDefault();\n \t\tthis._next( this._popNode );\n\t\t//DEBUG this._logStatus( pattern.text );\n \t\t//event.stopPropagation();\n \t }\n \t else if( pattern ) { // pattern changed by keypressed\n\t\tthis._idxChoice = -1;\n \t\t// log\n\t\t//DEBUG this._logStatus( pattern.text );\n \t\t// Popup with choices if pattern at least minPatLength letters long\n\t\tif( pattern.text.length > (this._minPatLength-1) ) {\n\t\t // compute listOptions from templateFilter\n\t\t var allOptions;\n\t\t if( this._template )\n\t\t\tallOptions = this._widget.wiki.filterTiddlers( this._template.filter );\n\t\t else\n\t\t\tallOptions = this._widget.wiki.filterTiddlers(\"[all[tiddlers]]\");\n\t\t this._findBestMatches( allOptions, pattern.text );\n \t\t this._popNode.innerHTML = \"\";\n \t\t //console.log( \"BC \"+ this._pattern + \" => \" + choice );\n \t\t if (this._bestMatches.length > 0) {\n\t\t\tfor( var i=0; i<this._bestMatches.length; i++) {\n \t\t\t this._popNode.appendChild( \n\t\t\t\titemHTML(this._bestMatches[i].str,\n\t\t\t\t\t pattern.text));\n \t\t\t}\n\t\t\tthis._display( this._areaNode, this._popNode );\t\t\t\n \t\t }\n\t\t else { // no matches\n\t\t\tthis._state = \"PATTERN\";\n\t\t\tthis._undisplay( this._popNode );\n\t\t }\n\t\t}\n \t }\n\t else { // no pattern detected\n\t\tthis._abortPattern( this._popNode );\n\t }\n\t}\n\t// to ensure that one MUST add an input (through onInput())\n\tthis._hasInput = false;\n};\n// **************************************************************************\n// ******************************************************** private functions\n// **************************************************************************\n/**\n * Create popup element.\n */\nvar createPopup = function( widget, node ) {\n // Insert a special \"div\" element for poping up\n // Its 'display' property in 'style' control its visibility\n var popupNode = widget.document.createElement(\"div\");\n popupNode.setAttribute( \"style\", \"display:none; position: absolute;\");\n popupNode.className = \"tc-block-dropdown ect-block-dropdown\";\n // Insert the element into the DOM\n node.parentNode.insertBefore(popupNode,node.nextSibling);\n //CHECK the domNodes is a attribute of Widget [widget.js]\n //CHECK this.domNodes.push(popupNode);\n \n return popupNode;\n};\n/**\n * Extract Pattern from text at a given position.\n *\n * Between previous template.pat (or '[[') and pos\n * \n * If no pattern -> undefined\n */\nvar extractPattern = function( text, pos, template ) {\n // Detect previous and next ]]=>STOP or [[=>START\n var sPat = template.pat ? template.pat : '[[';\n var pos_prevOpen = text.lastIndexOf( sPat, pos );\n var ePat = template.end ? template.end : ']]';\n var pos_prevClosed = text.lastIndexOf( ePat, pos );\n var pos_nextClosed = text.indexOf( ePat, pos );\n //DEBUG console.log(\"__CALC st=\"+sPat+\" -> en=\"+ePat );\n //DEBUG console.log(\"__CALC po=\"+pos_prevOpen+\" pc=\"+pos_prevClosed+\" nc=\"+pos_nextClosed+\" pos=\"+pos);\n pos_nextClosed = (pos_nextClosed >= 0) ? pos_nextClosed : pos;\n \n if( (pos_prevOpen >= 0) && // must be opened\n\t((pos_prevOpen > pos_prevClosed ) || // not closed yet\n\t (pos_prevClosed === pos))) { // closed at cursor\n\t//DEBUG console.log(\" pat=\"+text.slice( pos_prevOpen+sPat.length, pos) );\n\treturn { text: text.slice( pos_prevOpen+sPat.length, pos ),\n\t\t start: pos_prevOpen,\n\t\t end: pos_nextClosed\n\t };\n }\n};\n/**\n * Controls how list items are generated.\n * Function that takes two parameters :\n * - text : suggestion text\n * - input : the user’s input\n * Returns : list item. \n * Generates list items with the user’s input highlighted via <mark>.\n */\nvar itemHTML = function (text, input ) {\n // text si input === ''\n // otherwise, build RegExp that is global (g) and case insensitive (i)\n // to replace with <mark>$&</mark> where \"$&\" is the matched pattern\n var html = input === '' ? text : text.replace(RegExp(regExpEscape(input.trim()), \"gi\"), \"<mark>$&</mark>\");\n return create(\"li\", {\n\tinnerHTML: html,\n\t\"patt-selected\": \"false\"\n });\n};\n/**\n * Insert text into a textarea node, \n * enclosing in 'template.start..template.end'\n *\n * - posBefore : where the 'template.pat+pattern' starts\n * - posAfter : where the cursor currently is\n */\nvar insertInto = function(node, text, posBefore, posAfter, template ) {\n //DEBUG console.log( \"__INSERT : \"+template.pattern+\":\"+template.filter+\":\"+template.mask+\":\"+template.field+\":\"+template.start+\":\"+template.end );\n var val = node.value;\n var sStart = template.start !== undefined ? template.start : '[[';\n var sEnd = template.end !== undefined ? template.end : ']]';\n var newVal = val.slice(0, posBefore) + sStart + text + sEnd + val.slice(posAfter);\n //console.log(\"__INSERT s=\"+sStart+\" e=\"+sEnd);\n //console.log (\"__INSERT pb=\"+posBefore+\" pa=\"+posAfter+\" txt=\"+text);\n //console.log( \"NEW VAL = \"+newVal );\n // WARN : Directly modifie domNode.value.\n // Not sure it does not short-circuit other update methods of the domNode....\n // i.e. could use widget.updateEditor(newVal) from edit-comptext widget.\n // but how to be sure that cursor is well positionned ?\n node.value = newVal;\n node.setSelectionRange(posBefore+text.length+sStart.length+sEnd.length, posBefore+text.length+sStart.length+sEnd.length );\n};\n/**\n * Add an '\\' in front of -\\^$*+?.()|[]{}\n */\nvar regExpEscape = function (s) {\n return s.replace(/[-\\\\^$*+?.()|[\\]{}]/g, \"\\\\$&\");\n};\n/**\n * Add an element in the DOM.\n */\nvar create = function(tag, o) {\n var element = document.createElement(tag);\n \n for (var i in o) {\n\tvar val = o[i];\n\t\n\tif (i === \"inside\") {\n\t $(val).appendChild(element);\n\t}\n\telse if (i === \"around\") {\n\t var ref = $(val);\n\t ref.parentNode.insertBefore(element, ref);\n\t element.appendChild(ref);\n\t}\n\telse if (i in element) {\n\t element[i] = val;\n\t}\n\telse {\n\t element.setAttribute(i, val);\n\t}\n }\n \n return element;\n};\n\n\nexports.Completion = Completion;\n\n})();\n\n \n",
"type": "application/javascript",
"title": "$:/plugins/snowgoon88/edit-comptext/completion.js",
"module-type": "library"
},
"$:/plugins/snowgoon88/edit-comptext/edit-comptext.css": {
"text": "\n\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline macrocallblock\n\n/* The \\rules pragma at the top of the tiddler restricts the WikiText \n * to just allow macros and transclusion. This avoids mistakenly \n * triggering unwanted WikiText processing.\n * \n * MUST not save as text/css for macro to be processed\n*/\n\n.ect-block-dropdown li {\n display: block;\n padding: 4px 14px 4px 14px;\n text-decoration: none;\n color: <<colour tiddler-link-foreground>>; /*#5778d8;*/ \n background: transparent;\n}\n.ect-block-dropdown li[patt-selected=\"true\"] {\n color: <<colour tiddler-link-background>>; /*#ffffff; */\n background-color: <<colour tiddler-link-foreground>>; /*#5778d8; */\n}\n.ect-block-dropdown li[patt-selected=\"true\"] mark {\n background: hsl(86, 100%, 21%);\n color: inherit;\n}\n\n",
"type": "text/vnd.tiddlywiki",
"title": "$:/plugins/snowgoon88/edit-comptext/edit-comptext.css",
"tags": "[[$:/tags/Stylesheet]]"
},
"$:/plugins/snowgoon88/edit-comptext/readme": {
"title": "$:/plugins/snowgoon88/edit-comptext/readme",
"text": "!! What ?\nThis plugin adds ''completion'' when editing the body of a tiddler.\n\n* Enter completion-mode by typing `[[` or `CTRL+SPACE`\n* A list of tiddlers with a title that matches the pattern between `[[` and cursor appears\n* `UP/DOWN` keys can select a tiddler, `ENTER` to validate\n* If there is only one match, `ENTER` selects it.\n* `NEW` : you can specify you own trigger pattern and the list of possible completions. See [[$:/plugins/snowgoon88/edit-comptext/usage]] for more details.\n\n\n!! To try it or get the latest news\nSee [[http://snowgoon88.github.io/TW5-extendedit]]\n\n!! Install \n\nTo add the plugin to your own TiddlyWiki5, just drag this link to the browser window:\n\n[[$:/plugins/snowgoon88/edit-comptext]]\n\nSometime, a small configuration step is then needed\n\nin `$:/ControlPanel -> Advanced -> Editor Type -> text/vnd.tiddlywiki` you must chose `comptext` instead of `text`.\n\nReload and « voilà »...\n\nThis plugin is quite mature now :o)\n\n!! Old version\n\n* A version compatible with 5.0.8 to 5.1.11 : [[http://snowgoon88.github.io/TW5-extendedit/index_5.1.11.html]]\n\n!! Source code\nOn github [[https://github.com/snowgoon88/TW5-extendedit]]\n\nGet in touch : snowgoon88(AT)gmail(DOT)com\n"
},
"$:/plugins/snowgoon88/edit-comptext/usage": {
"title": "$:/plugins/snowgoon88/edit-comptext/usage",
"text": "''Important'' : be sure that in `$:/ControlPanel -> Advanced -> Editor Type -> text/vnd.tiddlywiki` you have chosen `comptext` instead of `text`.\n\nConfiguration of the edit-comptext plugin can be done through the tiddler [[$:/plugins/snowgoon88/edit-comptext/config]]. Use a JSON tiddler (do not forget to set the type to `application/json`. See some examples at [[$:/plugins/snowgoon88/edit-comptext/examples]]\n\nIn the `configuration` object you can set :\n\n* `caseSensitive`: `true`/`false` (is search case sensitive ?)\n* `maxMatch` : an `integer` (max number of match displayed)\n* `minPatLength` : an `integer` (minimal length of a pattern to trigger completion search)\n* `triggerKeyCombination ` : a `string` representing the key combination that triggers the autocompletion popup. To use modifier keys in your combination, use following conversions : `ctrl` -> `^`, `alt` -> `!`, `shift` -> `+`. Note: ` ` (literally a whitespace) represents the `space` key.\n\nIn the `template` array you can specify the various completion templates that will be used. Every template can have the following members.\n\n* `pattern` : `string` (pattern that triggers a completion, default \"[[\" )\n* `title` or `body`: `string` (the filter operators that gives the list of valid completions, default \"[all[tiddlers]!is[system]]\"). If you specify `body`, then the body of the tiddler will be inserted on selection.\n* `start` : `string` (when completion is chosen, start is put before the completion, default \"[[\")\n* `end` : `string` (when completion is chosen, end is put after the completion, default \"]]\")\n\n!! Current body of Config Tiddler\n\n{{$:/plugins/snowgoon88/edit-comptext/config}}\n\n"
}
}
}
{
"configuration": {
"caseSensitive" : false,
"maxMatch" : 8,
"minPatLength" : 2,
"triggerKeyCombination" : "^ "
},
"template": [{
"pattern": "[[",
"filter": "[all[tiddlers]!is[system]]",
"start": "[[",
"end": "]]"
}
]
}
{
"tiddlers": {
"$:/plugins/sukima/reveal-js/reveal.js": {
"text": "/*!\n* reveal.js 4.0.2\n* https://revealjs.com\n* MIT licensed\n*\n* Copyright (C) 2020 Hakim El Hattab, https://hakim.se\n*/\n!function(e,t){\"object\"==typeof exports&&\"undefined\"!=typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(t):(e=e||self).Reveal=t()}(this,(function(){\"use strict\";var e=\"undefined\"!=typeof globalThis?globalThis:\"undefined\"!=typeof window?window:\"undefined\"!=typeof global?global:\"undefined\"!=typeof self?self:{};function t(e,t,n){return e(n={path:t,exports:{},require:function(e,t){return function(){throw new Error(\"Dynamic requires are not currently supported by @rollup/plugin-commonjs\")}(null==t&&n.path)}},n.exports),n.exports}var n=function(e){return e&&e.Math==Math&&e},i=n(\"object\"==typeof globalThis&&globalThis)||n(\"object\"==typeof window&&window)||n(\"object\"==typeof self&&self)||n(\"object\"==typeof e&&e)||Function(\"return this\")(),r=function(e){try{return!!e()}catch(e){return!0}},a=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]})),o={}.propertyIsEnumerable,s=Object.getOwnPropertyDescriptor,l={f:s&&!o.call({1:2},1)?function(e){var t=s(this,e);return!!t&&t.enumerable}:o},c=function(e,t){return{enumerable:!(1&e),configurable:!(2&e),writable:!(4&e),value:t}},u={}.toString,d=function(e){return u.call(e).slice(8,-1)},h=\"\".split,f=r((function(){return!Object(\"z\").propertyIsEnumerable(0)}))?function(e){return\"String\"==d(e)?h.call(e,\"\"):Object(e)}:Object,v=function(e){if(null==e)throw TypeError(\"Can't call method on \"+e);return e},g=function(e){return f(v(e))},p=function(e){return\"object\"==typeof e?null!==e:\"function\"==typeof e},m=function(e,t){if(!p(e))return e;var n,i;if(t&&\"function\"==typeof(n=e.toString)&&!p(i=n.call(e)))return i;if(\"function\"==typeof(n=e.valueOf)&&!p(i=n.call(e)))return i;if(!t&&\"function\"==typeof(n=e.toString)&&!p(i=n.call(e)))return i;throw TypeError(\"Can't convert object to primitive value\")},y={}.hasOwnProperty,b=function(e,t){return y.call(e,t)},w=i.document,S=p(w)&&p(w.createElement),E=function(e){return S?w.createElement(e):{}},k=!a&&!r((function(){return 7!=Object.defineProperty(E(\"div\"),\"a\",{get:function(){return 7}}).a})),A=Object.getOwnPropertyDescriptor,R={f:a?A:function(e,t){if(e=g(e),t=m(t,!0),k)try{return A(e,t)}catch(e){}if(b(e,t))return c(!l.f.call(e,t),e[t])}},x=function(e){if(!p(e))throw TypeError(String(e)+\" is not an object\");return e},L=Object.defineProperty,C={f:a?L:function(e,t,n){if(x(e),t=m(t,!0),x(n),k)try{return L(e,t,n)}catch(e){}if(\"get\"in n||\"set\"in n)throw TypeError(\"Accessors not supported\");return\"value\"in n&&(e[t]=n.value),e}},P=a?function(e,t,n){return C.f(e,t,c(1,n))}:function(e,t,n){return e[t]=n,e},N=function(e,t){try{P(i,e,t)}catch(n){i[e]=t}return t},M=i[\"__core-js_shared__\"]||N(\"__core-js_shared__\",{}),I=Function.toString;\"function\"!=typeof M.inspectSource&&(M.inspectSource=function(e){return I.call(e)});var O,T,D,j=M.inspectSource,H=i.WeakMap,U=\"function\"==typeof H&&/native code/.test(j(H)),B=t((function(e){(e.exports=function(e,t){return M[e]||(M[e]=void 0!==t?t:{})})(\"versions\",[]).push({version:\"3.6.5\",mode:\"global\",copyright:\"© 2020 Denis Pushkarev (zloirock.ru)\"})})),F=0,z=Math.random(),q=function(e){return\"Symbol(\"+String(void 0===e?\"\":e)+\")_\"+(++F+z).toString(36)},_=B(\"keys\"),V=function(e){return _[e]||(_[e]=q(e))},W={},K=i.WeakMap;if(U){var $=new K,X=$.get,Y=$.has,G=$.set;O=function(e,t){return G.call($,e,t),t},T=function(e){return X.call($,e)||{}},D=function(e){return Y.call($,e)}}else{var J=V(\"state\");W[J]=!0,O=function(e,t){return P(e,J,t),t},T=function(e){return b(e,J)?e[J]:{}},D=function(e){return b(e,J)}}var Q,Z,ee={set:O,get:T,has:D,enforce:function(e){return D(e)?T(e):O(e,{})},getterFor:function(e){return function(t){var n;if(!p(t)||(n=T(t)).type!==e)throw TypeError(\"Incompatible receiver, \"+e+\" required\");return n}}},te=t((function(e){var t=ee.get,n=ee.enforce,r=String(String).split(\"String\");(e.exports=function(e,t,a,o){var s=!!o&&!!o.unsafe,l=!!o&&!!o.enumerable,c=!!o&&!!o.noTargetGet;\"function\"==typeof a&&(\"string\"!=typeof t||b(a,\"name\")||P(a,\"name\",t),n(a).source=r.join(\"string\"==typeof t?t:\"\")),e!==i?(s?!c&&e[t]&&(l=!0):delete e[t],l?e[t]=a:P(e,t,a)):l?e[t]=a:N(t,a)})(Function.prototype,\"toString\",(function(){return\"function\"==typeof this&&t(this).source||j(this)}))})),ne=i,ie=function(e){return\"function\"==typeof e?e:void 0},re=function(e,t){return arguments.length<2?ie(ne[e])||ie(i[e]):ne[e]&&ne[e][t]||i[e]&&i[e][t]},ae=Math.ceil,oe=Math.floor,se=function(e){return isNaN(e=+e)?0:(e>0?oe:ae)(e)},le=Math.min,ce=function(e){return e>0?le(se(e),9007199254740991):0},ue=Math.max,de=Math.min,he=function(e,t){var n=se(e);return n<0?ue(n+t,0):de(n,t)},fe=function(e){return function(t,n,i){var r,a=g(t),o=ce(a.length),s=he(i,o);if(e&&n!=n){for(;o>s;)if((r=a[s++])!=r)return!0}else for(;o>s;s++)if((e||s in a)&&a[s]===n)return e||s||0;return!e&&-1}},ve={includes:fe(!0),indexOf:fe(!1)},ge=ve.indexOf,pe=function(e,t){var n,i=g(e),r=0,a=[];for(n in i)!b(W,n)&&b(i,n)&&a.push(n);for(;t.length>r;)b(i,n=t[r++])&&(~ge(a,n)||a.push(n));return a},me=[\"constructor\",\"hasOwnProperty\",\"isPrototypeOf\",\"propertyIsEnumerable\",\"toLocaleString\",\"toString\",\"valueOf\"],ye=me.concat(\"length\",\"prototype\"),be={f:Object.getOwnPropertyNames||function(e){return pe(e,ye)}},we={f:Object.getOwnPropertySymbols},Se=re(\"Reflect\",\"ownKeys\")||function(e){var t=be.f(x(e)),n=we.f;return n?t.concat(n(e)):t},Ee=function(e,t){for(var n=Se(t),i=C.f,r=R.f,a=0;a<n.length;a++){var o=n[a];b(e,o)||i(e,o,r(t,o))}},ke=/#|\\.prototype\\./,Ae=function(e,t){var n=xe[Re(e)];return n==Ce||n!=Le&&(\"function\"==typeof t?r(t):!!t)},Re=Ae.normalize=function(e){return String(e).replace(ke,\".\").toLowerCase()},xe=Ae.data={},Le=Ae.NATIVE=\"N\",Ce=Ae.POLYFILL=\"P\",Pe=Ae,Ne=R.f,Me=function(e,t){var n,r,a,o,s,l=e.target,c=e.global,u=e.stat;if(n=c?i:u?i[l]||N(l,{}):(i[l]||{}).prototype)for(r in t){if(o=t[r],a=e.noTargetGet?(s=Ne(n,r))&&s.value:n[r],!Pe(c?r:l+(u?\".\":\"#\")+r,e.forced)&&void 0!==a){if(typeof o==typeof a)continue;Ee(o,a)}(e.sham||a&&a.sham)&&P(o,\"sham\",!0),te(n,r,o,e)}},Ie=Array.isArray||function(e){return\"Array\"==d(e)},Oe=function(e){return Object(v(e))},Te=function(e,t,n){var i=m(t);i in e?C.f(e,i,c(0,n)):e[i]=n},De=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())})),je=De&&!Symbol.sham&&\"symbol\"==typeof Symbol.iterator,He=B(\"wks\"),Ue=i.Symbol,Be=je?Ue:Ue&&Ue.withoutSetter||q,Fe=function(e){return b(He,e)||(De&&b(Ue,e)?He[e]=Ue[e]:He[e]=Be(\"Symbol.\"+e)),He[e]},ze=Fe(\"species\"),qe=function(e,t){var n;return Ie(e)&&(\"function\"!=typeof(n=e.constructor)||n!==Array&&!Ie(n.prototype)?p(n)&&null===(n=n[ze])&&(n=void 0):n=void 0),new(void 0===n?Array:n)(0===t?0:t)},_e=re(\"navigator\",\"userAgent\")||\"\",Ve=i.process,We=Ve&&Ve.versions,Ke=We&&We.v8;Ke?Z=(Q=Ke.split(\".\"))[0]+Q[1]:_e&&(!(Q=_e.match(/Edge\\/(\\d+)/))||Q[1]>=74)&&(Q=_e.match(/Chrome\\/(\\d+)/))&&(Z=Q[1]);var $e=Z&&+Z,Xe=Fe(\"species\"),Ye=function(e){return $e>=51||!r((function(){var t=[];return(t.constructor={})[Xe]=function(){return{foo:1}},1!==t[e](Boolean).foo}))},Ge=Fe(\"isConcatSpreadable\"),Je=$e>=51||!r((function(){var e=[];return e[Ge]=!1,e.concat()[0]!==e})),Qe=Ye(\"concat\"),Ze=function(e){if(!p(e))return!1;var t=e[Ge];return void 0!==t?!!t:Ie(e)};Me({target:\"Array\",proto:!0,forced:!Je||!Qe},{concat:function(e){var t,n,i,r,a,o=Oe(this),s=qe(o,0),l=0;for(t=-1,i=arguments.length;t<i;t++)if(a=-1===t?o:arguments[t],Ze(a)){if(l+(r=ce(a.length))>9007199254740991)throw TypeError(\"Maximum allowed index exceeded\");for(n=0;n<r;n++,l++)n in a&&Te(s,l,a[n])}else{if(l>=9007199254740991)throw TypeError(\"Maximum allowed index exceeded\");Te(s,l++,a)}return s.length=l,s}});var et=function(e){if(\"function\"!=typeof e)throw TypeError(String(e)+\" is not a function\");return e},tt=function(e,t,n){if(et(e),void 0===t)return e;switch(n){case 0:return function(){return e.call(t)};case 1:return function(n){return e.call(t,n)};case 2:return function(n,i){return e.call(t,n,i)};case 3:return function(n,i,r){return e.call(t,n,i,r)}}return function(){return e.apply(t,arguments)}},nt=[].push,it=function(e){var t=1==e,n=2==e,i=3==e,r=4==e,a=6==e,o=5==e||a;return function(s,l,c,u){for(var d,h,v=Oe(s),g=f(v),p=tt(l,c,3),m=ce(g.length),y=0,b=u||qe,w=t?b(s,m):n?b(s,0):void 0;m>y;y++)if((o||y in g)&&(h=p(d=g[y],y,v),e))if(t)w[y]=h;else if(h)switch(e){case 3:return!0;case 5:return d;case 6:return y;case 2:nt.call(w,d)}else if(r)return!1;return a?-1:i||r?r:w}},rt={forEach:it(0),map:it(1),filter:it(2),some:it(3),every:it(4),find:it(5),findIndex:it(6)},at=function(e,t){var n=[][e];return!!n&&r((function(){n.call(null,t||function(){throw 1},1)}))},ot=Object.defineProperty,st={},lt=function(e){throw e},ct=function(e,t){if(b(st,e))return st[e];t||(t={});var n=[][e],i=!!b(t,\"ACCESSORS\")&&t.ACCESSORS,o=b(t,0)?t[0]:lt,s=b(t,1)?t[1]:void 0;return st[e]=!!n&&!r((function(){if(i&&!a)return!0;var e={length:-1};i?ot(e,1,{enumerable:!0,get:lt}):e[1]=1,n.call(e,o,s)}))},ut=rt.forEach,dt=at(\"forEach\"),ht=ct(\"forEach\"),ft=dt&&ht?[].forEach:function(e){return ut(this,e,arguments.length>1?arguments[1]:void 0)};Me({target:\"Array\",proto:!0,forced:[].forEach!=ft},{forEach:ft});var vt=rt.map,gt=Ye(\"map\"),pt=ct(\"map\");Me({target:\"Array\",proto:!0,forced:!gt||!pt},{map:function(e){return vt(this,e,arguments.length>1?arguments[1]:void 0)}});var mt=Object.keys||function(e){return pe(e,me)},yt=Object.assign,bt=Object.defineProperty,wt=!yt||r((function(){if(a&&1!==yt({b:1},yt(bt({},\"a\",{enumerable:!0,get:function(){bt(this,\"b\",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},n=Symbol();return e[n]=7,\"abcdefghijklmnopqrst\".split(\"\").forEach((function(e){t[e]=e})),7!=yt({},e)[n]||\"abcdefghijklmnopqrst\"!=mt(yt({},t)).join(\"\")}))?function(e,t){for(var n=Oe(e),i=arguments.length,r=1,o=we.f,s=l.f;i>r;)for(var c,u=f(arguments[r++]),d=o?mt(u).concat(o(u)):mt(u),h=d.length,v=0;h>v;)c=d[v++],a&&!s.call(u,c)||(n[c]=u[c]);return n}:yt;Me({target:\"Object\",stat:!0,forced:Object.assign!==wt},{assign:wt});var St,Et=a?Object.defineProperties:function(e,t){x(e);for(var n,i=mt(t),r=i.length,a=0;r>a;)C.f(e,n=i[a++],t[n]);return e},kt=re(\"document\",\"documentElement\"),At=V(\"IE_PROTO\"),Rt=function(){},xt=function(e){return\"<script>\"+e+\"<\\/script>\"},Lt=function(){try{St=document.domain&&new ActiveXObject(\"htmlfile\")}catch(e){}var e,t;Lt=St?function(e){e.write(xt(\"\")),e.close();var t=e.parentWindow.Object;return e=null,t}(St):((t=E(\"iframe\")).style.display=\"none\",kt.appendChild(t),t.src=String(\"javascript:\"),(e=t.contentWindow.document).open(),e.write(xt(\"document.F=Object\")),e.close(),e.F);for(var n=me.length;n--;)delete Lt.prototype[me[n]];return Lt()};W[At]=!0;var Ct=Object.create||function(e,t){var n;return null!==e?(Rt.prototype=x(e),n=new Rt,Rt.prototype=null,n[At]=e):n=Lt(),void 0===t?n:Et(n,t)},Pt=be.f,Nt={}.toString,Mt=\"object\"==typeof window&&window&&Object.getOwnPropertyNames?Object.getOwnPropertyNames(window):[],It={f:function(e){return Mt&&\"[object Window]\"==Nt.call(e)?function(e){try{return Pt(e)}catch(e){return Mt.slice()}}(e):Pt(g(e))}},Ot={f:Fe},Tt=C.f,Dt=C.f,jt=Fe(\"toStringTag\"),Ht=function(e,t,n){e&&!b(e=n?e:e.prototype,jt)&&Dt(e,jt,{configurable:!0,value:t})},Ut=rt.forEach,Bt=V(\"hidden\"),Ft=Fe(\"toPrimitive\"),zt=ee.set,qt=ee.getterFor(\"Symbol\"),_t=Object.prototype,Vt=i.Symbol,Wt=re(\"JSON\",\"stringify\"),Kt=R.f,$t=C.f,Xt=It.f,Yt=l.f,Gt=B(\"symbols\"),Jt=B(\"op-symbols\"),Qt=B(\"string-to-symbol-registry\"),Zt=B(\"symbol-to-string-registry\"),en=B(\"wks\"),tn=i.QObject,nn=!tn||!tn.prototype||!tn.prototype.findChild,rn=a&&r((function(){return 7!=Ct($t({},\"a\",{get:function(){return $t(this,\"a\",{value:7}).a}})).a}))?function(e,t,n){var i=Kt(_t,t);i&&delete _t[t],$t(e,t,n),i&&e!==_t&&$t(_t,t,i)}:$t,an=function(e,t){var n=Gt[e]=Ct(Vt.prototype);return zt(n,{type:\"Symbol\",tag:e,description:t}),a||(n.description=t),n},on=je?function(e){return\"symbol\"==typeof e}:function(e){return Object(e)instanceof Vt},sn=function(e,t,n){e===_t&&sn(Jt,t,n),x(e);var i=m(t,!0);return x(n),b(Gt,i)?(n.enumerable?(b(e,Bt)&&e[Bt][i]&&(e[Bt][i]=!1),n=Ct(n,{enumerable:c(0,!1)})):(b(e,Bt)||$t(e,Bt,c(1,{})),e[Bt][i]=!0),rn(e,i,n)):$t(e,i,n)},ln=function(e,t){x(e);var n=g(t),i=mt(n).concat(hn(n));return Ut(i,(function(t){a&&!cn.call(n,t)||sn(e,t,n[t])})),e},cn=function(e){var t=m(e,!0),n=Yt.call(this,t);return!(this===_t&&b(Gt,t)&&!b(Jt,t))&&(!(n||!b(this,t)||!b(Gt,t)||b(this,Bt)&&this[Bt][t])||n)},un=function(e,t){var n=g(e),i=m(t,!0);if(n!==_t||!b(Gt,i)||b(Jt,i)){var r=Kt(n,i);return!r||!b(Gt,i)||b(n,Bt)&&n[Bt][i]||(r.enumerable=!0),r}},dn=function(e){var t=Xt(g(e)),n=[];return Ut(t,(function(e){b(Gt,e)||b(W,e)||n.push(e)})),n},hn=function(e){var t=e===_t,n=Xt(t?Jt:g(e)),i=[];return Ut(n,(function(e){!b(Gt,e)||t&&!b(_t,e)||i.push(Gt[e])})),i};if(De||(te((Vt=function(){if(this instanceof Vt)throw TypeError(\"Symbol is not a constructor\");var e=arguments.length&&void 0!==arguments[0]?String(arguments[0]):void 0,t=q(e),n=function(e){this===_t&&n.call(Jt,e),b(this,Bt)&&b(this[Bt],t)&&(this[Bt][t]=!1),rn(this,t,c(1,e))};return a&&nn&&rn(_t,t,{configurable:!0,set:n}),an(t,e)}).prototype,\"toString\",(function(){return qt(this).tag})),te(Vt,\"withoutSetter\",(function(e){return an(q(e),e)})),l.f=cn,C.f=sn,R.f=un,be.f=It.f=dn,we.f=hn,Ot.f=function(e){return an(Fe(e),e)},a&&($t(Vt.prototype,\"description\",{configurable:!0,get:function(){return qt(this).description}}),te(_t,\"propertyIsEnumerable\",cn,{unsafe:!0}))),Me({global:!0,wrap:!0,forced:!De,sham:!De},{Symbol:Vt}),Ut(mt(en),(function(e){!function(e){var t=ne.Symbol||(ne.Symbol={});b(t,e)||Tt(t,e,{value:Ot.f(e)})}(e)})),Me({target:\"Symbol\",stat:!0,forced:!De},{for:function(e){var t=String(e);if(b(Qt,t))return Qt[t];var n=Vt(t);return Qt[t]=n,Zt[n]=t,n},keyFor:function(e){if(!on(e))throw TypeError(e+\" is not a symbol\");if(b(Zt,e))return Zt[e]},useSetter:function(){nn=!0},useSimple:function(){nn=!1}}),Me({target:\"Object\",stat:!0,forced:!De,sham:!a},{create:function(e,t){return void 0===t?Ct(e):ln(Ct(e),t)},defineProperty:sn,defineProperties:ln,getOwnPropertyDescriptor:un}),Me({target:\"Object\",stat:!0,forced:!De},{getOwnPropertyNames:dn,getOwnPropertySymbols:hn}),Me({target:\"Object\",stat:!0,forced:r((function(){we.f(1)}))},{getOwnPropertySymbols:function(e){return we.f(Oe(e))}}),Wt){var fn=!De||r((function(){var e=Vt();return\"[null]\"!=Wt([e])||\"{}\"!=Wt({a:e})||\"{}\"!=Wt(Object(e))}));Me({target:\"JSON\",stat:!0,forced:fn},{stringify:function(e,t,n){for(var i,r=[e],a=1;arguments.length>a;)r.push(arguments[a++]);if(i=t,(p(t)||void 0!==e)&&!on(e))return Ie(t)||(t=function(e,t){if(\"function\"==typeof i&&(t=i.call(this,e,t)),!on(t))return t}),r[1]=t,Wt.apply(null,r)}})}Vt.prototype[Ft]||P(Vt.prototype,Ft,Vt.prototype.valueOf),Ht(Vt,\"Symbol\"),W[Bt]=!0;var vn=C.f,gn=i.Symbol;if(a&&\"function\"==typeof gn&&(!(\"description\"in gn.prototype)||void 0!==gn().description)){var pn={},mn=function(){var e=arguments.length<1||void 0===arguments[0]?void 0:String(arguments[0]),t=this instanceof mn?new gn(e):void 0===e?gn():gn(e);return\"\"===e&&(pn[t]=!0),t};Ee(mn,gn);var yn=mn.prototype=gn.prototype;yn.constructor=mn;var bn=yn.toString,wn=\"Symbol(test)\"==String(gn(\"test\")),Sn=/^Symbol\\((.*)\\)[^)]+$/;vn(yn,\"description\",{configurable:!0,get:function(){var e=p(this)?this.valueOf():this,t=bn.call(e);if(b(pn,e))return\"\";var n=wn?t.slice(7,-1):t.replace(Sn,\"$1\");return\"\"===n?void 0:n}}),Me({global:!0,forced:!0},{Symbol:mn})}var En=function(e,t,n,i){try{return i?t(x(n)[0],n[1]):t(n)}catch(t){var r=e.return;throw void 0!==r&&x(r.call(e)),t}},kn={},An=Fe(\"iterator\"),Rn=Array.prototype,xn=function(e){return void 0!==e&&(kn.Array===e||Rn[An]===e)},Ln={};Ln[Fe(\"toStringTag\")]=\"z\";var Cn=\"[object z]\"===String(Ln),Pn=Fe(\"toStringTag\"),Nn=\"Arguments\"==d(function(){return arguments}()),Mn=Cn?d:function(e){var t,n,i;return void 0===e?\"Undefined\":null===e?\"Null\":\"string\"==typeof(n=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),Pn))?n:Nn?d(t):\"Object\"==(i=d(t))&&\"function\"==typeof t.callee?\"Arguments\":i},In=Fe(\"iterator\"),On=function(e){if(null!=e)return e[In]||e[\"@@iterator\"]||kn[Mn(e)]},Tn=Fe(\"iterator\"),Dn=!1;try{var jn=0,Hn={next:function(){return{done:!!jn++}},return:function(){Dn=!0}};Hn[Tn]=function(){return this},Array.from(Hn,(function(){throw 2}))}catch(e){}var Un=function(e,t){if(!t&&!Dn)return!1;var n=!1;try{var i={};i[Tn]=function(){return{next:function(){return{done:n=!0}}}},e(i)}catch(e){}return n},Bn=!Un((function(e){Array.from(e)}));Me({target:\"Array\",stat:!0,forced:Bn},{from:function(e){var t,n,i,r,a,o,s=Oe(e),l=\"function\"==typeof this?this:Array,c=arguments.length,u=c>1?arguments[1]:void 0,d=void 0!==u,h=On(s),f=0;if(d&&(u=tt(u,c>2?arguments[2]:void 0,2)),null==h||l==Array&&xn(h))for(n=new l(t=ce(s.length));t>f;f++)o=d?u(s[f],f):s[f],Te(n,f,o);else for(a=(r=h.call(s)).next,n=new l;!(i=a.call(r)).done;f++)o=d?En(r,u,[i.value,f],!0):i.value,Te(n,f,o);return n.length=f,n}});var Fn=ve.indexOf,zn=[].indexOf,qn=!!zn&&1/[1].indexOf(1,-0)<0,_n=at(\"indexOf\"),Vn=ct(\"indexOf\",{ACCESSORS:!0,1:0});Me({target:\"Array\",proto:!0,forced:qn||!_n||!Vn},{indexOf:function(e){return qn?zn.apply(this,arguments)||0:Fn(this,e,arguments.length>1?arguments[1]:void 0)}});var Wn=Ye(\"splice\"),Kn=ct(\"splice\",{ACCESSORS:!0,0:0,1:2}),$n=Math.max,Xn=Math.min;Me({target:\"Array\",proto:!0,forced:!Wn||!Kn},{splice:function(e,t){var n,i,r,a,o,s,l=Oe(this),c=ce(l.length),u=he(e,c),d=arguments.length;if(0===d?n=i=0:1===d?(n=0,i=c-u):(n=d-2,i=Xn($n(se(t),0),c-u)),c+n-i>9007199254740991)throw TypeError(\"Maximum allowed length exceeded\");for(r=qe(l,i),a=0;a<i;a++)(o=u+a)in l&&Te(r,a,l[o]);if(r.length=i,n<i){for(a=u;a<c-i;a++)s=a+n,(o=a+i)in l?l[s]=l[o]:delete l[s];for(a=c;a>c-i+n;a--)delete l[a-1]}else if(n>i)for(a=c-i;a>u;a--)s=a+n-1,(o=a+i-1)in l?l[s]=l[o]:delete l[s];for(a=0;a<n;a++)l[a+u]=arguments[a+2];return l.length=c-i+n,r}});var Yn=C.f,Gn=Function.prototype,Jn=Gn.toString,Qn=/^\\s*function ([^ (]*)/;a&&!(\"name\"in Gn)&&Yn(Gn,\"name\",{configurable:!0,get:function(){try{return Jn.call(this).match(Qn)[1]}catch(e){return\"\"}}});var Zn=Object.setPrototypeOf||(\"__proto__\"in{}?function(){var e,t=!1,n={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,\"__proto__\").set).call(n,[]),t=n instanceof Array}catch(e){}return function(n,i){return x(n),function(e){if(!p(e)&&null!==e)throw TypeError(\"Can't set \"+String(e)+\" as a prototype\")}(i),t?e.call(n,i):n.__proto__=i,n}}():void 0),ei=function(e,t,n){var i,r;return Zn&&\"function\"==typeof(i=t.constructor)&&i!==n&&p(r=i.prototype)&&r!==n.prototype&&Zn(e,r),e},ti=\"\\t\\n\\v\\f\\r \\u2028\\u2029\\ufeff\",ni=\"[\"+ti+\"]\",ii=RegExp(\"^\"+ni+ni+\"*\"),ri=RegExp(ni+ni+\"*$\"),ai=function(e){return function(t){var n=String(v(t));return 1&e&&(n=n.replace(ii,\"\")),2&e&&(n=n.replace(ri,\"\")),n}},oi={start:ai(1),end:ai(2),trim:ai(3)},si=be.f,li=R.f,ci=C.f,ui=oi.trim,di=i.Number,hi=di.prototype,fi=\"Number\"==d(Ct(hi)),vi=function(e){var t,n,i,r,a,o,s,l,c=m(e,!1);if(\"string\"==typeof c&&c.length>2)if(43===(t=(c=ui(c)).charCodeAt(0))||45===t){if(88===(n=c.charCodeAt(2))||120===n)return NaN}else if(48===t){switch(c.charCodeAt(1)){case 66:case 98:i=2,r=49;break;case 79:case 111:i=8,r=55;break;default:return+c}for(o=(a=c.slice(2)).length,s=0;s<o;s++)if((l=a.charCodeAt(s))<48||l>r)return NaN;return parseInt(a,i)}return+c};if(Pe(\"Number\",!di(\" 0o1\")||!di(\"0b1\")||di(\"+0x1\"))){for(var gi,pi=function(e){var t=arguments.length<1?0:e,n=this;return n instanceof pi&&(fi?r((function(){hi.valueOf.call(n)})):\"Number\"!=d(n))?ei(new di(vi(t)),n,pi):vi(t)},mi=a?si(di):\"MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger\".split(\",\"),yi=0;mi.length>yi;yi++)b(di,gi=mi[yi])&&!b(pi,gi)&&ci(pi,gi,li(di,gi));pi.prototype=hi,hi.constructor=pi,te(i,\"Number\",pi)}var bi=Cn?{}.toString:function(){return\"[object \"+Mn(this)+\"]\"};Cn||te(Object.prototype,\"toString\",bi,{unsafe:!0});var wi,Si,Ei,ki=i.Promise,Ai=Fe(\"species\"),Ri=t((function(e){var t=function(e,t){this.stopped=e,this.result=t};(e.exports=function(e,n,i,r,a){var o,s,l,c,u,d,h,f=tt(n,i,r?2:1);if(a)o=e;else{if(\"function\"!=typeof(s=On(e)))throw TypeError(\"Target is not iterable\");if(xn(s)){for(l=0,c=ce(e.length);c>l;l++)if((u=r?f(x(h=e[l])[0],h[1]):f(e[l]))&&u instanceof t)return u;return new t(!1)}o=s.call(e)}for(d=o.next;!(h=d.call(o)).done;)if(\"object\"==typeof(u=En(o,f,h.value,r))&&u&&u instanceof t)return u;return new t(!1)}).stop=function(e){return new t(!0,e)}})),xi=Fe(\"species\"),Li=function(e,t){var n,i=x(e).constructor;return void 0===i||null==(n=x(i)[xi])?t:et(n)},Ci=/(iphone|ipod|ipad).*applewebkit/i.test(_e),Pi=i.location,Ni=i.setImmediate,Mi=i.clearImmediate,Ii=i.process,Oi=i.MessageChannel,Ti=i.Dispatch,Di=0,ji={},Hi=function(e){if(ji.hasOwnProperty(e)){var t=ji[e];delete ji[e],t()}},Ui=function(e){return function(){Hi(e)}},Bi=function(e){Hi(e.data)},Fi=function(e){i.postMessage(e+\"\",Pi.protocol+\"//\"+Pi.host)};Ni&&Mi||(Ni=function(e){for(var t=[],n=1;arguments.length>n;)t.push(arguments[n++]);return ji[++Di]=function(){(\"function\"==typeof e?e:Function(e)).apply(void 0,t)},wi(Di),Di},Mi=function(e){delete ji[e]},\"process\"==d(Ii)?wi=function(e){Ii.nextTick(Ui(e))}:Ti&&Ti.now?wi=function(e){Ti.now(Ui(e))}:Oi&&!Ci?(Ei=(Si=new Oi).port2,Si.port1.onmessage=Bi,wi=tt(Ei.postMessage,Ei,1)):!i.addEventListener||\"function\"!=typeof postMessage||i.importScripts||r(Fi)||\"file:\"===Pi.protocol?wi=\"onreadystatechange\"in E(\"script\")?function(e){kt.appendChild(E(\"script\")).onreadystatechange=function(){kt.removeChild(this),Hi(e)}}:function(e){setTimeout(Ui(e),0)}:(wi=Fi,i.addEventListener(\"message\",Bi,!1)));var zi,qi,_i,Vi,Wi,Ki,$i,Xi,Yi={set:Ni,clear:Mi},Gi=R.f,Ji=Yi.set,Qi=i.MutationObserver||i.WebKitMutationObserver,Zi=i.process,er=i.Promise,tr=\"process\"==d(Zi),nr=Gi(i,\"queueMicrotask\"),ir=nr&&nr.value;ir||(zi=function(){var e,t;for(tr&&(e=Zi.domain)&&e.exit();qi;){t=qi.fn,qi=qi.next;try{t()}catch(e){throw qi?Vi():_i=void 0,e}}_i=void 0,e&&e.enter()},tr?Vi=function(){Zi.nextTick(zi)}:Qi&&!Ci?(Wi=!0,Ki=document.createTextNode(\"\"),new Qi(zi).observe(Ki,{characterData:!0}),Vi=function(){Ki.data=Wi=!Wi}):er&&er.resolve?($i=er.resolve(void 0),Xi=$i.then,Vi=function(){Xi.call($i,zi)}):Vi=function(){Ji.call(i,zi)});var rr,ar,or,sr,lr=ir||function(e){var t={fn:e,next:void 0};_i&&(_i.next=t),qi||(qi=t,Vi()),_i=t},cr=function(e){var t,n;this.promise=new e((function(e,i){if(void 0!==t||void 0!==n)throw TypeError(\"Bad Promise constructor\");t=e,n=i})),this.resolve=et(t),this.reject=et(n)},ur={f:function(e){return new cr(e)}},dr=function(e,t){if(x(e),p(t)&&t.constructor===e)return t;var n=ur.f(e);return(0,n.resolve)(t),n.promise},hr=function(e){try{return{error:!1,value:e()}}catch(e){return{error:!0,value:e}}},fr=Yi.set,vr=Fe(\"species\"),gr=\"Promise\",pr=ee.get,mr=ee.set,yr=ee.getterFor(gr),br=ki,wr=i.TypeError,Sr=i.document,Er=i.process,kr=re(\"fetch\"),Ar=ur.f,Rr=Ar,xr=\"process\"==d(Er),Lr=!!(Sr&&Sr.createEvent&&i.dispatchEvent),Cr=Pe(gr,(function(){if(!(j(br)!==String(br))){if(66===$e)return!0;if(!xr&&\"function\"!=typeof PromiseRejectionEvent)return!0}if($e>=51&&/native code/.test(br))return!1;var e=br.resolve(1),t=function(e){e((function(){}),(function(){}))};return(e.constructor={})[vr]=t,!(e.then((function(){}))instanceof t)})),Pr=Cr||!Un((function(e){br.all(e).catch((function(){}))})),Nr=function(e){var t;return!(!p(e)||\"function\"!=typeof(t=e.then))&&t},Mr=function(e,t,n){if(!t.notified){t.notified=!0;var i=t.reactions;lr((function(){for(var r=t.value,a=1==t.state,o=0;i.length>o;){var s,l,c,u=i[o++],d=a?u.ok:u.fail,h=u.resolve,f=u.reject,v=u.domain;try{d?(a||(2===t.rejection&&Dr(e,t),t.rejection=1),!0===d?s=r:(v&&v.enter(),s=d(r),v&&(v.exit(),c=!0)),s===u.promise?f(wr(\"Promise-chain cycle\")):(l=Nr(s))?l.call(s,h,f):h(s)):f(r)}catch(e){v&&!c&&v.exit(),f(e)}}t.reactions=[],t.notified=!1,n&&!t.rejection&&Or(e,t)}))}},Ir=function(e,t,n){var r,a;Lr?((r=Sr.createEvent(\"Event\")).promise=t,r.reason=n,r.initEvent(e,!1,!0),i.dispatchEvent(r)):r={promise:t,reason:n},(a=i[\"on\"+e])?a(r):\"unhandledrejection\"===e&&function(e,t){var n=i.console;n&&n.error&&(1===arguments.length?n.error(e):n.error(e,t))}(\"Unhandled promise rejection\",n)},Or=function(e,t){fr.call(i,(function(){var n,i=t.value;if(Tr(t)&&(n=hr((function(){xr?Er.emit(\"unhandledRejection\",i,e):Ir(\"unhandledrejection\",e,i)})),t.rejection=xr||Tr(t)?2:1,n.error))throw n.value}))},Tr=function(e){return 1!==e.rejection&&!e.parent},Dr=function(e,t){fr.call(i,(function(){xr?Er.emit(\"rejectionHandled\",e):Ir(\"rejectionhandled\",e,t.value)}))},jr=function(e,t,n,i){return function(r){e(t,n,r,i)}},Hr=function(e,t,n,i){t.done||(t.done=!0,i&&(t=i),t.value=n,t.state=2,Mr(e,t,!0))},Ur=function(e,t,n,i){if(!t.done){t.done=!0,i&&(t=i);try{if(e===n)throw wr(\"Promise can't be resolved itself\");var r=Nr(n);r?lr((function(){var i={done:!1};try{r.call(n,jr(Ur,e,i,t),jr(Hr,e,i,t))}catch(n){Hr(e,i,n,t)}})):(t.value=n,t.state=1,Mr(e,t,!1))}catch(n){Hr(e,{done:!1},n,t)}}};Cr&&(br=function(e){!function(e,t,n){if(!(e instanceof t))throw TypeError(\"Incorrect \"+(n?n+\" \":\"\")+\"invocation\")}(this,br,gr),et(e),rr.call(this);var t=pr(this);try{e(jr(Ur,this,t),jr(Hr,this,t))}catch(e){Hr(this,t,e)}},(rr=function(e){mr(this,{type:gr,done:!1,notified:!1,parent:!1,reactions:[],rejection:!1,state:0,value:void 0})}).prototype=function(e,t,n){for(var i in t)te(e,i,t[i],n);return e}(br.prototype,{then:function(e,t){var n=yr(this),i=Ar(Li(this,br));return i.ok=\"function\"!=typeof e||e,i.fail=\"function\"==typeof t&&t,i.domain=xr?Er.domain:void 0,n.parent=!0,n.reactions.push(i),0!=n.state&&Mr(this,n,!1),i.promise},catch:function(e){return this.then(void 0,e)}}),ar=function(){var e=new rr,t=pr(e);this.promise=e,this.resolve=jr(Ur,e,t),this.reject=jr(Hr,e,t)},ur.f=Ar=function(e){return e===br||e===or?new ar(e):Rr(e)},\"function\"==typeof ki&&(sr=ki.prototype.then,te(ki.prototype,\"then\",(function(e,t){var n=this;return new br((function(e,t){sr.call(n,e,t)})).then(e,t)}),{unsafe:!0}),\"function\"==typeof kr&&Me({global:!0,enumerable:!0,forced:!0},{fetch:function(e){return dr(br,kr.apply(i,arguments))}}))),Me({global:!0,wrap:!0,forced:Cr},{Promise:br}),Ht(br,gr,!1),function(e){var t=re(e),n=C.f;a&&t&&!t[Ai]&&n(t,Ai,{configurable:!0,get:function(){return this}})}(gr),or=re(gr),Me({target:gr,stat:!0,forced:Cr},{reject:function(e){var t=Ar(this);return t.reject.call(void 0,e),t.promise}}),Me({target:gr,stat:!0,forced:Cr},{resolve:function(e){return dr(this,e)}}),Me({target:gr,stat:!0,forced:Pr},{all:function(e){var t=this,n=Ar(t),i=n.resolve,r=n.reject,a=hr((function(){var n=et(t.resolve),a=[],o=0,s=1;Ri(e,(function(e){var l=o++,c=!1;a.push(void 0),s++,n.call(t,e).then((function(e){c||(c=!0,a[l]=e,--s||i(a))}),r)})),--s||i(a)}));return a.error&&r(a.value),n.promise},race:function(e){var t=this,n=Ar(t),i=n.reject,r=hr((function(){var r=et(t.resolve);Ri(e,(function(e){r.call(t,e).then(n.resolve,i)}))}));return r.error&&i(r.value),n.promise}});var Br=function(){var e=x(this),t=\"\";return e.global&&(t+=\"g\"),e.ignoreCase&&(t+=\"i\"),e.multiline&&(t+=\"m\"),e.dotAll&&(t+=\"s\"),e.unicode&&(t+=\"u\"),e.sticky&&(t+=\"y\"),t};function Fr(e,t){return RegExp(e,t)}var zr,qr,_r={UNSUPPORTED_Y:r((function(){var e=Fr(\"a\",\"y\");return e.lastIndex=2,null!=e.exec(\"abcd\")})),BROKEN_CARET:r((function(){var e=Fr(\"^r\",\"gy\");return e.lastIndex=2,null!=e.exec(\"str\")}))},Vr=RegExp.prototype.exec,Wr=String.prototype.replace,Kr=Vr,$r=(zr=/a/,qr=/b*/g,Vr.call(zr,\"a\"),Vr.call(qr,\"a\"),0!==zr.lastIndex||0!==qr.lastIndex),Xr=_r.UNSUPPORTED_Y||_r.BROKEN_CARET,Yr=void 0!==/()??/.exec(\"\")[1];($r||Yr||Xr)&&(Kr=function(e){var t,n,i,r,a=this,o=Xr&&a.sticky,s=Br.call(a),l=a.source,c=0,u=e;return o&&(-1===(s=s.replace(\"y\",\"\")).indexOf(\"g\")&&(s+=\"g\"),u=String(e).slice(a.lastIndex),a.lastIndex>0&&(!a.multiline||a.multiline&&\"\\n\"!==e[a.lastIndex-1])&&(l=\"(?: \"+l+\")\",u=\" \"+u,c++),n=new RegExp(\"^(?:\"+l+\")\",s)),Yr&&(n=new RegExp(\"^\"+l+\"$(?!\\\\s)\",s)),$r&&(t=a.lastIndex),i=Vr.call(o?n:a,u),o?i?(i.input=i.input.slice(c),i[0]=i[0].slice(c),i.index=a.lastIndex,a.lastIndex+=i[0].length):a.lastIndex=0:$r&&i&&(a.lastIndex=a.global?i.index+i[0].length:t),Yr&&i&&i.length>1&&Wr.call(i[0],n,(function(){for(r=1;r<arguments.length-2;r++)void 0===arguments[r]&&(i[r]=void 0)})),i});var Gr=Kr;Me({target:\"RegExp\",proto:!0,forced:/./.exec!==Gr},{exec:Gr});var Jr,Qr,Zr,ea=function(e){return function(t,n){var i,r,a=String(v(t)),o=se(n),s=a.length;return o<0||o>=s?e?\"\":void 0:(i=a.charCodeAt(o))<55296||i>56319||o+1===s||(r=a.charCodeAt(o+1))<56320||r>57343?e?a.charAt(o):i:e?a.slice(o,o+2):r-56320+(i-55296<<10)+65536}},ta={codeAt:ea(!1),charAt:ea(!0)},na=!r((function(){function e(){}return e.prototype.constructor=null,Object.getPrototypeOf(new e)!==e.prototype})),ia=V(\"IE_PROTO\"),ra=Object.prototype,aa=na?Object.getPrototypeOf:function(e){return e=Oe(e),b(e,ia)?e[ia]:\"function\"==typeof e.constructor&&e instanceof e.constructor?e.constructor.prototype:e instanceof Object?ra:null},oa=Fe(\"iterator\"),sa=!1;[].keys&&(\"next\"in(Zr=[].keys())?(Qr=aa(aa(Zr)))!==Object.prototype&&(Jr=Qr):sa=!0),null==Jr&&(Jr={}),b(Jr,oa)||P(Jr,oa,(function(){return this}));var la={IteratorPrototype:Jr,BUGGY_SAFARI_ITERATORS:sa},ca=la.IteratorPrototype,ua=function(){return this},da=la.IteratorPrototype,ha=la.BUGGY_SAFARI_ITERATORS,fa=Fe(\"iterator\"),va=function(){return this},ga=ta.charAt,pa=ee.set,ma=ee.getterFor(\"String Iterator\");!function(e,t,n,i,r,a,o){!function(e,t,n){var i=t+\" Iterator\";e.prototype=Ct(ca,{next:c(1,n)}),Ht(e,i,!1),kn[i]=ua}(n,t,i);var s,l,u,d=function(e){if(e===r&&p)return p;if(!ha&&e in v)return v[e];switch(e){case\"keys\":case\"values\":case\"entries\":return function(){return new n(this,e)}}return function(){return new n(this)}},h=t+\" Iterator\",f=!1,v=e.prototype,g=v[fa]||v[\"@@iterator\"]||r&&v[r],p=!ha&&g||d(r),m=\"Array\"==t&&v.entries||g;if(m&&(s=aa(m.call(new e)),da!==Object.prototype&&s.next&&(aa(s)!==da&&(Zn?Zn(s,da):\"function\"!=typeof s[fa]&&P(s,fa,va)),Ht(s,h,!0))),\"values\"==r&&g&&\"values\"!==g.name&&(f=!0,p=function(){return g.call(this)}),v[fa]!==p&&P(v,fa,p),kn[t]=p,r)if(l={values:d(\"values\"),keys:a?p:d(\"keys\"),entries:d(\"entries\")},o)for(u in l)(ha||f||!(u in v))&&te(v,u,l[u]);else Me({target:t,proto:!0,forced:ha||f},l)}(String,\"String\",(function(e){pa(this,{type:\"String Iterator\",string:String(e),index:0})}),(function(){var e,t=ma(this),n=t.string,i=t.index;return i>=n.length?{value:void 0,done:!0}:(e=ga(n,i),t.index+=e.length,{value:e,done:!1})}));var ya=Fe(\"species\"),ba=!r((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:\"7\"},e},\"7\"!==\"\".replace(e,\"$<a>\")})),wa=\"$0\"===\"a\".replace(/./,\"$0\"),Sa=Fe(\"replace\"),Ea=!!/./[Sa]&&\"\"===/./[Sa](\"a\",\"$0\"),ka=!r((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var n=\"ab\".split(e);return 2!==n.length||\"a\"!==n[0]||\"b\"!==n[1]})),Aa=function(e,t,n,i){var a=Fe(e),o=!r((function(){var t={};return t[a]=function(){return 7},7!=\"\"[e](t)})),s=o&&!r((function(){var t=!1,n=/a/;return\"split\"===e&&((n={}).constructor={},n.constructor[ya]=function(){return n},n.flags=\"\",n[a]=/./[a]),n.exec=function(){return t=!0,null},n[a](\"\"),!t}));if(!o||!s||\"replace\"===e&&(!ba||!wa||Ea)||\"split\"===e&&!ka){var l=/./[a],c=n(a,\"\"[e],(function(e,t,n,i,r){return t.exec===Gr?o&&!r?{done:!0,value:l.call(t,n,i)}:{done:!0,value:e.call(n,t,i)}:{done:!1}}),{REPLACE_KEEPS_$0:wa,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:Ea}),u=c[0],d=c[1];te(String.prototype,e,u),te(RegExp.prototype,a,2==t?function(e,t){return d.call(e,this,t)}:function(e){return d.call(e,this)})}i&&P(RegExp.prototype[a],\"sham\",!0)},Ra=ta.charAt,xa=function(e,t,n){return t+(n?Ra(e,t).length:1)},La=function(e,t){var n=e.exec;if(\"function\"==typeof n){var i=n.call(e,t);if(\"object\"!=typeof i)throw TypeError(\"RegExp exec method returned something other than an Object or null\");return i}if(\"RegExp\"!==d(e))throw TypeError(\"RegExp#exec called on incompatible receiver\");return Gr.call(e,t)};Aa(\"match\",1,(function(e,t,n){return[function(t){var n=v(this),i=null==t?void 0:t[e];return void 0!==i?i.call(t,n):new RegExp(t)[e](String(n))},function(e){var i=n(t,e,this);if(i.done)return i.value;var r=x(e),a=String(this);if(!r.global)return La(r,a);var o=r.unicode;r.lastIndex=0;for(var s,l=[],c=0;null!==(s=La(r,a));){var u=String(s[0]);l[c]=u,\"\"===u&&(r.lastIndex=xa(a,ce(r.lastIndex),o)),c++}return 0===c?null:l}]}));var Ca=Fe(\"match\"),Pa=[].push,Na=Math.min,Ma=!r((function(){return!RegExp(4294967295,\"y\")}));Aa(\"split\",2,(function(e,t,n){var i;return i=\"c\"==\"abbc\".split(/(b)*/)[1]||4!=\"test\".split(/(?:)/,-1).length||2!=\"ab\".split(/(?:ab)*/).length||4!=\".\".split(/(.?)(.?)/).length||\".\".split(/()()/).length>1||\"\".split(/.?/).length?function(e,n){var i,r,a=String(v(this)),o=void 0===n?4294967295:n>>>0;if(0===o)return[];if(void 0===e)return[a];if(!p(i=e)||!(void 0!==(r=i[Ca])?r:\"RegExp\"==d(i)))return t.call(a,e,o);for(var s,l,c,u=[],h=(e.ignoreCase?\"i\":\"\")+(e.multiline?\"m\":\"\")+(e.unicode?\"u\":\"\")+(e.sticky?\"y\":\"\"),f=0,g=new RegExp(e.source,h+\"g\");(s=Gr.call(g,a))&&!((l=g.lastIndex)>f&&(u.push(a.slice(f,s.index)),s.length>1&&s.index<a.length&&Pa.apply(u,s.slice(1)),c=s[0].length,f=l,u.length>=o));)g.lastIndex===s.index&&g.lastIndex++;return f===a.length?!c&&g.test(\"\")||u.push(\"\"):u.push(a.slice(f)),u.length>o?u.slice(0,o):u}:\"0\".split(void 0,0).length?function(e,n){return void 0===e&&0===n?[]:t.call(this,e,n)}:t,[function(t,n){var r=v(this),a=null==t?void 0:t[e];return void 0!==a?a.call(t,r,n):i.call(String(r),t,n)},function(e,r){var a=n(i,e,this,r,i!==t);if(a.done)return a.value;var o=x(e),s=String(this),l=Li(o,RegExp),c=o.unicode,u=(o.ignoreCase?\"i\":\"\")+(o.multiline?\"m\":\"\")+(o.unicode?\"u\":\"\")+(Ma?\"y\":\"g\"),d=new l(Ma?o:\"^(?:\"+o.source+\")\",u),h=void 0===r?4294967295:r>>>0;if(0===h)return[];if(0===s.length)return null===La(d,s)?[s]:[];for(var f=0,v=0,g=[];v<s.length;){d.lastIndex=Ma?v:0;var p,m=La(d,Ma?s:s.slice(v));if(null===m||(p=Na(ce(d.lastIndex+(Ma?0:v)),s.length))===f)v=xa(s,v,c);else{if(g.push(s.slice(f,v)),g.length===h)return g;for(var y=1;y<=m.length-1;y++)if(g.push(m[y]),g.length===h)return g;v=f=p}}return g.push(s.slice(f)),g}]}),!Ma);var Ia,Oa=oi.trim;Me({target:\"String\",proto:!0,forced:(Ia=\"trim\",r((function(){return!!ti[Ia]()||\"
\"!=\"
\"[Ia]()||ti[Ia].name!==Ia})))},{trim:function(){return Oa(this)}});for(var Ta in{CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}){var Da=i[Ta],ja=Da&&Da.prototype;if(ja&&ja.forEach!==ft)try{P(ja,\"forEach\",ft)}catch(e){ja.forEach=ft}}function Ha(e){return(Ha=\"function\"==typeof Symbol&&\"symbol\"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&\"function\"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?\"symbol\":typeof e})(e)}function Ua(e,t){if(!(e instanceof t))throw new TypeError(\"Cannot call a class as a function\")}function Ba(e,t){for(var n=0;n<t.length;n++){var i=t[n];i.enumerable=i.enumerable||!1,i.configurable=!0,\"value\"in i&&(i.writable=!0),Object.defineProperty(e,i.key,i)}}function Fa(e,t,n){return t&&Ba(e.prototype,t),n&&Ba(e,n),e}function za(e,t,n){return t in e?Object.defineProperty(e,t,{value:n,enumerable:!0,configurable:!0,writable:!0}):e[t]=n,e}function qa(e,t){var n=Object.keys(e);if(Object.getOwnPropertySymbols){var i=Object.getOwnPropertySymbols(e);t&&(i=i.filter((function(t){return Object.getOwnPropertyDescriptor(e,t).enumerable}))),n.push.apply(n,i)}return n}function _a(e){for(var t=1;t<arguments.length;t++){var n=null!=arguments[t]?arguments[t]:{};t%2?qa(Object(n),!0).forEach((function(t){za(e,t,n[t])})):Object.getOwnPropertyDescriptors?Object.defineProperties(e,Object.getOwnPropertyDescriptors(n)):qa(Object(n)).forEach((function(t){Object.defineProperty(e,t,Object.getOwnPropertyDescriptor(n,t))}))}return e}function Va(e){return function(e){if(Array.isArray(e))return Wa(e)}(e)||function(e){if(\"undefined\"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||function(e,t){if(!e)return;if(\"string\"==typeof e)return Wa(e,t);var n=Object.prototype.toString.call(e).slice(8,-1);\"Object\"===n&&e.constructor&&(n=e.constructor.name);if(\"Map\"===n||\"Set\"===n)return Array.from(e);if(\"Arguments\"===n||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(n))return Wa(e,t)}(e)||function(){throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\")}()}function Wa(e,t){(null==t||t>e.length)&&(t=e.length);for(var n=0,i=new Array(t);n<t;n++)i[n]=e[n];return i}var Ka=/registerPlugin|registerKeyboardShortcut|addKeyBinding|addEventListener/,$a=/fade-(down|up|right|left|out|in-then-out|in-then-semi-out)|semi-fade-out|current-visible|shrink|grow/,Xa=Math.max,Ya=Math.min,Ga=Math.floor,Ja=/\\$([$&'`]|\\d\\d?|<[^>]*>)/g,Qa=/\\$([$&'`]|\\d\\d?)/g;Aa(\"replace\",2,(function(e,t,n,i){var r=i.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,a=i.REPLACE_KEEPS_$0,o=r?\"$\":\"$0\";return[function(n,i){var r=v(this),a=null==n?void 0:n[e];return void 0!==a?a.call(n,r,i):t.call(String(r),n,i)},function(e,i){if(!r&&a||\"string\"==typeof i&&-1===i.indexOf(o)){var l=n(t,e,this,i);if(l.done)return l.value}var c=x(e),u=String(this),d=\"function\"==typeof i;d||(i=String(i));var h=c.global;if(h){var f=c.unicode;c.lastIndex=0}for(var v=[];;){var g=La(c,u);if(null===g)break;if(v.push(g),!h)break;\"\"===String(g[0])&&(c.lastIndex=xa(u,ce(c.lastIndex),f))}for(var p,m=\"\",y=0,b=0;b<v.length;b++){g=v[b];for(var w=String(g[0]),S=Xa(Ya(se(g.index),u.length),0),E=[],k=1;k<g.length;k++)E.push(void 0===(p=g[k])?p:String(p));var A=g.groups;if(d){var R=[w].concat(E,S,u);void 0!==A&&R.push(A);var L=String(i.apply(void 0,R))}else L=s(w,u,S,E,A,i);S>=y&&(m+=u.slice(y,S)+L,y=S+w.length)}return m+u.slice(y)}];function s(e,n,i,r,a,o){var s=i+e.length,l=r.length,c=Qa;return void 0!==a&&(a=Oe(a),c=Ja),t.call(o,c,(function(t,o){var c;switch(o.charAt(0)){case\"$\":return\"$\";case\"&\":return e;case\"`\":return n.slice(0,i);case\"'\":return n.slice(s);case\"<\":c=a[o.slice(1,-1)];break;default:var u=+o;if(0===u)return t;if(u>l){var d=Ga(u/10);return 0===d?t:d<=l?void 0===r[d-1]?o.charAt(1):r[d-1]+o.charAt(1):t}c=r[u-1]}return void 0===c?\"\":c}))}}));var Za=Object.is||function(e,t){return e===t?0!==e||1/e==1/t:e!=e&&t!=t};Aa(\"search\",1,(function(e,t,n){return[function(t){var n=v(this),i=null==t?void 0:t[e];return void 0!==i?i.call(t,n):new RegExp(t)[e](String(n))},function(e){var i=n(t,e,this);if(i.done)return i.value;var r=x(e),a=String(this),o=r.lastIndex;Za(o,0)||(r.lastIndex=0);var s=La(r,a);return Za(r.lastIndex,o)||(r.lastIndex=o),null===s?-1:s.index}]}));var eo=function(e,t){for(var n in t)e[n]=t[n];return e},to=function(e,t){return Array.from(e.querySelectorAll(t))},no=function(e,t,n){n?e.classList.add(t):e.classList.remove(t)},io=function(e){if(\"string\"==typeof e){if(\"null\"===e)return null;if(\"true\"===e)return!0;if(\"false\"===e)return!1;if(e.match(/^-?[\\d\\.]+$/))return parseFloat(e)}return e},ro=function(e,t){e.style.transform=t},ao=function(e,t){var n=e.matches||e.matchesSelector||e.msMatchesSelector;return!(!n||!n.call(e,t))},oo=function(e,t){if(\"function\"==typeof e.closest)return e.closest(t);for(;e;){if(ao(e,t))return e;e=e.parentNode}return null},so=function(e,t,n){for(var i=arguments.length>3&&void 0!==arguments[3]?arguments[3]:\"\",r=e.querySelectorAll(\".\"+n),a=0;a<r.length;a++){var o=r[a];if(o.parentNode===e)return o}var s=document.createElement(t);return s.className=n,s.innerHTML=i,e.appendChild(s),s},lo=function(e){var t=document.createElement(\"style\");return t.type=\"text/css\",e&&e.length>0&&(t.styleSheet?t.styleSheet.cssText=e:t.appendChild(document.createTextNode(e))),document.head.appendChild(t),t},co=function(){var e={};for(var t in location.search.replace(/[A-Z0-9]+?=([\\w\\.%-]*)/gi,(function(t){e[t.split(\"=\").shift()]=t.split(\"=\").pop()})),e){var n=e[t];e[t]=io(unescape(n))}return void 0!==e.dependencies&&delete e.dependencies,e},uo=function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0;if(e){var n,i=e.style.height;return e.style.height=\"0px\",e.parentNode.style.height=\"auto\",n=t-e.parentNode.offsetHeight,e.style.height=i+\"px\",e.parentNode.style.removeProperty(\"height\"),n}return t},ho=navigator.userAgent,fo=document.createElement(\"div\"),vo=/(iphone|ipod|ipad|android)/gi.test(ho)||\"MacIntel\"===navigator.platform&&navigator.maxTouchPoints>1,go=/chrome/i.test(ho)&&!/edge/i.test(ho),po=/android/gi.test(ho),mo=\"zoom\"in fo.style&&!vo&&(go||/Version\\/[\\d\\.]+.*Safari/.test(ho)),yo=\"function\"==typeof window.history.replaceState&&!/PhantomJS/.test(ho),bo=function(){function e(t){Ua(this,e),this.Reveal=t,this.startEmbeddedIframe=this.startEmbeddedIframe.bind(this)}return Fa(e,[{key:\"shouldPreload\",value:function(e){var t=this.Reveal.getConfig().preloadIframes;return\"boolean\"!=typeof t&&(t=e.hasAttribute(\"data-preload\")),t}},{key:\"load\",value:function(e){var t=this,n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};e.style.display=this.Reveal.getConfig().display,to(e,\"img[data-src], video[data-src], audio[data-src], iframe[data-src]\").forEach((function(e){(\"IFRAME\"!==e.tagName||t.shouldPreload(e))&&(e.setAttribute(\"src\",e.getAttribute(\"data-src\")),e.setAttribute(\"data-lazy-loaded\",\"\"),e.removeAttribute(\"data-src\"))})),to(e,\"video, audio\").forEach((function(e){var t=0;to(e,\"source[data-src]\").forEach((function(e){e.setAttribute(\"src\",e.getAttribute(\"data-src\")),e.removeAttribute(\"data-src\"),e.setAttribute(\"data-lazy-loaded\",\"\"),t+=1})),t>0&&e.load()}));var i=e.slideBackgroundElement;if(i){i.style.display=\"block\";var r=e.slideBackgroundContentElement,a=e.getAttribute(\"data-background-iframe\");if(!1===i.hasAttribute(\"data-loaded\")){i.setAttribute(\"data-loaded\",\"true\");var o=e.getAttribute(\"data-background-image\"),s=e.getAttribute(\"data-background-video\"),l=e.hasAttribute(\"data-background-video-loop\"),c=e.hasAttribute(\"data-background-video-muted\");if(o)r.style.backgroundImage=\"url(\"+encodeURI(o)+\")\";else if(s&&!this.Reveal.isSpeakerNotes()){var u=document.createElement(\"video\");l&&u.setAttribute(\"loop\",\"\"),c&&(u.muted=!0),vo&&(u.muted=!0,u.autoplay=!0,u.setAttribute(\"playsinline\",\"\")),s.split(\",\").forEach((function(e){u.innerHTML+='<source src=\"'+e+'\">'})),r.appendChild(u)}else if(a&&!0!==n.excludeIframes){var d=document.createElement(\"iframe\");d.setAttribute(\"allowfullscreen\",\"\"),d.setAttribute(\"mozallowfullscreen\",\"\"),d.setAttribute(\"webkitallowfullscreen\",\"\"),d.setAttribute(\"allow\",\"autoplay\"),d.setAttribute(\"data-src\",a),d.style.width=\"100%\",d.style.height=\"100%\",d.style.maxHeight=\"100%\",d.style.maxWidth=\"100%\",r.appendChild(d)}}var h=r.querySelector(\"iframe[data-src]\");h&&this.shouldPreload(i)&&!/autoplay=(1|true|yes)/gi.test(a)&&h.getAttribute(\"src\")!==a&&h.setAttribute(\"src\",a)}}},{key:\"unload\",value:function(e){e.style.display=\"none\";var t=this.Reveal.getSlideBackground(e);t&&(t.style.display=\"none\",to(t,\"iframe[src]\").forEach((function(e){e.removeAttribute(\"src\")}))),to(e,\"video[data-lazy-loaded][src], audio[data-lazy-loaded][src], iframe[data-lazy-loaded][src]\").forEach((function(e){e.setAttribute(\"data-src\",e.getAttribute(\"src\")),e.removeAttribute(\"src\")})),to(e,\"video[data-lazy-loaded] source[src], audio source[src]\").forEach((function(e){e.setAttribute(\"data-src\",e.getAttribute(\"src\")),e.removeAttribute(\"src\")}))}},{key:\"formatEmbeddedContent\",value:function(){var e=this,t=function(t,n,i){to(e.Reveal.getSlidesElement(),\"iframe[\"+t+'*=\"'+n+'\"]').forEach((function(e){var n=e.getAttribute(t);n&&-1===n.indexOf(i)&&e.setAttribute(t,n+(/\\?/.test(n)?\"&\":\"?\")+i)}))};t(\"src\",\"youtube.com/embed/\",\"enablejsapi=1\"),t(\"data-src\",\"youtube.com/embed/\",\"enablejsapi=1\"),t(\"src\",\"player.vimeo.com/\",\"api=1\"),t(\"data-src\",\"player.vimeo.com/\",\"api=1\")}},{key:\"startEmbeddedContent\",value:function(e){var t=this;e&&!this.Reveal.isSpeakerNotes()&&(to(e,'img[src$=\".gif\"]').forEach((function(e){e.setAttribute(\"src\",e.getAttribute(\"src\"))})),to(e,\"video, audio\").forEach((function(e){if(!oo(e,\".fragment\")||oo(e,\".fragment.visible\")){var n=t.Reveal.getConfig().autoPlayMedia;if(\"boolean\"!=typeof n&&(n=e.hasAttribute(\"data-autoplay\")||!!oo(e,\".slide-background\")),n&&\"function\"==typeof e.play)if(e.readyState>1)t.startEmbeddedMedia({target:e});else if(vo){var i=e.play();i&&\"function\"==typeof i.catch&&!1===e.controls&&i.catch((function(){e.controls=!0,e.addEventListener(\"play\",(function(){e.controls=!1}))}))}else e.removeEventListener(\"loadeddata\",t.startEmbeddedMedia),e.addEventListener(\"loadeddata\",t.startEmbeddedMedia)}})),to(e,\"iframe[src]\").forEach((function(e){oo(e,\".fragment\")&&!oo(e,\".fragment.visible\")||t.startEmbeddedIframe({target:e})})),to(e,\"iframe[data-src]\").forEach((function(e){oo(e,\".fragment\")&&!oo(e,\".fragment.visible\")||e.getAttribute(\"src\")!==e.getAttribute(\"data-src\")&&(e.removeEventListener(\"load\",t.startEmbeddedIframe),e.addEventListener(\"load\",t.startEmbeddedIframe),e.setAttribute(\"src\",e.getAttribute(\"data-src\")))})))}},{key:\"startEmbeddedMedia\",value:function(e){var t=!!oo(e.target,\"html\"),n=!!oo(e.target,\".present\");t&&n&&(e.target.currentTime=0,e.target.play()),e.target.removeEventListener(\"loadeddata\",this.startEmbeddedMedia)}},{key:\"startEmbeddedIframe\",value:function(e){var t=e.target;if(t&&t.contentWindow){var n=!!oo(e.target,\"html\"),i=!!oo(e.target,\".present\");if(n&&i){var r=this.Reveal.getConfig().autoPlayMedia;\"boolean\"!=typeof r&&(r=t.hasAttribute(\"data-autoplay\")||!!oo(t,\".slide-background\")),/youtube\\.com\\/embed\\//.test(t.getAttribute(\"src\"))&&r?t.contentWindow.postMessage('{\"event\":\"command\",\"func\":\"playVideo\",\"args\":\"\"}',\"*\"):/player\\.vimeo\\.com\\//.test(t.getAttribute(\"src\"))&&r?t.contentWindow.postMessage('{\"method\":\"play\"}',\"*\"):t.contentWindow.postMessage(\"slide:start\",\"*\")}}}},{key:\"stopEmbeddedContent\",value:function(e){var t=this,n=arguments.length>1&&void 0!==arguments[1]?arguments[1]:{};n=eo({unloadIframes:!0},n),e&&e.parentNode&&(to(e,\"video, audio\").forEach((function(e){e.hasAttribute(\"data-ignore\")||\"function\"!=typeof e.pause||(e.setAttribute(\"data-paused-by-reveal\",\"\"),e.pause())})),to(e,\"iframe\").forEach((function(e){e.contentWindow&&e.contentWindow.postMessage(\"slide:stop\",\"*\"),e.removeEventListener(\"load\",t.startEmbeddedIframe)})),to(e,'iframe[src*=\"youtube.com/embed/\"]').forEach((function(e){!e.hasAttribute(\"data-ignore\")&&e.contentWindow&&\"function\"==typeof e.contentWindow.postMessage&&e.contentWindow.postMessage('{\"event\":\"command\",\"func\":\"pauseVideo\",\"args\":\"\"}',\"*\")})),to(e,'iframe[src*=\"player.vimeo.com/\"]').forEach((function(e){!e.hasAttribute(\"data-ignore\")&&e.contentWindow&&\"function\"==typeof e.contentWindow.postMessage&&e.contentWindow.postMessage('{\"method\":\"pause\"}',\"*\")})),!0===n.unloadIframes&&to(e,\"iframe[data-src]\").forEach((function(e){e.setAttribute(\"src\",\"about:blank\"),e.removeAttribute(\"src\")})))}}]),e}(),wo=function(){function e(t){Ua(this,e),this.Reveal=t}return Fa(e,[{key:\"render\",value:function(){this.element=document.createElement(\"div\"),this.element.className=\"slide-number\",this.Reveal.getRevealElement().appendChild(this.element)}},{key:\"configure\",value:function(e,t){var n=\"none\";e.slideNumber&&!this.Reveal.isPrintingPDF()&&(\"all\"===e.showSlideNumber||\"speaker\"===e.showSlideNumber&&this.Reveal.isSpeakerNotes())&&(n=\"block\"),this.element.style.display=n}},{key:\"update\",value:function(){this.Reveal.getConfig().slideNumber&&this.element&&(this.element.innerHTML=this.getSlideNumber())}},{key:\"getSlideNumber\",value:function(){var e,t=arguments.length>0&&void 0!==arguments[0]?arguments[0]:this.Reveal.getCurrentSlide(),n=this.Reveal.getConfig(),i=\"h.v\";if(\"function\"==typeof n.slideNumber)e=n.slideNumber(t);else switch(\"string\"==typeof n.slideNumber&&(i=n.slideNumber),/c/.test(i)||1!==this.Reveal.getHorizontalSlides().length||(i=\"c\"),e=[],i){case\"c\":e.push(this.Reveal.getSlidePastCount(t)+1);break;case\"c/t\":e.push(this.Reveal.getSlidePastCount(t)+1,\"/\",this.Reveal.getTotalSlides());break;default:var r=this.Reveal.getIndices(t);e.push(r.h+1);var a=\"h/v\"===i?\"/\":\".\";this.Reveal.isVerticalSlide(t)&&e.push(a,r.v+1)}var o=\"#\"+this.Reveal.location.getHash(t);return this.formatNumber(e[0],e[1],e[2],o)}},{key:\"formatNumber\",value:function(e,t,n){var i=arguments.length>3&&void 0!==arguments[3]?arguments[3]:\"#\"+this.Reveal.location.getHash();return\"number\"!=typeof n||isNaN(n)?'<a href=\"'.concat(i,'\">\\n\\t\\t\\t\\t\\t<span class=\"slide-number-a\">').concat(e,\"</span>\\n\\t\\t\\t\\t\\t</a>\"):'<a href=\"'.concat(i,'\">\\n\\t\\t\\t\\t\\t<span class=\"slide-number-a\">').concat(e,'</span>\\n\\t\\t\\t\\t\\t<span class=\"slide-number-delimiter\">').concat(t,'</span>\\n\\t\\t\\t\\t\\t<span class=\"slide-number-b\">').concat(n,\"</span>\\n\\t\\t\\t\\t\\t</a>\")}}]),e}(),So=function(e){var t=e.match(/^#([0-9a-f]{3})$/i);if(t&&t[1])return t=t[1],{r:17*parseInt(t.charAt(0),16),g:17*parseInt(t.charAt(1),16),b:17*parseInt(t.charAt(2),16)};var n=e.match(/^#([0-9a-f]{6})$/i);if(n&&n[1])return n=n[1],{r:parseInt(n.substr(0,2),16),g:parseInt(n.substr(2,2),16),b:parseInt(n.substr(4,2),16)};var i=e.match(/^rgb\\s*\\(\\s*(\\d+)\\s*,\\s*(\\d+)\\s*,\\s*(\\d+)\\s*\\)$/i);if(i)return{r:parseInt(i[1],10),g:parseInt(i[2],10),b:parseInt(i[3],10)};var r=e.match(/^rgba\\s*\\(\\s*(\\d+)\\s*,\\s*(\\d+)\\s*,\\s*(\\d+)\\s*\\,\\s*([\\d]+|[\\d]*.[\\d]+)\\s*\\)$/i);return r?{r:parseInt(r[1],10),g:parseInt(r[2],10),b:parseInt(r[3],10),a:parseFloat(r[4])}:null},Eo=function(){function e(t){Ua(this,e),this.Reveal=t}return Fa(e,[{key:\"render\",value:function(){this.element=document.createElement(\"div\"),this.element.className=\"backgrounds\",this.Reveal.getRevealElement().appendChild(this.element)}},{key:\"create\",value:function(){var e=this;this.Reveal.isPrintingPDF();this.element.innerHTML=\"\",this.element.classList.add(\"no-transition\"),this.Reveal.getHorizontalSlides().forEach((function(t){var n=e.createBackground(t,e.element);to(t,\"section\").forEach((function(t){e.createBackground(t,n),n.classList.add(\"stack\")}))})),this.Reveal.getConfig().parallaxBackgroundImage?(this.element.style.backgroundImage='url(\"'+this.Reveal.getConfig().parallaxBackgroundImage+'\")',this.element.style.backgroundSize=this.Reveal.getConfig().parallaxBackgroundSize,this.element.style.backgroundRepeat=this.Reveal.getConfig().parallaxBackgroundRepeat,this.element.style.backgroundPosition=this.Reveal.getConfig().parallaxBackgroundPosition,setTimeout((function(){e.Reveal.getRevealElement().classList.add(\"has-parallax-background\")}),1)):(this.element.style.backgroundImage=\"\",this.Reveal.getRevealElement().classList.remove(\"has-parallax-background\"))}},{key:\"createBackground\",value:function(e,t){var n=document.createElement(\"div\");n.className=\"slide-background \"+e.className.replace(/present|past|future/,\"\");var i=document.createElement(\"div\");return i.className=\"slide-background-content\",n.appendChild(i),t.appendChild(n),e.slideBackgroundElement=n,e.slideBackgroundContentElement=i,this.sync(e),n}},{key:\"sync\",value:function(e){var t=e.slideBackgroundElement,n=e.slideBackgroundContentElement;e.classList.remove(\"has-dark-background\"),e.classList.remove(\"has-light-background\"),t.removeAttribute(\"data-loaded\"),t.removeAttribute(\"data-background-hash\"),t.removeAttribute(\"data-background-size\"),t.removeAttribute(\"data-background-transition\"),t.style.backgroundColor=\"\",n.style.backgroundSize=\"\",n.style.backgroundRepeat=\"\",n.style.backgroundPosition=\"\",n.style.backgroundImage=\"\",n.style.opacity=\"\",n.innerHTML=\"\";var i={background:e.getAttribute(\"data-background\"),backgroundSize:e.getAttribute(\"data-background-size\"),backgroundImage:e.getAttribute(\"data-background-image\"),backgroundVideo:e.getAttribute(\"data-background-video\"),backgroundIframe:e.getAttribute(\"data-background-iframe\"),backgroundColor:e.getAttribute(\"data-background-color\"),backgroundRepeat:e.getAttribute(\"data-background-repeat\"),backgroundPosition:e.getAttribute(\"data-background-position\"),backgroundTransition:e.getAttribute(\"data-background-transition\"),backgroundOpacity:e.getAttribute(\"data-background-opacity\")};i.background&&(/^(http|file|\\/\\/)/gi.test(i.background)||/\\.(svg|png|jpg|jpeg|gif|bmp)([?#\\s]|$)/gi.test(i.background)?e.setAttribute(\"data-background-image\",i.background):t.style.background=i.background),(i.background||i.backgroundColor||i.backgroundImage||i.backgroundVideo||i.backgroundIframe)&&t.setAttribute(\"data-background-hash\",i.background+i.backgroundSize+i.backgroundImage+i.backgroundVideo+i.backgroundIframe+i.backgroundColor+i.backgroundRepeat+i.backgroundPosition+i.backgroundTransition+i.backgroundOpacity),i.backgroundSize&&t.setAttribute(\"data-background-size\",i.backgroundSize),i.backgroundColor&&(t.style.backgroundColor=i.backgroundColor),i.backgroundTransition&&t.setAttribute(\"data-background-transition\",i.backgroundTransition),e.hasAttribute(\"data-preload\")&&t.setAttribute(\"data-preload\",\"\"),i.backgroundSize&&(n.style.backgroundSize=i.backgroundSize),i.backgroundRepeat&&(n.style.backgroundRepeat=i.backgroundRepeat),i.backgroundPosition&&(n.style.backgroundPosition=i.backgroundPosition),i.backgroundOpacity&&(n.style.opacity=i.backgroundOpacity);var r,a=i.backgroundColor;if(!a){var o=window.getComputedStyle(t);o&&o.backgroundColor&&(a=o.backgroundColor)}if(a){var s=So(a);s&&0!==s.a&&(\"string\"==typeof(r=a)&&(r=So(r)),(r?(299*r.r+587*r.g+114*r.b)/1e3:null)<128?e.classList.add(\"has-dark-background\"):e.classList.add(\"has-light-background\"))}}},{key:\"update\",value:function(){var e=this,t=arguments.length>0&&void 0!==arguments[0]&&arguments[0],n=this.Reveal.getCurrentSlide(),i=this.Reveal.getIndices(),r=null,a=this.Reveal.getConfig().rtl?\"future\":\"past\",o=this.Reveal.getConfig().rtl?\"past\":\"future\";if(Array.from(this.element.childNodes).forEach((function(e,n){e.classList.remove(\"past\",\"present\",\"future\"),n<i.h?e.classList.add(a):n>i.h?e.classList.add(o):(e.classList.add(\"present\"),r=e),(t||n===i.h)&&to(e,\".slide-background\").forEach((function(e,t){e.classList.remove(\"past\",\"present\",\"future\"),t<i.v?e.classList.add(\"past\"):t>i.v?e.classList.add(\"future\"):(e.classList.add(\"present\"),n===i.h&&(r=e))}))})),this.previousBackground&&this.Reveal.slideContent.stopEmbeddedContent(this.previousBackground,{unloadIframes:!this.Reveal.slideContent.shouldPreload(this.previousBackground)}),r){this.Reveal.slideContent.startEmbeddedContent(r);var s=r.querySelector(\".slide-background-content\");if(s){var l=s.style.backgroundImage||\"\";/\\.gif/i.test(l)&&(s.style.backgroundImage=\"\",window.getComputedStyle(s).opacity,s.style.backgroundImage=l)}var c=this.previousBackground?this.previousBackground.getAttribute(\"data-background-hash\"):null,u=r.getAttribute(\"data-background-hash\");u&&u===c&&r!==this.previousBackground&&this.element.classList.add(\"no-transition\"),this.previousBackground=r}n&&[\"has-light-background\",\"has-dark-background\"].forEach((function(t){n.classList.contains(t)?e.Reveal.getRevealElement().classList.add(t):e.Reveal.getRevealElement().classList.remove(t)}),this),setTimeout((function(){e.element.classList.remove(\"no-transition\")}),1)}},{key:\"updateParallax\",value:function(){var e=this.Reveal.getIndices();if(this.Reveal.getConfig().parallaxBackgroundImage){var t,n,i=this.Reveal.getHorizontalSlides(),r=this.Reveal.getVerticalSlides(),a=this.element.style.backgroundSize.split(\" \");1===a.length?t=n=parseInt(a[0],10):(t=parseInt(a[0],10),n=parseInt(a[1],10));var o,s=this.element.offsetWidth,l=i.length;o=(\"number\"==typeof this.Reveal.getConfig().parallaxBackgroundHorizontal?this.Reveal.getConfig().parallaxBackgroundHorizontal:l>1?(t-s)/(l-1):0)*e.h*-1;var c,u,d=this.element.offsetHeight,h=r.length;c=\"number\"==typeof this.Reveal.getConfig().parallaxBackgroundVertical?this.Reveal.getConfig().parallaxBackgroundVertical:(n-d)/(h-1),u=h>0?c*e.v:0,this.element.style.backgroundPosition=o+\"px \"+-u+\"px\"}}}]),e}(),ko=rt.filter,Ao=Ye(\"filter\"),Ro=ct(\"filter\");Me({target:\"Array\",proto:!0,forced:!Ao||!Ro},{filter:function(e){return ko(this,e,arguments.length>1?arguments[1]:void 0)}});var xo=[].join,Lo=f!=Object,Co=at(\"join\",\",\");Me({target:\"Array\",proto:!0,forced:Lo||!Co},{join:function(e){return xo.call(g(this),void 0===e?\",\":e)}});var Po=function(e){return function(t,n,i,r){et(n);var a=Oe(t),o=f(a),s=ce(a.length),l=e?s-1:0,c=e?-1:1;if(i<2)for(;;){if(l in o){r=o[l],l+=c;break}if(l+=c,e?l<0:s<=l)throw TypeError(\"Reduce of empty array with no initial value\")}for(;e?l>=0:s>l;l+=c)l in o&&(r=n(r,o[l],l,a));return r}},No={left:Po(!1),right:Po(!0)}.left,Mo=at(\"reduce\"),Io=ct(\"reduce\",{1:0});Me({target:\"Array\",proto:!0,forced:!Mo||!Io},{reduce:function(e){return No(this,e,arguments.length,arguments.length>1?arguments[1]:void 0)}});var Oo=Ye(\"slice\"),To=ct(\"slice\",{ACCESSORS:!0,0:0,1:2}),Do=Fe(\"species\"),jo=[].slice,Ho=Math.max;Me({target:\"Array\",proto:!0,forced:!Oo||!To},{slice:function(e,t){var n,i,r,a=g(this),o=ce(a.length),s=he(e,o),l=he(void 0===t?o:t,o);if(Ie(a)&&(\"function\"!=typeof(n=a.constructor)||n!==Array&&!Ie(n.prototype)?p(n)&&null===(n=n[Do])&&(n=void 0):n=void 0,n===Array||void 0===n))return jo.call(a,s,l);for(i=new(void 0===n?Array:n)(Ho(l-s,0)),r=0;s<l;s++,r++)s in a&&Te(i,r,a[s]);return i.length=r,i}});var Uo=r((function(){mt(1)}));Me({target:\"Object\",stat:!0,forced:Uo},{keys:function(e){return mt(Oe(e))}});var Bo=0,Fo=function(){function e(t){Ua(this,e),this.Reveal=t}return Fa(e,[{key:\"run\",value:function(e,t){var n=this;if(this.reset(),e.hasAttribute(\"data-auto-animate\")&&t.hasAttribute(\"data-auto-animate\")){this.autoAnimateStyleSheet=this.autoAnimateStyleSheet||lo();var i=this.getAutoAnimateOptions(t);e.dataset.autoAnimate=\"pending\",t.dataset.autoAnimate=\"pending\";var r=this.Reveal.getSlides();i.slideDirection=r.indexOf(t)>r.indexOf(e)?\"forward\":\"backward\";var a=this.getAutoAnimatableElements(e,t).map((function(e){return n.autoAnimateElements(e.from,e.to,e.options||{},i,Bo++)}));if(\"false\"!==t.dataset.autoAnimateUnmatched&&!0===this.Reveal.getConfig().autoAnimateUnmatched){var o=.8*i.duration,s=.2*i.duration;this.getUnmatchedAutoAnimateElements(t).forEach((function(e){var t=n.getAutoAnimateOptions(e,i),r=\"unmatched\";t.duration===i.duration&&t.delay===i.delay||(r=\"unmatched-\"+Bo++,a.push('[data-auto-animate=\"running\"] [data-auto-animate-target=\"'.concat(r,'\"] { transition: opacity ').concat(t.duration,\"s ease \").concat(t.delay,\"s; }\"))),e.dataset.autoAnimateTarget=r}),this),a.push('[data-auto-animate=\"running\"] [data-auto-animate-target=\"unmatched\"] { transition: opacity '.concat(o,\"s ease \").concat(s,\"s; }\"))}this.autoAnimateStyleSheet.innerHTML=a.join(\"\"),requestAnimationFrame((function(){n.autoAnimateStyleSheet&&(getComputedStyle(n.autoAnimateStyleSheet).fontWeight,t.dataset.autoAnimate=\"running\")})),this.Reveal.dispatchEvent({type:\"autoanimate\",data:{fromSlide:e,toSlide:t,sheet:this.autoAnimateStyleSheet}})}}},{key:\"reset\",value:function(){to(this.Reveal.getRevealElement(),'[data-auto-animate]:not([data-auto-animate=\"\"])').forEach((function(e){e.dataset.autoAnimate=\"\"})),to(this.Reveal.getRevealElement(),\"[data-auto-animate-target]\").forEach((function(e){delete e.dataset.autoAnimateTarget})),this.autoAnimateStyleSheet&&this.autoAnimateStyleSheet.parentNode&&(this.autoAnimateStyleSheet.parentNode.removeChild(this.autoAnimateStyleSheet),this.autoAnimateStyleSheet=null)}},{key:\"autoAnimateElements\",value:function(e,t,n,i,r){e.dataset.autoAnimateTarget=\"\",t.dataset.autoAnimateTarget=r;var a=this.getAutoAnimateOptions(t,i);void 0!==n.delay&&(a.delay=n.delay),void 0!==n.duration&&(a.duration=n.duration),void 0!==n.easing&&(a.easing=n.easing);var o=this.getAutoAnimatableProperties(\"from\",e,n),s=this.getAutoAnimatableProperties(\"to\",t,n);t.classList.contains(\"fragment\")&&(delete s.styles.opacity,e.classList.contains(\"fragment\")&&(e.className.match($a)||[\"\"])[0]===(t.className.match($a)||[\"\"])[0]&&\"forward\"===i.slideDirection&&t.classList.add(\"visible\",\"disabled\"));if(!1!==n.translate||!1!==n.scale){var l=this.Reveal.getScale(),c={x:(o.x-s.x)/l,y:(o.y-s.y)/l,scaleX:o.width/s.width,scaleY:o.height/s.height};c.x=Math.round(1e3*c.x)/1e3,c.y=Math.round(1e3*c.y)/1e3,c.scaleX=Math.round(1e3*c.scaleX)/1e3,c.scaleX=Math.round(1e3*c.scaleX)/1e3;var u=!1!==n.translate&&(0!==c.x||0!==c.y),d=!1!==n.scale&&(0!==c.scaleX||0!==c.scaleY);if(u||d){var h=[];u&&h.push(\"translate(\".concat(c.x,\"px, \").concat(c.y,\"px)\")),d&&h.push(\"scale(\".concat(c.scaleX,\", \").concat(c.scaleY,\")\")),o.styles.transform=h.join(\" \"),o.styles[\"transform-origin\"]=\"top left\",s.styles.transform=\"none\"}}for(var f in s.styles){var v=s.styles[f],g=o.styles[f];v===g?delete s.styles[f]:(!0===v.explicitValue&&(s.styles[f]=v.value),!0===g.explicitValue&&(o.styles[f]=g.value))}var p=\"\",m=Object.keys(s.styles);m.length>0&&(o.styles.transition=\"none\",s.styles.transition=\"all \".concat(a.duration,\"s \").concat(a.easing,\" \").concat(a.delay,\"s\"),s.styles[\"transition-property\"]=m.join(\", \"),s.styles[\"will-change\"]=m.join(\", \"),p='[data-auto-animate-target=\"'+r+'\"] {'+Object.keys(o.styles).map((function(e){return e+\": \"+o.styles[e]+\" !important;\"})).join(\"\")+'}[data-auto-animate=\"running\"] [data-auto-animate-target=\"'+r+'\"] {'+Object.keys(s.styles).map((function(e){return e+\": \"+s.styles[e]+\" !important;\"})).join(\"\")+\"}\");return p}},{key:\"getAutoAnimateOptions\",value:function(e,t){var n={easing:this.Reveal.getConfig().autoAnimateEasing,duration:this.Reveal.getConfig().autoAnimateDuration,delay:0};if(n=eo(n,t),e.parentNode){var i=oo(e.parentNode,\"[data-auto-animate-target]\");i&&(n=this.getAutoAnimateOptions(i,n))}return e.dataset.autoAnimateEasing&&(n.easing=e.dataset.autoAnimateEasing),e.dataset.autoAnimateDuration&&(n.duration=parseFloat(e.dataset.autoAnimateDuration)),e.dataset.autoAnimateDelay&&(n.delay=parseFloat(e.dataset.autoAnimateDelay)),n}},{key:\"getAutoAnimatableProperties\",value:function(e,t,n){var i=this.Reveal.getConfig(),r={styles:[]};if(!1!==n.translate||!1!==n.scale){var a;if(\"function\"==typeof n.measure)a=n.measure(t);else if(i.center)a=t.getBoundingClientRect();else{var o=this.Reveal.getScale();a={x:t.offsetLeft*o,y:t.offsetTop*o,width:t.offsetWidth*o,height:t.offsetHeight*o}}r.x=a.x,r.y=a.y,r.width=a.width,r.height=a.height}var s=getComputedStyle(t);return(n.styles||i.autoAnimateStyles).forEach((function(t){var n;\"string\"==typeof t&&(t={property:t}),\"\"!==(n=void 0!==t.from&&\"from\"===e?{value:t.from,explicitValue:!0}:void 0!==t.to&&\"to\"===e?{value:t.to,explicitValue:!0}:s[t.property])&&(r.styles[t.property]=n)})),r}},{key:\"getAutoAnimatableElements\",value:function(e,t){var n=(\"function\"==typeof this.Reveal.getConfig().autoAnimateMatcher?this.Reveal.getConfig().autoAnimateMatcher:this.getAutoAnimatePairs).call(this,e,t),i=[];return n.filter((function(e,t){if(-1===i.indexOf(e.to))return i.push(e.to),!0}))}},{key:\"getAutoAnimatePairs\",value:function(e,t){var n=this,i=[],r=\"h1, h2, h3, h4, h5, h6, p, li\";return this.findAutoAnimateMatches(i,e,t,\"[data-id]\",(function(e){return e.nodeName+\":::\"+e.getAttribute(\"data-id\")})),this.findAutoAnimateMatches(i,e,t,r,(function(e){return e.nodeName+\":::\"+e.innerText})),this.findAutoAnimateMatches(i,e,t,\"img, video, iframe\",(function(e){return e.nodeName+\":::\"+(e.getAttribute(\"src\")||e.getAttribute(\"data-src\"))})),this.findAutoAnimateMatches(i,e,t,\"pre\",(function(e){return e.nodeName+\":::\"+e.innerText})),i.forEach((function(e){ao(e.from,r)?e.options={scale:!1}:ao(e.from,\"pre\")&&(e.options={scale:!1,styles:[\"width\",\"height\"]},n.findAutoAnimateMatches(i,e.from,e.to,\".hljs .hljs-ln-code\",(function(e){return e.textContent}),{scale:!1,styles:[],measure:n.getLocalBoundingBox.bind(n)}),n.findAutoAnimateMatches(i,e.from,e.to,\".hljs .hljs-ln-line[data-line-number]\",(function(e){return e.getAttribute(\"data-line-number\")}),{scale:!1,styles:[\"width\"],measure:n.getLocalBoundingBox.bind(n)}))}),this),i}},{key:\"getLocalBoundingBox\",value:function(e){var t=this.Reveal.getScale();return{x:Math.round(e.offsetLeft*t*100)/100,y:Math.round(e.offsetTop*t*100)/100,width:Math.round(e.offsetWidth*t*100)/100,height:Math.round(e.offsetHeight*t*100)/100}}},{key:\"findAutoAnimateMatches\",value:function(e,t,n,i,r,a){var o={},s={};[].slice.call(t.querySelectorAll(i)).forEach((function(e,t){var n=r(e);\"string\"==typeof n&&n.length&&(o[n]=o[n]||[],o[n].push(e))})),[].slice.call(n.querySelectorAll(i)).forEach((function(t,n){var i,l=r(t);if(s[l]=s[l]||[],s[l].push(t),o[l]){var c=s[l].length-1,u=o[l].length-1;o[l][c]?(i=o[l][c],o[l][c]=null):o[l][u]&&(i=o[l][u],o[l][u]=null)}i&&e.push({from:i,to:t,options:a})}))}},{key:\"getUnmatchedAutoAnimateElements\",value:function(e){var t=this;return[].slice.call(e.children).reduce((function(e,n){var i=n.querySelector(\"[data-auto-animate-target]\");return n.hasAttribute(\"data-auto-animate-target\")||i||e.push(n),n.querySelector(\"[data-auto-animate-target]\")&&(e=e.concat(t.getUnmatchedAutoAnimateElements(n))),e}),[])}}]),e}(),zo=function(){function e(t){Ua(this,e),this.Reveal=t}return Fa(e,[{key:\"configure\",value:function(e,t){!1===e.fragments?this.disable():!1===t.fragments&&this.enable()}},{key:\"disable\",value:function(){to(this.Reveal.getSlidesElement(),\".fragment\").forEach((function(e){e.classList.add(\"visible\"),e.classList.remove(\"current-fragment\")}))}},{key:\"enable\",value:function(){to(this.Reveal.getSlidesElement(),\".fragment\").forEach((function(e){e.classList.remove(\"visible\"),e.classList.remove(\"current-fragment\")}))}},{key:\"availableRoutes\",value:function(){var e=this.Reveal.getCurrentSlide();if(e&&this.Reveal.getConfig().fragments){var t=e.querySelectorAll(\".fragment:not(.disabled)\"),n=e.querySelectorAll(\".fragment:not(.disabled):not(.visible)\");return{prev:t.length-n.length>0,next:!!n.length}}return{prev:!1,next:!1}}},{key:\"sort\",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]&&arguments[1];e=Array.from(e);var n=[],i=[],r=[];e.forEach((function(e){if(e.hasAttribute(\"data-fragment-index\")){var t=parseInt(e.getAttribute(\"data-fragment-index\"),10);n[t]||(n[t]=[]),n[t].push(e)}else i.push([e])})),n=n.concat(i);var a=0;return n.forEach((function(e){e.forEach((function(e){r.push(e),e.setAttribute(\"data-fragment-index\",a)})),a++})),!0===t?n:r}},{key:\"sortAll\",value:function(){var e=this;this.Reveal.getHorizontalSlides().forEach((function(t){var n=to(t,\"section\");n.forEach((function(t,n){e.sort(t.querySelectorAll(\".fragment\"))}),e),0===n.length&&e.sort(t.querySelectorAll(\".fragment\"))}))}},{key:\"update\",value:function(e,t){var n=this,i={shown:[],hidden:[]},r=this.Reveal.getCurrentSlide();if(r&&this.Reveal.getConfig().fragments&&(t=t||this.sort(r.querySelectorAll(\".fragment\"))).length){var a=0;if(\"number\"!=typeof e){var o=this.sort(r.querySelectorAll(\".fragment.visible\")).pop();o&&(e=parseInt(o.getAttribute(\"data-fragment-index\")||0,10))}Array.from(t).forEach((function(t,r){if(t.hasAttribute(\"data-fragment-index\")&&(r=parseInt(t.getAttribute(\"data-fragment-index\"),10)),a=Math.max(a,r),r<=e){var o=t.classList.contains(\"visible\");t.classList.add(\"visible\"),t.classList.remove(\"current-fragment\"),r===e&&(n.Reveal.announceStatus(n.Reveal.getStatusText(t)),t.classList.add(\"current-fragment\"),n.Reveal.slideContent.startEmbeddedContent(t)),o||(i.shown.push(t),n.Reveal.dispatchEvent({target:t,type:\"visible\",bubbles:!1}))}else{var s=t.classList.contains(\"visible\");t.classList.remove(\"visible\"),t.classList.remove(\"current-fragment\"),s&&(i.hidden.push(t),n.Reveal.dispatchEvent({target:t,type:\"hidden\",bubbles:!1}))}})),e=\"number\"==typeof e?e:-1,e=Math.max(Math.min(e,a),-1),r.setAttribute(\"data-fragment\",e)}return i}},{key:\"sync\",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:this.Reveal.getCurrentSlide();return this.sort(e.querySelectorAll(\".fragment\"))}},{key:\"goto\",value:function(e){var t=arguments.length>1&&void 0!==arguments[1]?arguments[1]:0,n=this.Reveal.getCurrentSlide();if(n&&this.Reveal.getConfig().fragments){var i=this.sort(n.querySelectorAll(\".fragment:not(.disabled)\"));if(i.length){if(\"number\"!=typeof e){var r=this.sort(n.querySelectorAll(\".fragment:not(.disabled).visible\")).pop();e=r?parseInt(r.getAttribute(\"data-fragment-index\")||0,10):-1}e+=t;var a=this.update(e,i);return a.hidden.length&&this.Reveal.dispatchEvent({type:\"fragmenthidden\",data:{fragment:a.hidden[0],fragments:a.hidden}}),a.shown.length&&this.Reveal.dispatchEvent({type:\"fragmentshown\",data:{fragment:a.shown[0],fragments:a.shown}}),this.Reveal.controls.update(),this.Reveal.progress.update(),this.Reveal.getConfig().fragmentInURL&&this.Reveal.location.writeURL(),!(!a.shown.length&&!a.hidden.length)}}return!1}},{key:\"next\",value:function(){return this.goto(null,1)}},{key:\"prev\",value:function(){return this.goto(null,-1)}}]),e}(),qo=function(){function e(t){Ua(this,e),this.Reveal=t,this.active=!1,this.onSlideClicked=this.onSlideClicked.bind(this)}return Fa(e,[{key:\"activate\",value:function(){var e=this;if(this.Reveal.getConfig().overview&&!this.isActive()){this.active=!0,this.Reveal.getRevealElement().classList.add(\"overview\"),this.Reveal.cancelAutoSlide(),this.Reveal.getSlidesElement().appendChild(this.Reveal.getBackgroundsElement()),to(this.Reveal.getRevealElement(),\".slides section\").forEach((function(t){t.classList.contains(\"stack\")||t.addEventListener(\"click\",e.onSlideClicked,!0)}));var t=this.Reveal.getComputedSlideSize();this.overviewSlideWidth=t.width+70,this.overviewSlideHeight=t.height+70,this.Reveal.getConfig().rtl&&(this.overviewSlideWidth=-this.overviewSlideWidth),this.Reveal.updateSlidesVisibility(),this.layout(),this.update(),this.Reveal.layout();var n=this.Reveal.getIndices();this.Reveal.dispatchEvent({type:\"overviewshown\",data:{indexh:n.h,indexv:n.v,currentSlide:this.Reveal.getCurrentSlide()}})}}},{key:\"layout\",value:function(){var e=this;this.Reveal.getHorizontalSlides().forEach((function(t,n){t.setAttribute(\"data-index-h\",n),ro(t,\"translate3d(\"+n*e.overviewSlideWidth+\"px, 0, 0)\"),t.classList.contains(\"stack\")&&to(t,\"section\").forEach((function(t,i){t.setAttribute(\"data-index-h\",n),t.setAttribute(\"data-index-v\",i),ro(t,\"translate3d(0, \"+i*e.overviewSlideHeight+\"px, 0)\")}))})),Array.from(this.Reveal.getBackgroundsElement().childNodes).forEach((function(t,n){ro(t,\"translate3d(\"+n*e.overviewSlideWidth+\"px, 0, 0)\"),to(t,\".slide-background\").forEach((function(t,n){ro(t,\"translate3d(0, \"+n*e.overviewSlideHeight+\"px, 0)\")}))}))}},{key:\"update\",value:function(){var e=Math.min(window.innerWidth,window.innerHeight),t=Math.max(e/5,150)/e,n=this.Reveal.getIndices();this.Reveal.transformSlides({overview:[\"scale(\"+t+\")\",\"translateX(\"+-n.h*this.overviewSlideWidth+\"px)\",\"translateY(\"+-n.v*this.overviewSlideHeight+\"px)\"].join(\" \")})}},{key:\"deactivate\",value:function(){var e=this;if(this.Reveal.getConfig().overview){this.active=!1,this.Reveal.getRevealElement().classList.remove(\"overview\"),this.Reveal.getRevealElement().classList.add(\"overview-deactivating\"),setTimeout((function(){e.Reveal.getRevealElement().classList.remove(\"overview-deactivating\")}),1),this.Reveal.getRevealElement().appendChild(this.Reveal.getBackgroundsElement()),to(this.Reveal.getRevealElement(),\".slides section\").forEach((function(t){ro(t,\"\"),t.removeEventListener(\"click\",e.onSlideClicked,!0)})),to(this.Reveal.getBackgroundsElement(),\".slide-background\").forEach((function(e){ro(e,\"\")})),this.Reveal.transformSlides({overview:\"\"});var t=this.Reveal.getIndices();this.Reveal.slide(t.h,t.v),this.Reveal.layout(),this.Reveal.cueAutoSlide(),this.Reveal.dispatchEvent({type:\"overviewhidden\",data:{indexh:t.h,indexv:t.v,currentSlide:this.Reveal.getCurrentSlide()}})}}},{key:\"toggle\",value:function(e){\"boolean\"==typeof e?e?this.activate():this.deactivate():this.isActive()?this.deactivate():this.activate()}},{key:\"isActive\",value:function(){return this.active}},{key:\"onSlideClicked\",value:function(e){if(this.isActive()){e.preventDefault();for(var t=e.target;t&&!t.nodeName.match(/section/gi);)t=t.parentNode;if(t&&!t.classList.contains(\"disabled\")&&(this.deactivate(),t.nodeName.match(/section/gi))){var n=parseInt(t.getAttribute(\"data-index-h\"),10),i=parseInt(t.getAttribute(\"data-index-v\"),10);this.Reveal.slide(n,i)}}}}]),e}(),_o=function(){function e(t){Ua(this,e),this.Reveal=t,this.shortcuts={},this.bindings={},this.onDocumentKeyDown=this.onDocumentKeyDown.bind(this),this.onDocumentKeyPress=this.onDocumentKeyPress.bind(this)}return Fa(e,[{key:\"configure\",value:function(e,t){\"linear\"===e.navigationMode?(this.shortcuts[\"→ , ↓ , SPACE , N , L , J\"]=\"Next slide\",this.shortcuts[\"← , ↑ , P , H , K\"]=\"Previous slide\"):(this.shortcuts[\"N , SPACE\"]=\"Next slide\",this.shortcuts.P=\"Previous slide\",this.shortcuts[\"← , H\"]=\"Navigate left\",this.shortcuts[\"→ , L\"]=\"Navigate right\",this.shortcuts[\"↑ , K\"]=\"Navigate up\",this.shortcuts[\"↓ , J\"]=\"Navigate down\"),this.shortcuts[\"Home , Shift ←\"]=\"First slide\",this.shortcuts[\"End , Shift →\"]=\"Last slide\",this.shortcuts[\"B , .\"]=\"Pause\",this.shortcuts.F=\"Fullscreen\",this.shortcuts[\"ESC, O\"]=\"Slide overview\"}},{key:\"bind\",value:function(){document.addEventListener(\"keydown\",this.onDocumentKeyDown,!1),document.addEventListener(\"keypress\",this.onDocumentKeyPress,!1)}},{key:\"unbind\",value:function(){document.removeEventListener(\"keydown\",this.onDocumentKeyDown,!1),document.removeEventListener(\"keypress\",this.onDocumentKeyPress,!1)}},{key:\"addKeyBinding\",value:function(e,t){\"object\"===Ha(e)&&e.keyCode?this.bindings[e.keyCode]={callback:t,key:e.key,description:e.description}:this.bindings[e]={callback:t,key:null,description:null}}},{key:\"removeKeyBinding\",value:function(e){delete this.bindings[e]}},{key:\"triggerKey\",value:function(e){this.onDocumentKeyDown({keyCode:e})}},{key:\"registerKeyboardShortcut\",value:function(e,t){this.shortcuts[e]=t}},{key:\"getShortcuts\",value:function(){return this.shortcuts}},{key:\"getBindings\",value:function(){return this.bindings}},{key:\"onDocumentKeyPress\",value:function(e){e.shiftKey&&63===e.charCode&&this.Reveal.toggleHelp()}},{key:\"onDocumentKeyDown\",value:function(e){var t=this.Reveal.getConfig();if(\"function\"==typeof t.keyboardCondition&&!1===t.keyboardCondition(e))return!0;if(\"focused\"===t.keyboardCondition&&!this.Reveal.isFocused())return!0;var n=e.keyCode,i=!this.Reveal.isAutoSliding();this.Reveal.onUserInput(e);var r=document.activeElement&&!0===document.activeElement.isContentEditable,a=document.activeElement&&document.activeElement.tagName&&/input|textarea/i.test(document.activeElement.tagName),o=document.activeElement&&document.activeElement.className&&/speaker-notes/i.test(document.activeElement.className),s=e.shiftKey&&32===e.keyCode,l=e.shiftKey&&37===n,c=e.shiftKey&&39===n,u=!s&&!l&&!c&&(e.shiftKey||e.altKey||e.ctrlKey||e.metaKey);if(!(r||a||o||u)){var d,h=[66,86,190,191];if(\"object\"===Ha(t.keyboard))for(d in t.keyboard)\"togglePause\"===t.keyboard[d]&&h.push(parseInt(d,10));if(this.Reveal.isPaused()&&-1===h.indexOf(n))return!1;var f,v,g=\"linear\"===t.navigationMode||!this.Reveal.hasHorizontalSlides()||!this.Reveal.hasVerticalSlides(),p=!1;if(\"object\"===Ha(t.keyboard))for(d in t.keyboard)if(parseInt(d,10)===n){var m=t.keyboard[d];\"function\"==typeof m?m.apply(null,[e]):\"string\"==typeof m&&\"function\"==typeof this.Reveal[m]&&this.Reveal[m].call(),p=!0}if(!1===p)for(d in this.bindings)if(parseInt(d,10)===n){var y=this.bindings[d].callback;\"function\"==typeof y?y.apply(null,[e]):\"string\"==typeof y&&\"function\"==typeof this.Reveal[y]&&this.Reveal[y].call(),p=!0}!1===p&&(p=!0,80===n||33===n?this.Reveal.prev():78===n||34===n?this.Reveal.next():72===n||37===n?l?this.Reveal.slide(0):!this.Reveal.overview.isActive()&&g?this.Reveal.prev():this.Reveal.left():76===n||39===n?c?this.Reveal.slide(Number.MAX_VALUE):!this.Reveal.overview.isActive()&&g?this.Reveal.next():this.Reveal.right():75===n||38===n?!this.Reveal.overview.isActive()&&g?this.Reveal.prev():this.Reveal.up():74===n||40===n?!this.Reveal.overview.isActive()&&g?this.Reveal.next():this.Reveal.down():36===n?this.Reveal.slide(0):35===n?this.Reveal.slide(Number.MAX_VALUE):32===n?(this.Reveal.overview.isActive()&&this.Reveal.overview.deactivate(),e.shiftKey?this.Reveal.prev():this.Reveal.next()):58===n||59===n||66===n||86===n||190===n||191===n?this.Reveal.togglePause():70===n?(f=t.embedded?this.Reveal.getViewportElement():document.documentElement,(v=(f=f||document.documentElement).requestFullscreen||f.webkitRequestFullscreen||f.webkitRequestFullScreen||f.mozRequestFullScreen||f.msRequestFullscreen)&&v.apply(f)):65===n?t.autoSlideStoppable&&this.Reveal.toggleAutoSlide(i):p=!1),p?e.preventDefault&&e.preventDefault():27!==n&&79!==n||(!1===this.Reveal.closeOverlay()&&this.Reveal.overview.toggle(),e.preventDefault&&e.preventDefault()),this.Reveal.cueAutoSlide()}}}]),e}(),Vo=function(){function e(t){Ua(this,e),this.Reveal=t,this.writeURLTimeout=0,this.onWindowHashChange=this.onWindowHashChange.bind(this)}return Fa(e,[{key:\"bind\",value:function(){window.addEventListener(\"hashchange\",this.onWindowHashChange,!1)}},{key:\"unbind\",value:function(){window.removeEventListener(\"hashchange\",this.onWindowHashChange,!1)}},{key:\"readURL\",value:function(){var e=this.Reveal.getConfig(),t=this.Reveal.getIndices(),n=this.Reveal.getCurrentSlide(),i=window.location.hash,r=i.slice(2).split(\"/\"),a=i.replace(/#\\/?/gi,\"\");if(!/^[0-9]*$/.test(r[0])&&a.length){var o,s;/\\/[-\\d]+$/g.test(a)&&(s=parseInt(a.split(\"/\").pop(),10),s=isNaN(s)?void 0:s,a=a.split(\"/\").shift());try{o=document.getElementById(decodeURIComponent(a))}catch(e){}var l=!!n&&n.getAttribute(\"id\")===a;if(o){if(!l||void 0!==s){var c=this.Reveal.getIndices(o);this.Reveal.slide(c.h,c.v,s)}}else this.Reveal.slide(t.h||0,t.v||0)}else{var u,d=e.hashOneBasedIndex?1:0,h=parseInt(r[0],10)-d||0,f=parseInt(r[1],10)-d||0;e.fragmentInURL&&(u=parseInt(r[2],10),isNaN(u)&&(u=void 0)),h===t.h&&f===t.v&&void 0===u||this.Reveal.slide(h,f,u)}}},{key:\"writeURL\",value:function(e){var t=this.Reveal.getConfig(),n=this.Reveal.getCurrentSlide();clearTimeout(this.writeURLTimeout),\"number\"==typeof e?this.writeURLTimeout=setTimeout(this.writeURL,e):n&&(t.history||!1===yo?window.location.hash=this.getHash():t.hash&&window.history.replaceState(null,null,\"#\"+this.getHash()))}},{key:\"getHash\",value:function(e){var t=\"/\",n=e||this.Reveal.getCurrentSlide(),i=n?n.getAttribute(\"id\"):null;i&&(i=encodeURIComponent(i));var r=this.Reveal.getIndices(e);if(this.Reveal.getConfig().fragmentInURL||(r.f=void 0),\"string\"==typeof i&&i.length)t=\"/\"+i,r.f>=0&&(t+=\"/\"+r.f);else{var a=this.Reveal.getConfig().hashOneBasedIndex?1:0;(r.h>0||r.v>0||r.f>=0)&&(t+=r.h+a),(r.v>0||r.f>=0)&&(t+=\"/\"+(r.v+a)),r.f>=0&&(t+=\"/\"+r.f)}return t}},{key:\"onWindowHashChange\",value:function(e){this.readURL()}}]),e}(),Wo=function(){function e(t){Ua(this,e),this.Reveal=t,this.onNavigateLeftClicked=this.onNavigateLeftClicked.bind(this),this.onNavigateRightClicked=this.onNavigateRightClicked.bind(this),this.onNavigateUpClicked=this.onNavigateUpClicked.bind(this),this.onNavigateDownClicked=this.onNavigateDownClicked.bind(this),this.onNavigatePrevClicked=this.onNavigatePrevClicked.bind(this),this.onNavigateNextClicked=this.onNavigateNextClicked.bind(this)}return Fa(e,[{key:\"render\",value:function(){var e=this.Reveal.getConfig().rtl,t=this.Reveal.getRevealElement();this.element=document.createElement(\"aside\"),this.element.className=\"controls\",this.element.innerHTML='<button class=\"navigate-left\" aria-label=\"'.concat(e?\"next slide\":\"previous slide\",'\"><div class=\"controls-arrow\"></div></button>\\n\\t\\t\\t<button class=\"navigate-right\" aria-label=\"').concat(e?\"previous slide\":\"next slide\",'\"><div class=\"controls-arrow\"></div></button>\\n\\t\\t\\t<button class=\"navigate-up\" aria-label=\"above slide\"><div class=\"controls-arrow\"></div></button>\\n\\t\\t\\t<button class=\"navigate-down\" aria-label=\"below slide\"><div class=\"controls-arrow\"></div></button>'),this.Reveal.getRevealElement().appendChild(this.element),this.controlsLeft=to(t,\".navigate-left\"),this.controlsRight=to(t,\".navigate-right\"),this.controlsUp=to(t,\".navigate-up\"),this.controlsDown=to(t,\".navigate-down\"),this.controlsPrev=to(t,\".navigate-prev\"),this.controlsNext=to(t,\".navigate-next\"),this.controlsRightArrow=this.element.querySelector(\".navigate-right\"),this.controlsLeftArrow=this.element.querySelector(\".navigate-left\"),this.controlsDownArrow=this.element.querySelector(\".navigate-down\")}},{key:\"configure\",value:function(e,t){this.element.style.display=e.controls?\"block\":\"none\",this.element.setAttribute(\"data-controls-layout\",e.controlsLayout),this.element.setAttribute(\"data-controls-back-arrows\",e.controlsBackArrows)}},{key:\"bind\",value:function(){var e=this,t=[\"touchstart\",\"click\"];po&&(t=[\"touchstart\"]),t.forEach((function(t){e.controlsLeft.forEach((function(n){return n.addEventListener(t,e.onNavigateLeftClicked,!1)})),e.controlsRight.forEach((function(n){return n.addEventListener(t,e.onNavigateRightClicked,!1)})),e.controlsUp.forEach((function(n){return n.addEventListener(t,e.onNavigateUpClicked,!1)})),e.controlsDown.forEach((function(n){return n.addEventListener(t,e.onNavigateDownClicked,!1)})),e.controlsPrev.forEach((function(n){return n.addEventListener(t,e.onNavigatePrevClicked,!1)})),e.controlsNext.forEach((function(n){return n.addEventListener(t,e.onNavigateNextClicked,!1)}))}))}},{key:\"unbind\",value:function(){var e=this;[\"touchstart\",\"click\"].forEach((function(t){e.controlsLeft.forEach((function(n){return n.removeEventListener(t,e.onNavigateLeftClicked,!1)})),e.controlsRight.forEach((function(n){return n.removeEventListener(t,e.onNavigateRightClicked,!1)})),e.controlsUp.forEach((function(n){return n.removeEventListener(t,e.onNavigateUpClicked,!1)})),e.controlsDown.forEach((function(n){return n.removeEventListener(t,e.onNavigateDownClicked,!1)})),e.controlsPrev.forEach((function(n){return n.removeEventListener(t,e.onNavigatePrevClicked,!1)})),e.controlsNext.forEach((function(n){return n.removeEventListener(t,e.onNavigateNextClicked,!1)}))}))}},{key:\"update\",value:function(){var e=this.Reveal.availableRoutes();[].concat(Va(this.controlsLeft),Va(this.controlsRight),Va(this.controlsUp),Va(this.controlsDown),Va(this.controlsPrev),Va(this.controlsNext)).forEach((function(e){e.classList.remove(\"enabled\",\"fragmented\"),e.setAttribute(\"disabled\",\"disabled\")})),e.left&&this.controlsLeft.forEach((function(e){e.classList.add(\"enabled\"),e.removeAttribute(\"disabled\")})),e.right&&this.controlsRight.forEach((function(e){e.classList.add(\"enabled\"),e.removeAttribute(\"disabled\")})),e.up&&this.controlsUp.forEach((function(e){e.classList.add(\"enabled\"),e.removeAttribute(\"disabled\")})),e.down&&this.controlsDown.forEach((function(e){e.classList.add(\"enabled\"),e.removeAttribute(\"disabled\")})),(e.left||e.up)&&this.controlsPrev.forEach((function(e){e.classList.add(\"enabled\"),e.removeAttribute(\"disabled\")})),(e.right||e.down)&&this.controlsNext.forEach((function(e){e.classList.add(\"enabled\"),e.removeAttribute(\"disabled\")}));var t=this.Reveal.getCurrentSlide();if(t){var n=this.Reveal.fragments.availableRoutes();n.prev&&this.controlsPrev.forEach((function(e){e.classList.add(\"fragmented\",\"enabled\"),e.removeAttribute(\"disabled\")})),n.next&&this.controlsNext.forEach((function(e){e.classList.add(\"fragmented\",\"enabled\"),e.removeAttribute(\"disabled\")})),this.Reveal.isVerticalSlide(t)?(n.prev&&this.controlsUp.forEach((function(e){e.classList.add(\"fragmented\",\"enabled\"),e.removeAttribute(\"disabled\")})),n.next&&this.controlsDown.forEach((function(e){e.classList.add(\"fragmented\",\"enabled\"),e.removeAttribute(\"disabled\")}))):(n.prev&&this.controlsLeft.forEach((function(e){e.classList.add(\"fragmented\",\"enabled\"),e.removeAttribute(\"disabled\")})),n.next&&this.controlsRight.forEach((function(e){e.classList.add(\"fragmented\",\"enabled\"),e.removeAttribute(\"disabled\")})))}if(this.Reveal.getConfig().controlsTutorial){var i=this.Reveal.getIndices();!this.Reveal.hasNavigatedVertically()&&e.down?this.controlsDownArrow.classList.add(\"highlight\"):(this.controlsDownArrow.classList.remove(\"highlight\"),this.Reveal.getConfig().rtl?!this.Reveal.hasNavigatedHorizontally()&&e.left&&0===i.v?this.controlsLeftArrow.classList.add(\"highlight\"):this.controlsLeftArrow.classList.remove(\"highlight\"):!this.Reveal.hasNavigatedHorizontally()&&e.right&&0===i.v?this.controlsRightArrow.classList.add(\"highlight\"):this.controlsRightArrow.classList.remove(\"highlight\"))}}},{key:\"onNavigateLeftClicked\",value:function(e){e.preventDefault(),this.Reveal.onUserInput(),\"linear\"===this.Reveal.getConfig().navigationMode?this.Reveal.prev():this.Reveal.left()}},{key:\"onNavigateRightClicked\",value:function(e){e.preventDefault(),this.Reveal.onUserInput(),\"linear\"===this.Reveal.getConfig().navigationMode?this.Reveal.next():this.Reveal.right()}},{key:\"onNavigateUpClicked\",value:function(e){e.preventDefault(),this.Reveal.onUserInput(),this.Reveal.up()}},{key:\"onNavigateDownClicked\",value:function(e){e.preventDefault(),this.Reveal.onUserInput(),this.Reveal.down()}},{key:\"onNavigatePrevClicked\",value:function(e){e.preventDefault(),this.Reveal.onUserInput(),this.Reveal.prev()}},{key:\"onNavigateNextClicked\",value:function(e){e.preventDefault(),this.Reveal.onUserInput(),this.Reveal.next()}}]),e}(),Ko=function(){function e(t){Ua(this,e),this.Reveal=t,this.onProgressClicked=this.onProgressClicked.bind(this)}return Fa(e,[{key:\"render\",value:function(){this.element=document.createElement(\"div\"),this.element.className=\"progress\",this.Reveal.getRevealElement().appendChild(this.element),this.bar=document.createElement(\"span\"),this.element.appendChild(this.bar)}},{key:\"configure\",value:function(e,t){this.element.style.display=e.progress?\"block\":\"none\"}},{key:\"bind\",value:function(){this.Reveal.getConfig().progress&&this.element&&this.element.addEventListener(\"click\",this.onProgressClicked,!1)}},{key:\"unbind\",value:function(){this.Reveal.getConfig().progress&&this.element&&this.element.removeEventListener(\"click\",this.onProgressClicked,!1)}},{key:\"update\",value:function(){this.Reveal.getConfig().progress&&this.bar&&(this.bar.style.transform=\"scaleX(\"+this.Reveal.getProgress()+\")\")}},{key:\"getMaxWidth\",value:function(){return this.Reveal.getRevealElement().offsetWidth}},{key:\"onProgressClicked\",value:function(e){this.Reveal.onUserInput(e),e.preventDefault();var t=this.Reveal.getHorizontalSlides().length,n=Math.floor(e.clientX/this.getMaxWidth()*t);this.Reveal.getConfig().rtl&&(n=t-n),this.Reveal.slide(n)}}]),e}(),$o=function(){function e(t){Ua(this,e),this.Reveal=t,this.lastMouseWheelStep=0,this.cursorHidden=!1,this.cursorInactiveTimeout=0,this.onDocumentCursorActive=this.onDocumentCursorActive.bind(this),this.onDocumentMouseScroll=this.onDocumentMouseScroll.bind(this)}return Fa(e,[{key:\"configure\",value:function(e,t){e.mouseWheel?(document.addEventListener(\"DOMMouseScroll\",this.onDocumentMouseScroll,!1),document.addEventListener(\"mousewheel\",this.onDocumentMouseScroll,!1)):(document.removeEventListener(\"DOMMouseScroll\",this.onDocumentMouseScroll,!1),document.removeEventListener(\"mousewheel\",this.onDocumentMouseScroll,!1)),e.hideInactiveCursor?(document.addEventListener(\"mousemove\",this.onDocumentCursorActive,!1),document.addEventListener(\"mousedown\",this.onDocumentCursorActive,!1)):(this.showCursor(),document.removeEventListener(\"mousemove\",this.onDocumentCursorActive,!1),document.removeEventListener(\"mousedown\",this.onDocumentCursorActive,!1))}},{key:\"showCursor\",value:function(){this.cursorHidden&&(this.cursorHidden=!1,this.Reveal.getRevealElement().style.cursor=\"\")}},{key:\"hideCursor\",value:function(){!1===this.cursorHidden&&(this.cursorHidden=!0,this.Reveal.getRevealElement().style.cursor=\"none\")}},{key:\"onDocumentCursorActive\",value:function(e){this.showCursor(),clearTimeout(this.cursorInactiveTimeout),this.cursorInactiveTimeout=setTimeout(this.hideCursor.bind(this),this.Reveal.getConfig().hideCursorTime)}},{key:\"onDocumentMouseScroll\",value:function(e){if(Date.now()-this.lastMouseWheelStep>1e3){this.lastMouseWheelStep=Date.now();var t=e.detail||-e.wheelDelta;t>0?this.Reveal.next():t<0&&this.Reveal.prev()}}}]),e}(),Xo=l.f,Yo=function(e){return function(t){for(var n,i=g(t),r=mt(i),o=r.length,s=0,l=[];o>s;)n=r[s++],a&&!Xo.call(i,n)||l.push(e?[n,i[n]]:i[n]);return l}},Go={entries:Yo(!0),values:Yo(!1)}.values;Me({target:\"Object\",stat:!0},{values:function(e){return Go(e)}});var Jo=function(e,t){var n=document.createElement(\"script\");n.type=\"text/javascript\",n.async=!1,n.defer=!1,n.src=e,\"function\"==typeof t&&(n.onload=n.onreadystatechange=function(e){(\"load\"===e.type||/loaded|complete/.test(n.readyState))&&(n.onload=n.onreadystatechange=n.onerror=null,t())},n.onerror=function(e){n.onload=n.onreadystatechange=n.onerror=null,t(new Error(\"Failed loading script: \"+n.src+\"\\n\"+e))});var i=document.querySelector(\"head\");i.insertBefore(n,i.lastChild)},Qo=function(){function e(t){Ua(this,e),this.Reveal=t,this.state=\"idle\",this.registeredPlugins={},this.asyncDependencies=[]}return Fa(e,[{key:\"load\",value:function(e,t){var n=this;return this.state=\"loading\",e.forEach(this.registerPlugin.bind(this)),new Promise((function(e){var i=[],r=0;if(t.forEach((function(e){e.condition&&!e.condition()||(e.async?n.asyncDependencies.push(e):i.push(e))})),i.length){r=i.length;var a=function(t){t&&\"function\"==typeof t.callback&&t.callback(),0==--r&&n.initPlugins().then(e)};i.forEach((function(e){\"string\"==typeof e.id?(n.registerPlugin(e),a(e)):\"string\"==typeof e.src?Jo(e.src,(function(){return a(e)})):(console.warn(\"Unrecognized plugin format\",e),a())}))}else n.initPlugins().then(e)}))}},{key:\"initPlugins\",value:function(){var e=this;return new Promise((function(t){var n=Object.values(e.registeredPlugins),i=n.length;if(0===i)e.loadAsync().then(t);else{var r,a=function(){0==--i?e.loadAsync().then(t):r()},o=0;(r=function(){var t=n[o++];if(\"function\"==typeof t.init){var i=t.init(e.Reveal);i&&\"function\"==typeof i.then?i.then(a):a()}else a()})()}}))}},{key:\"loadAsync\",value:function(){return this.state=\"loaded\",this.asyncDependencies.length&&this.asyncDependencies.forEach((function(e){Jo(e.src,e.callback)})),Promise.resolve()}},{key:\"registerPlugin\",value:function(e){2===arguments.length&&\"string\"==typeof arguments[0]?(e=arguments[1]).id=arguments[0]:\"function\"==typeof e&&(e=e());var t=e.id;\"string\"!=typeof t?console.warn(\"Unrecognized plugin format; can't find plugin.id\",e):void 0===this.registeredPlugins[t]?(this.registeredPlugins[t]=e,\"loaded\"===this.state&&\"function\"==typeof e.init&&e.init(this.Reveal)):console.warn('reveal.js: \"'+t+'\" plugin has already been registered')}},{key:\"hasPlugin\",value:function(e){return!!this.registeredPlugins[e]}},{key:\"getPlugin\",value:function(e){return this.registeredPlugins[e]}},{key:\"getRegisteredPlugins\",value:function(){return this.registeredPlugins}}]),e}(),Zo=function(){function e(t){Ua(this,e),this.Reveal=t}return Fa(e,[{key:\"setupPDF\",value:function(){var e=this.Reveal.getConfig(),t=this.Reveal.getComputedSlideSize(window.innerWidth,window.innerHeight),n=Math.floor(t.width*(1+e.margin)),i=Math.floor(t.height*(1+e.margin)),r=t.width,a=t.height;lo(\"@page{size:\"+n+\"px \"+i+\"px; margin: 0px;}\"),lo(\".reveal section>img, .reveal section>video, .reveal section>iframe{max-width: \"+r+\"px; max-height:\"+a+\"px}\"),document.documentElement.classList.add(\"print-pdf\"),document.body.style.width=n+\"px\",document.body.style.height=i+\"px\",this.Reveal.layoutSlideContents(r,a);var o=e.slideNumber&&/all|print/i.test(e.showSlideNumber);to(this.Reveal.getRevealElement(),\".slides section\").forEach((function(e){e.setAttribute(\"data-slide-number\",this.Reveal.slideNumber.getSlideNumber(e))}),this),to(this.Reveal.getRevealElement(),\".slides section\").forEach((function(t){if(!1===t.classList.contains(\"stack\")){var s=(n-r)/2,l=(i-a)/2,c=t.scrollHeight,u=Math.max(Math.ceil(c/i),1);(1===(u=Math.min(u,e.pdfMaxPagesPerSlide))&&e.center||t.classList.contains(\"center\"))&&(l=Math.max((i-c)/2,0));var d=document.createElement(\"div\");if(d.className=\"pdf-page\",d.style.height=(i+e.pdfPageHeightOffset)*u+\"px\",t.parentNode.insertBefore(d,t),d.appendChild(t),t.style.left=s+\"px\",t.style.top=l+\"px\",t.style.width=r+\"px\",t.slideBackgroundElement&&d.insertBefore(t.slideBackgroundElement,t),e.showNotes){var h=this.Reveal.getSlideNotes(t);if(h){var f=\"string\"==typeof e.showNotes?e.showNotes:\"inline\",v=document.createElement(\"div\");v.classList.add(\"speaker-notes\"),v.classList.add(\"speaker-notes-pdf\"),v.setAttribute(\"data-layout\",f),v.innerHTML=h,\"separate-page\"===f?d.parentNode.insertBefore(v,d.nextSibling):(v.style.left=\"8px\",v.style.bottom=\"8px\",v.style.width=n-16+\"px\",d.appendChild(v))}}if(o){var g=document.createElement(\"div\");g.classList.add(\"slide-number\"),g.classList.add(\"slide-number-pdf\"),g.innerHTML=t.getAttribute(\"data-slide-number\"),d.appendChild(g)}if(e.pdfSeparateFragments){var p,m,y=this.Reveal.fragments.sort(d.querySelectorAll(\".fragment\"),!0);y.forEach((function(e){p&&p.forEach((function(e){e.classList.remove(\"current-fragment\")})),e.forEach((function(e){e.classList.add(\"visible\",\"current-fragment\")}),this);var t=d.cloneNode(!0);d.parentNode.insertBefore(t,(m||d).nextSibling),p=e,m=t}),this),y.forEach((function(e){e.forEach((function(e){e.classList.remove(\"visible\",\"current-fragment\")}))}))}else to(d,\".fragment:not(.fade-out)\").forEach((function(e){e.classList.add(\"visible\")}))}}),this),this.Reveal.dispatchEvent({type:\"pdf-ready\"})}},{key:\"isPrintingPDF\",value:function(){return/print-pdf/gi.test(window.location.search)}}]),e}(),es=function(){function e(t){Ua(this,e),this.Reveal=t,this.touchStartX=0,this.touchStartY=0,this.touchStartCount=0,this.touchCaptured=!1,this.onPointerDown=this.onPointerDown.bind(this),this.onPointerMove=this.onPointerMove.bind(this),this.onPointerUp=this.onPointerUp.bind(this),this.onTouchStart=this.onTouchStart.bind(this),this.onTouchMove=this.onTouchMove.bind(this),this.onTouchEnd=this.onTouchEnd.bind(this)}return Fa(e,[{key:\"bind\",value:function(){var e=this.Reveal.getRevealElement();\"onpointerdown\"in window?(e.addEventListener(\"pointerdown\",this.onPointerDown,!1),e.addEventListener(\"pointermove\",this.onPointerMove,!1),e.addEventListener(\"pointerup\",this.onPointerUp,!1)):window.navigator.msPointerEnabled?(e.addEventListener(\"MSPointerDown\",this.onPointerDown,!1),e.addEventListener(\"MSPointerMove\",this.onPointerMove,!1),e.addEventListener(\"MSPointerUp\",this.onPointerUp,!1)):(e.addEventListener(\"touchstart\",this.onTouchStart,!1),e.addEventListener(\"touchmove\",this.onTouchMove,!1),e.addEventListener(\"touchend\",this.onTouchEnd,!1))}},{key:\"unbind\",value:function(){var e=this.Reveal.getRevealElement();e.removeEventListener(\"pointerdown\",this.onPointerDown,!1),e.removeEventListener(\"pointermove\",this.onPointerMove,!1),e.removeEventListener(\"pointerup\",this.onPointerUp,!1),e.removeEventListener(\"MSPointerDown\",this.onPointerDown,!1),e.removeEventListener(\"MSPointerMove\",this.onPointerMove,!1),e.removeEventListener(\"MSPointerUp\",this.onPointerUp,!1),e.removeEventListener(\"touchstart\",this.onTouchStart,!1),e.removeEventListener(\"touchmove\",this.onTouchMove,!1),e.removeEventListener(\"touchend\",this.onTouchEnd,!1)}},{key:\"isSwipePrevented\",value:function(e){for(;e&&\"function\"==typeof e.hasAttribute;){if(e.hasAttribute(\"data-prevent-swipe\"))return!0;e=e.parentNode}return!1}},{key:\"onTouchStart\",value:function(e){if(this.isSwipePrevented(e.target))return!0;this.touchStartX=e.touches[0].clientX,this.touchStartY=e.touches[0].clientY,this.touchStartCount=e.touches.length}},{key:\"onTouchMove\",value:function(e){if(this.isSwipePrevented(e.target))return!0;var t=this.Reveal.getConfig();if(this.touchCaptured)po&&e.preventDefault();else{this.Reveal.onUserInput(e);var n=e.touches[0].clientX,i=e.touches[0].clientY;if(1===e.touches.length&&2!==this.touchStartCount){var r=this.Reveal.availableRoutes({includeFragments:!0}),a=n-this.touchStartX,o=i-this.touchStartY;a>40&&Math.abs(a)>Math.abs(o)?(this.touchCaptured=!0,\"linear\"===t.navigationMode?t.rtl?this.Reveal.next():this.Reveal.prev():this.Reveal.left()):a<-40&&Math.abs(a)>Math.abs(o)?(this.touchCaptured=!0,\"linear\"===t.navigationMode?t.rtl?this.Reveal.prev():this.Reveal.next():this.Reveal.right()):o>40&&r.up?(this.touchCaptured=!0,\"linear\"===t.navigationMode?this.Reveal.prev():this.Reveal.up()):o<-40&&r.down&&(this.touchCaptured=!0,\"linear\"===t.navigationMode?this.Reveal.next():this.Reveal.down()),t.embedded?(this.touchCaptured||this.Reveal.isVerticalSlide())&&e.preventDefault():e.preventDefault()}}}},{key:\"onTouchEnd\",value:function(e){this.touchCaptured=!1}},{key:\"onPointerDown\",value:function(e){e.pointerType!==e.MSPOINTER_TYPE_TOUCH&&\"touch\"!==e.pointerType||(e.touches=[{clientX:e.clientX,clientY:e.clientY}],this.onTouchStart(e))}},{key:\"onPointerMove\",value:function(e){e.pointerType!==e.MSPOINTER_TYPE_TOUCH&&\"touch\"!==e.pointerType||(e.touches=[{clientX:e.clientX,clientY:e.clientY}],this.onTouchMove(e))}},{key:\"onPointerUp\",value:function(e){e.pointerType!==e.MSPOINTER_TYPE_TOUCH&&\"touch\"!==e.pointerType||(e.touches=[{clientX:e.clientX,clientY:e.clientY}],this.onTouchEnd(e))}}]),e}(),ts=function(){function e(t){Ua(this,e),this.Reveal=t,this.onRevealPointerDown=this.onRevealPointerDown.bind(this),this.onDocumentPointerDown=this.onDocumentPointerDown.bind(this)}return Fa(e,[{key:\"configure\",value:function(e,t){e.embedded?this.blur():(this.focus(),this.unbind())}},{key:\"bind\",value:function(){this.Reveal.getConfig().embedded&&this.Reveal.getRevealElement().addEventListener(\"pointerdown\",this.onRevealPointerDown,!1)}},{key:\"unbind\",value:function(){this.Reveal.getRevealElement().removeEventListener(\"pointerdown\",this.onRevealPointerDown,!1),document.removeEventListener(\"pointerdown\",this.onDocumentPointerDown,!1)}},{key:\"focus\",value:function(){\"focus\"!==this.state&&(this.Reveal.getRevealElement().classList.add(\"focused\"),document.addEventListener(\"pointerdown\",this.onDocumentPointerDown,!1)),this.state=\"focus\"}},{key:\"blur\",value:function(){\"blur\"!==this.state&&(this.Reveal.getRevealElement().classList.remove(\"focused\"),document.removeEventListener(\"pointerdown\",this.onDocumentPointerDown,!1)),this.state=\"blur\"}},{key:\"isFocused\",value:function(){return\"focus\"===this.state}},{key:\"onRevealPointerDown\",value:function(e){this.focus()}},{key:\"onDocumentPointerDown\",value:function(e){var t=oo(e.target,\".reveal\");t&&t===this.Reveal.getRevealElement()||this.blur()}}]),e}(),ns=function(){function e(t){Ua(this,e),this.Reveal=t}return Fa(e,[{key:\"render\",value:function(){this.element=document.createElement(\"div\"),this.element.className=\"speaker-notes\",this.element.setAttribute(\"data-prevent-swipe\",\"\"),this.element.setAttribute(\"tabindex\",\"0\"),this.Reveal.getRevealElement().appendChild(this.element)}},{key:\"configure\",value:function(e,t){e.showNotes&&this.element.setAttribute(\"data-layout\",\"string\"==typeof e.showNotes?e.showNotes:\"inline\")}},{key:\"update\",value:function(){this.Reveal.getConfig().showNotes&&this.element&&this.Reveal.getCurrentSlide()&&!this.Reveal.print.isPrintingPDF()&&(this.element.innerHTML=this.getSlideNotes()||'<span class=\"notes-placeholder\">No notes on this slide.</span>')}},{key:\"updateVisibility\",value:function(){this.Reveal.getConfig().showNotes&&this.hasNotes()&&!this.Reveal.print.isPrintingPDF()?this.Reveal.getRevealElement().classList.add(\"show-notes\"):this.Reveal.getRevealElement().classList.remove(\"show-notes\")}},{key:\"hasNotes\",value:function(){return this.Reveal.getSlidesElement().querySelectorAll(\"[data-notes], aside.notes\").length>0}},{key:\"isSpeakerNotesWindow\",value:function(){return!!window.location.search.match(/receiver/gi)}},{key:\"getSlideNotes\",value:function(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:this.Reveal.getCurrentSlide();if(e.hasAttribute(\"data-notes\"))return e.getAttribute(\"data-notes\");var t=e.querySelector(\"aside.notes\");return t?t.innerHTML:null}}]),e}(),is=Fe(\"unscopables\"),rs=Array.prototype;null==rs[is]&&C.f(rs,is,{configurable:!0,value:Ct(null)});Me({target:\"Array\",proto:!0},{fill:function(e){for(var t=Oe(this),n=ce(t.length),i=arguments.length,r=he(i>1?arguments[1]:void 0,n),a=i>2?arguments[2]:void 0,o=void 0===a?n:he(a,n);o>r;)t[r++]=e;return t}}),function(e){rs[is][e]=!0}(\"fill\");var as=function(){function e(t,n){Ua(this,e),this.diameter=100,this.diameter2=this.diameter/2,this.thickness=6,this.playing=!1,this.progress=0,this.progressOffset=1,this.container=t,this.progressCheck=n,this.canvas=document.createElement(\"canvas\"),this.canvas.className=\"playback\",this.canvas.width=this.diameter,this.canvas.height=this.diameter,this.canvas.style.width=this.diameter2+\"px\",this.canvas.style.height=this.diameter2+\"px\",this.context=this.canvas.getContext(\"2d\"),this.container.appendChild(this.canvas),this.render()}return Fa(e,[{key:\"setPlaying\",value:function(e){var t=this.playing;this.playing=e,!t&&this.playing?this.animate():this.render()}},{key:\"animate\",value:function(){var e=this.progress;this.progress=this.progressCheck(),e>.8&&this.progress<.2&&(this.progressOffset=this.progress),this.render(),this.playing&&requestAnimationFrame(this.animate.bind(this))}},{key:\"render\",value:function(){var e=this.playing?this.progress:0,t=this.diameter2-this.thickness,n=this.diameter2,i=this.diameter2;this.progressOffset+=.1*(1-this.progressOffset);var r=-Math.PI/2+e*(2*Math.PI),a=-Math.PI/2+this.progressOffset*(2*Math.PI);this.context.save(),this.context.clearRect(0,0,this.diameter,this.diameter),this.context.beginPath(),this.context.arc(n,i,t+4,0,2*Math.PI,!1),this.context.fillStyle=\"rgba( 0, 0, 0, 0.4 )\",this.context.fill(),this.context.beginPath(),this.context.arc(n,i,t,0,2*Math.PI,!1),this.context.lineWidth=this.thickness,this.context.strokeStyle=\"rgba( 255, 255, 255, 0.2 )\",this.context.stroke(),this.playing&&(this.context.beginPath(),this.context.arc(n,i,t,a,r,!1),this.context.lineWidth=this.thickness,this.context.strokeStyle=\"#fff\",this.context.stroke()),this.context.translate(n-14,i-14),this.playing?(this.context.fillStyle=\"#fff\",this.context.fillRect(0,0,10,28),this.context.fillRect(18,0,10,28)):(this.context.beginPath(),this.context.translate(4,0),this.context.moveTo(0,0),this.context.lineTo(24,14),this.context.lineTo(0,28),this.context.fillStyle=\"#fff\",this.context.fill()),this.context.restore()}},{key:\"on\",value:function(e,t){this.canvas.addEventListener(e,t,!1)}},{key:\"off\",value:function(e,t){this.canvas.removeEventListener(e,t,!1)}},{key:\"destroy\",value:function(){this.playing=!1,this.canvas.parentNode&&this.container.removeChild(this.canvas)}}]),e}(),os={width:960,height:700,margin:.04,minScale:.2,maxScale:2,controls:!0,controlsTutorial:!0,controlsLayout:\"bottom-right\",controlsBackArrows:\"faded\",progress:!0,slideNumber:!1,showSlideNumber:\"all\",hashOneBasedIndex:!1,hash:!1,respondToHashChanges:!0,history:!1,keyboard:!0,keyboardCondition:null,disableLayout:!1,overview:!0,center:!0,touch:!0,loop:!1,rtl:!1,navigationMode:\"default\",shuffle:!1,fragments:!0,fragmentInURL:!0,embedded:!1,help:!0,pause:!0,showNotes:!1,autoPlayMedia:null,preloadIframes:null,autoAnimate:!0,autoAnimateMatcher:null,autoAnimateEasing:\"ease\",autoAnimateDuration:1,autoAnimateUnmatched:!0,autoAnimateStyles:[\"opacity\",\"color\",\"background-color\",\"padding\",\"font-size\",\"line-height\",\"letter-spacing\",\"border-width\",\"border-color\",\"border-radius\",\"outline\",\"outline-offset\"],autoSlide:0,autoSlideStoppable:!0,autoSlideMethod:null,defaultTiming:null,mouseWheel:!1,previewLinks:!1,postMessage:!0,postMessageEvents:!1,focusBodyOnPageVisibilityChange:!0,transition:\"slide\",transitionSpeed:\"default\",backgroundTransition:\"fade\",parallaxBackgroundImage:\"\",parallaxBackgroundSize:\"\",parallaxBackgroundRepeat:\"\",parallaxBackgroundPosition:\"\",parallaxBackgroundHorizontal:null,parallaxBackgroundVertical:null,pdfMaxPagesPerSlide:Number.POSITIVE_INFINITY,pdfSeparateFragments:!0,pdfPageHeightOffset:-1,viewDistance:3,mobileViewDistance:2,display:\"block\",hideInactiveCursor:!0,hideCursorTime:5e3,dependencies:[],plugins:[]};function ss(e,t){arguments.length<2&&(t=arguments[0],e=document.querySelector(\".reveal\"));var n,i,r,a,o,s={},l={},c=!1,u={hasNavigatedHorizontally:!1,hasNavigatedVertically:!1},d=[],h=1,f={layout:\"\",overview:\"\"},v={},g=\"idle\",p=0,m=0,y=-1,b=!1,w=new bo(s),S=new wo(s),E=new Fo(s),k=new Eo(s),A=new zo(s),R=new qo(s),x=new _o(s),L=new Vo(s),C=new Wo(s),P=new Ko(s),N=new $o(s),M=new Qo(s),I=new Zo(s),O=new ts(s),T=new es(s),D=new ns(s);function j(n){return v.wrapper=e,v.slides=e.querySelector(\".slides\"),l=_a(_a(_a(_a(_a({},os),l),t),n),co()),H(),window.addEventListener(\"load\",ae,!1),M.load(l.plugins,l.dependencies).then(U),new Promise((function(e){return s.on(\"ready\",e)}))}function H(){!0===l.embedded?v.viewport=oo(e,\".reveal-viewport\")||e:(v.viewport=document.body,document.documentElement.classList.add(\"reveal-full-page\")),v.viewport.classList.add(\"reveal-viewport\")}function U(){c=!0,B(),V(),_(),ke(),W(),L.readURL(),k.update(!0),setTimeout((function(){v.slides.classList.remove(\"no-transition\"),v.wrapper.classList.add(\"ready\"),J({type:\"ready\",data:{indexh:n,indexv:i,currentSlide:a}})}),1),I.isPrintingPDF()&&($(),\"complete\"===document.readyState?I.setupPDF():window.addEventListener(\"load\",(function(){I.setupPDF()})))}function B(){v.slides.classList.add(\"no-transition\"),vo?v.wrapper.classList.add(\"no-hover\"):v.wrapper.classList.remove(\"no-hover\"),k.render(),S.render(),C.render(),P.render(),D.render(),v.pauseOverlay=so(v.wrapper,\"div\",\"pause-overlay\",l.controls?'<button class=\"resume-button\">Resume presentation</button>':null),v.statusElement=F(),v.wrapper.setAttribute(\"role\",\"application\")}function F(){var e=v.wrapper.querySelector(\".aria-status\");return e||((e=document.createElement(\"div\")).style.position=\"absolute\",e.style.height=\"1px\",e.style.width=\"1px\",e.style.overflow=\"hidden\",e.style.clip=\"rect( 1px, 1px, 1px, 1px )\",e.classList.add(\"aria-status\"),e.setAttribute(\"aria-live\",\"polite\"),e.setAttribute(\"aria-atomic\",\"true\"),v.wrapper.appendChild(e)),e}function z(e){v.statusElement.textContent=e}function q(e){var t=\"\";if(3===e.nodeType)t+=e.textContent;else if(1===e.nodeType){var n=e.getAttribute(\"aria-hidden\"),i=\"none\"===window.getComputedStyle(e).display;\"true\"===n||i||Array.from(e.childNodes).forEach((function(e){t+=q(e)}))}return\"\"===(t=t.trim())?\"\":t+\" \"}function _(){setInterval((function(){0===v.wrapper.scrollTop&&0===v.wrapper.scrollLeft||(v.wrapper.scrollTop=0,v.wrapper.scrollLeft=0)}),1e3)}function V(){l.postMessage&&window.addEventListener(\"message\",(function(e){var t=e.data;if(\"string\"==typeof t&&\"{\"===t.charAt(0)&&\"}\"===t.charAt(t.length-1)&&(t=JSON.parse(t)).method&&\"function\"==typeof s[t.method])if(!1===Ka.test(t.method)){var n=s[t.method].apply(s,t.args);Q(\"callback\",{method:t.method,result:n})}else console.warn('reveal.js: \"'+t.method+'\" is is blacklisted from the postMessage API')}),!1)}function W(e){var t=_a({},l);if(\"object\"===Ha(e)&&eo(l,e),!1!==s.isReady()){var n=v.wrapper.querySelectorAll(\".slides section\").length;v.wrapper.classList.remove(t.transition),v.wrapper.classList.add(l.transition),v.wrapper.setAttribute(\"data-transition-speed\",l.transitionSpeed),v.wrapper.setAttribute(\"data-background-transition\",l.backgroundTransition),l.shuffle&&Ae(),no(v.wrapper,\"embedded\",l.embedded),no(v.wrapper,\"rtl\",l.rtl),no(v.wrapper,\"center\",l.center),!1===l.pause&&ge(),l.previewLinks?(Z(),ee(\"[data-preview-link=false]\")):(ee(),Z(\"[data-preview-link]:not([data-preview-link=false])\")),E.reset(),o&&(o.destroy(),o=null),n>1&&l.autoSlide&&l.autoSlideStoppable&&((o=new as(v.wrapper,(function(){return Math.min(Math.max((Date.now()-y)/p,0),1)}))).on(\"click\",rt),b=!1),\"default\"!==l.navigationMode?v.wrapper.setAttribute(\"data-navigation-mode\",l.navigationMode):v.wrapper.removeAttribute(\"data-navigation-mode\"),D.configure(l,t),O.configure(l,t),N.configure(l,t),C.configure(l,t),P.configure(l,t),x.configure(l,t),A.configure(l,t),S.configure(l,t),Se()}}function K(){window.addEventListener(\"resize\",tt,!1),l.touch&&T.bind(),l.keyboard&&x.bind(),l.progress&&P.bind(),l.respondToHashChanges&&L.bind(),C.bind(),O.bind(),v.slides.addEventListener(\"transitionend\",et,!1),v.pauseOverlay.addEventListener(\"click\",ge,!1),l.focusBodyOnPageVisibilityChange&&document.addEventListener(\"visibilitychange\",nt,!1)}function $(){T.unbind(),O.unbind(),x.unbind(),C.unbind(),P.unbind(),L.unbind(),window.removeEventListener(\"resize\",tt,!1),v.slides.removeEventListener(\"transitionend\",et,!1),v.pauseOverlay.removeEventListener(\"click\",ge,!1)}function X(t,n,i){e.addEventListener(t,n,i)}function Y(t,n,i){e.removeEventListener(t,n,i)}function G(e){\"string\"==typeof e.layout&&(f.layout=e.layout),\"string\"==typeof e.overview&&(f.overview=e.overview),f.layout?ro(v.slides,f.layout+\" \"+f.overview):ro(v.slides,f.overview)}function J(e){var t=e.target,n=void 0===t?v.wrapper:t,i=e.type,r=e.data,a=e.bubbles,o=void 0===a||a,s=document.createEvent(\"HTMLEvents\",1,2);s.initEvent(i,o,!0),eo(s,r),n.dispatchEvent(s),n===v.wrapper&&Q(i)}function Q(e,t){if(l.postMessageEvents&&window.parent!==window.self){var n={namespace:\"reveal\",eventName:e,state:ze()};eo(n,t),window.parent.postMessage(JSON.stringify(n),\"*\")}}function Z(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:\"a\";Array.from(v.wrapper.querySelectorAll(e)).forEach((function(e){/^(http|www)/gi.test(e.getAttribute(\"href\"))&&e.addEventListener(\"click\",it,!1)}))}function ee(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:\"a\";Array.from(v.wrapper.querySelectorAll(e)).forEach((function(e){/^(http|www)/gi.test(e.getAttribute(\"href\"))&&e.removeEventListener(\"click\",it,!1)}))}function te(e){re(),v.overlay=document.createElement(\"div\"),v.overlay.classList.add(\"overlay\"),v.overlay.classList.add(\"overlay-preview\"),v.wrapper.appendChild(v.overlay),v.overlay.innerHTML='<header>\\n\\t\\t\\t\\t<a class=\"close\" href=\"#\"><span class=\"icon\"></span></a>\\n\\t\\t\\t\\t<a class=\"external\" href=\"'.concat(e,'\" target=\"_blank\"><span class=\"icon\"></span></a>\\n\\t\\t\\t</header>\\n\\t\\t\\t<div class=\"spinner\"></div>\\n\\t\\t\\t<div class=\"viewport\">\\n\\t\\t\\t\\t<iframe src=\"').concat(e,'\"></iframe>\\n\\t\\t\\t\\t<small class=\"viewport-inner\">\\n\\t\\t\\t\\t\\t<span class=\"x-frame-error\">Unable to load iframe. This is likely due to the site\\'s policy (x-frame-options).</span>\\n\\t\\t\\t\\t</small>\\n\\t\\t\\t</div>'),v.overlay.querySelector(\"iframe\").addEventListener(\"load\",(function(e){v.overlay.classList.add(\"loaded\")}),!1),v.overlay.querySelector(\".close\").addEventListener(\"click\",(function(e){re(),e.preventDefault()}),!1),v.overlay.querySelector(\".external\").addEventListener(\"click\",(function(e){re()}),!1)}function ne(e){\"boolean\"==typeof e?e?ie():re():v.overlay?re():ie()}function ie(){if(l.help){re(),v.overlay=document.createElement(\"div\"),v.overlay.classList.add(\"overlay\"),v.overlay.classList.add(\"overlay-help\"),v.wrapper.appendChild(v.overlay);var e='<p class=\"title\">Keyboard Shortcuts</p><br/>',t=x.getShortcuts(),n=x.getBindings();for(var i in e+=\"<table><th>KEY</th><th>ACTION</th>\",t)e+=\"<tr><td>\".concat(i,\"</td><td>\").concat(t[i],\"</td></tr>\");for(var r in n)n[r].key&&n[r].description&&(e+=\"<tr><td>\".concat(n[r].key,\"</td><td>\").concat(n[r].description,\"</td></tr>\"));e+=\"</table>\",v.overlay.innerHTML='\\n\\t\\t\\t\\t<header>\\n\\t\\t\\t\\t\\t<a class=\"close\" href=\"#\"><span class=\"icon\"></span></a>\\n\\t\\t\\t\\t</header>\\n\\t\\t\\t\\t<div class=\"viewport\">\\n\\t\\t\\t\\t\\t<div class=\"viewport-inner\">'.concat(e,\"</div>\\n\\t\\t\\t\\t</div>\\n\\t\\t\\t\"),v.overlay.querySelector(\".close\").addEventListener(\"click\",(function(e){re(),e.preventDefault()}),!1)}}function re(){return!!v.overlay&&(v.overlay.parentNode.removeChild(v.overlay),v.overlay=null,!0)}function ae(){if(v.wrapper&&!I.isPrintingPDF()){if(!l.disableLayout){vo&&!l.embedded&&document.documentElement.style.setProperty(\"--vh\",.01*window.innerHeight+\"px\");var e=se(),t=h;oe(l.width,l.height),v.slides.style.width=e.width+\"px\",v.slides.style.height=e.height+\"px\",h=Math.min(e.presentationWidth/e.width,e.presentationHeight/e.height),h=Math.max(h,l.minScale),1===(h=Math.min(h,l.maxScale))?(v.slides.style.zoom=\"\",v.slides.style.left=\"\",v.slides.style.top=\"\",v.slides.style.bottom=\"\",v.slides.style.right=\"\",G({layout:\"\"})):h>1&&mo&&window.devicePixelRatio<2?(v.slides.style.zoom=h,v.slides.style.left=\"\",v.slides.style.top=\"\",v.slides.style.bottom=\"\",v.slides.style.right=\"\",G({layout:\"\"})):(v.slides.style.zoom=\"\",v.slides.style.left=\"50%\",v.slides.style.top=\"50%\",v.slides.style.bottom=\"auto\",v.slides.style.right=\"auto\",G({layout:\"translate(-50%, -50%) scale(\"+h+\")\"}));for(var n=Array.from(v.wrapper.querySelectorAll(\".slides section\")),i=0,r=n.length;i<r;i++){var a=n[i];\"none\"!==a.style.display&&(l.center||a.classList.contains(\"center\")?a.classList.contains(\"stack\")?a.style.top=0:a.style.top=Math.max((e.height-a.scrollHeight)/2,0)+\"px\":a.style.top=\"\")}t!==h&&J({type:\"resize\",data:{oldScale:t,scale:h,size:e}})}P.update(),k.updateParallax(),R.isActive()&&R.update()}}function oe(e,t){to(v.slides,\"section > .stretch, section > .r-stretch\").forEach((function(n){var i=uo(n,t);if(/(img|video)/gi.test(n.nodeName)){var r=n.naturalWidth||n.videoWidth,a=n.naturalHeight||n.videoHeight,o=Math.min(e/r,i/a);n.style.width=r*o+\"px\",n.style.height=a*o+\"px\"}else n.style.width=e+\"px\",n.style.height=i+\"px\"}))}function se(e,t){var n={width:l.width,height:l.height,presentationWidth:e||v.wrapper.offsetWidth,presentationHeight:t||v.wrapper.offsetHeight};return n.presentationWidth-=n.presentationWidth*l.margin,n.presentationHeight-=n.presentationHeight*l.margin,\"string\"==typeof n.width&&/%$/.test(n.width)&&(n.width=parseInt(n.width,10)/100*n.presentationWidth),\"string\"==typeof n.height&&/%$/.test(n.height)&&(n.height=parseInt(n.height,10)/100*n.presentationHeight),n}function le(e,t){\"object\"===Ha(e)&&\"function\"==typeof e.setAttribute&&e.setAttribute(\"data-previous-indexv\",t||0)}function ce(e){if(\"object\"===Ha(e)&&\"function\"==typeof e.setAttribute&&e.classList.contains(\"stack\")){var t=e.hasAttribute(\"data-start-indexv\")?\"data-start-indexv\":\"data-previous-indexv\";return parseInt(e.getAttribute(t)||0,10)}return 0}function ue(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:a;return e&&e.parentNode&&!!e.parentNode.nodeName.match(/section/i)}function de(){return!(!a||!ue(a))&&!a.nextElementSibling}function he(){return 0===n&&0===i}function fe(){return!!a&&(!a.nextElementSibling&&(!ue(a)||!a.parentNode.nextElementSibling))}function ve(){if(l.pause){var e=v.wrapper.classList.contains(\"paused\");Ve(),v.wrapper.classList.add(\"paused\"),!1===e&&J({type:\"paused\"})}}function ge(){var e=v.wrapper.classList.contains(\"paused\");v.wrapper.classList.remove(\"paused\"),_e(),e&&J({type:\"resumed\"})}function pe(e){\"boolean\"==typeof e?e?ve():ge():me()?ge():ve()}function me(){return v.wrapper.classList.contains(\"paused\")}function ye(e){\"boolean\"==typeof e?e?Ke():We():b?Ke():We()}function be(){return!(!p||b)}function we(e,t,o,s){r=a;var c=v.wrapper.querySelectorAll(\".slides>section\");if(0!==c.length){void 0!==t||R.isActive()||(t=ce(c[e])),r&&r.parentNode&&r.parentNode.classList.contains(\"stack\")&&le(r.parentNode,i);var u=d.concat();d.length=0;var h=n||0,f=i||0;n=Re(\".slides>section\",void 0===e?n:e),i=Re(\".slides>section.present>section\",void 0===t?i:t);var p=n!==h||i!==f;p||(r=null);var m=c[n],y=m.querySelectorAll(\"section\");a=y[i]||m;var b=!1;p&&r&&a&&!R.isActive()&&(r.hasAttribute(\"data-auto-animate\")&&a.hasAttribute(\"data-auto-animate\")&&(b=!0,v.slides.classList.add(\"disable-slide-transitions\")),g=\"running\"),xe(),ae(),R.isActive()&&R.update(),void 0!==o&&A.goto(o),r&&r!==a&&(r.classList.remove(\"present\"),r.setAttribute(\"aria-hidden\",\"true\"),he()&&setTimeout((function(){Te().forEach((function(e){le(e,0)}))}),0));e:for(var x=0,N=d.length;x<N;x++){for(var M=0;M<u.length;M++)if(u[M]===d[x]){u.splice(M,1);continue e}v.viewport.classList.add(d[x]),J({type:d[x]})}for(;u.length;)v.viewport.classList.remove(u.pop());p&&J({type:\"slidechanged\",data:{indexh:n,indexv:i,previousSlide:r,currentSlide:a,origin:s}}),!p&&r||(w.stopEmbeddedContent(r),w.startEmbeddedContent(a)),z(q(a)),P.update(),C.update(),D.update(),k.update(),k.updateParallax(),S.update(),A.update(),L.writeURL(),_e(),b&&(setTimeout((function(){v.slides.classList.remove(\"disable-slide-transitions\")}),0),l.autoAnimate&&E.run(r,a))}}function Se(){$(),K(),ae(),p=l.autoSlide,_e(),k.create(),L.writeURL(),A.sortAll(),C.update(),P.update(),xe(),D.update(),D.updateVisibility(),k.update(!0),S.update(),w.formatEmbeddedContent(),!1===l.autoPlayMedia?w.stopEmbeddedContent(a,{unloadIframes:!1}):w.startEmbeddedContent(a),R.isActive()&&R.layout()}function Ee(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:a;k.sync(e),A.sync(e),w.load(e),k.update(),D.update()}function ke(){Ie().forEach((function(e){to(e,\"section\").forEach((function(e,t){t>0&&(e.classList.remove(\"present\"),e.classList.remove(\"past\"),e.classList.add(\"future\"),e.setAttribute(\"aria-hidden\",\"true\"))}))}))}function Ae(){Ie().forEach((function(e,t,n){v.slides.insertBefore(e,n[Math.floor(Math.random()*n.length)])}))}function Re(e,t){var n=to(v.wrapper,e),i=n.length,r=I.isPrintingPDF();if(i){l.loop&&(t%=i)<0&&(t=i+t),t=Math.max(Math.min(t,i-1),0);for(var a=0;a<i;a++){var o=n[a],s=l.rtl&&!ue(o);o.classList.remove(\"past\"),o.classList.remove(\"present\"),o.classList.remove(\"future\"),o.setAttribute(\"hidden\",\"\"),o.setAttribute(\"aria-hidden\",\"true\"),o.querySelector(\"section\")&&o.classList.add(\"stack\"),r?o.classList.add(\"present\"):a<t?(o.classList.add(s?\"future\":\"past\"),l.fragments&&to(o,\".fragment\").forEach((function(e){e.classList.add(\"visible\"),e.classList.remove(\"current-fragment\")}))):a>t&&(o.classList.add(s?\"past\":\"future\"),l.fragments&&to(o,\".fragment.visible\").forEach((function(e){e.classList.remove(\"visible\",\"current-fragment\")})))}var c=n[t],u=c.classList.contains(\"present\");c.classList.add(\"present\"),c.removeAttribute(\"hidden\"),c.removeAttribute(\"aria-hidden\"),u||J({target:c,type:\"visible\",bubbles:!1});var h=c.getAttribute(\"data-state\");h&&(d=d.concat(h.split(\" \")))}else t=0;return t}function xe(){var e,t=Ie(),r=t.length;if(r&&void 0!==n){var a=R.isActive()?10:l.viewDistance;vo&&(a=R.isActive()?6:l.mobileViewDistance),I.isPrintingPDF()&&(a=Number.MAX_VALUE);for(var o=0;o<r;o++){var s=t[o],c=to(s,\"section\"),u=c.length;if(e=Math.abs((n||0)-o)||0,l.loop&&(e=Math.abs(((n||0)-o)%(r-a))||0),e<a?w.load(s):w.unload(s),u)for(var d=ce(s),h=0;h<u;h++){var f=c[h];e+(o===(n||0)?Math.abs((i||0)-h):Math.abs(h-d))<a?w.load(f):w.unload(f)}}je()?v.wrapper.classList.add(\"has-vertical-slides\"):v.wrapper.classList.remove(\"has-vertical-slides\"),De()?v.wrapper.classList.add(\"has-horizontal-slides\"):v.wrapper.classList.remove(\"has-horizontal-slides\")}}function Le(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:{},t=e.includeFragments,r=void 0!==t&&t,a=v.wrapper.querySelectorAll(\".slides>section\"),o=v.wrapper.querySelectorAll(\".slides>section.present>section\"),s={left:n>0,right:n<a.length-1,up:i>0,down:i<o.length-1};if(l.loop&&(a.length>1&&(s.left=!0,s.right=!0),o.length>1&&(s.up=!0,s.down=!0)),a.length>1&&\"linear\"===l.navigationMode&&(s.right=s.right||s.down,s.left=s.left||s.up),!0===r){var c=A.availableRoutes();s.left=s.left||c.prev,s.up=s.up||c.prev,s.down=s.down||c.next,s.right=s.right||c.next}if(l.rtl){var u=s.left;s.left=s.right,s.right=u}return s}function Ce(){var e=arguments.length>0&&void 0!==arguments[0]?arguments[0]:a,t=Ie(),n=0;e:for(var i=0;i<t.length;i++){for(var r=t[i],o=r.querySelectorAll(\"section\"),s=0;s<o.length;s++){if(o[s]===e)break e;\"uncounted\"!==o[s].dataset.visibility&&n++}if(r===e)break;!1===r.classList.contains(\"stack\")&&\"uncounted\"!==!r.dataset.visibility&&n++}return n}function Pe(){var e=Ue(),t=Ce();if(a){var n=a.querySelectorAll(\".fragment\");if(n.length>0){t+=a.querySelectorAll(\".fragment.visible\").length/n.length*.9}}return Math.min(t/(e-1),1)}function Ne(e){var t,r=n,o=i;if(e){var s=ue(e),l=s?e.parentNode:e,c=Ie();r=Math.max(c.indexOf(l),0),o=void 0,s&&(o=Math.max(to(e.parentNode,\"section\").indexOf(e),0))}if(!e&&a&&a.querySelectorAll(\".fragment\").length>0){var u=a.querySelector(\".current-fragment\");t=u&&u.hasAttribute(\"data-fragment-index\")?parseInt(u.getAttribute(\"data-fragment-index\"),10):a.querySelectorAll(\".fragment.visible\").length-1}return{h:r,v:o,f:t}}function Me(){return to(v.wrapper,'.slides section:not(.stack):not([data-visibility=\"uncounted\"])')}function Ie(){return to(v.wrapper,\".slides>section\")}function Oe(){return to(v.wrapper,\".slides>section>section\")}function Te(){return to(v.wrapper,\".slides>section.stack\")}function De(){return Ie().length>1}function je(){return Oe().length>1}function He(){return Me().map((function(e){for(var t={},n=0;n<e.attributes.length;n++){var i=e.attributes[n];t[i.name]=i.value}return t}))}function Ue(){return Me().length}function Be(e,t){var n=Ie()[e],i=n&&n.querySelectorAll(\"section\");return i&&i.length&&\"number\"==typeof t?i?i[t]:void 0:n}function Fe(e,t){var n=\"number\"==typeof e?Be(e,t):e;if(n)return n.slideBackgroundElement}function ze(){var e=Ne();return{indexh:e.h,indexv:e.v,indexf:e.f,paused:me(),overview:R.isActive()}}function qe(e){if(\"object\"===Ha(e)){we(io(e.indexh),io(e.indexv),io(e.indexf));var t=io(e.paused),n=io(e.overview);\"boolean\"==typeof t&&t!==me()&&pe(t),\"boolean\"==typeof n&&n!==R.isActive()&&R.toggle(n)}}function _e(){if(Ve(),a&&!1!==l.autoSlide){var e=a.querySelector(\".current-fragment\");e||(e=a.querySelector(\".fragment\"));var t=e?e.getAttribute(\"data-autoslide\"):null,n=a.parentNode?a.parentNode.getAttribute(\"data-autoslide\"):null,i=a.getAttribute(\"data-autoslide\");t?p=parseInt(t,10):i?p=parseInt(i,10):n?p=parseInt(n,10):(p=l.autoSlide,0===a.querySelectorAll(\".fragment\").length&&to(a,\"video, audio\").forEach((function(e){e.hasAttribute(\"data-autoplay\")&&p&&1e3*e.duration/e.playbackRate>p&&(p=1e3*e.duration/e.playbackRate+1e3)}))),!p||b||me()||R.isActive()||fe()&&!A.availableRoutes().next&&!0!==l.loop||(m=setTimeout((function(){\"function\"==typeof l.autoSlideMethod?l.autoSlideMethod():Qe(),_e()}),p),y=Date.now()),o&&o.setPlaying(-1!==m)}}function Ve(){clearTimeout(m),m=-1}function We(){p&&!b&&(b=!0,J({type:\"autoslidepaused\"}),clearTimeout(m),o&&o.setPlaying(!1))}function Ke(){p&&b&&(b=!1,J({type:\"autoslideresumed\"}),_e())}function $e(){u.hasNavigatedHorizontally=!0,l.rtl?(R.isActive()||!1===A.next())&&Le().left&&we(n+1,\"grid\"===l.navigationMode?i:void 0):(R.isActive()||!1===A.prev())&&Le().left&&we(n-1,\"grid\"===l.navigationMode?i:void 0)}function Xe(){u.hasNavigatedHorizontally=!0,l.rtl?(R.isActive()||!1===A.prev())&&Le().right&&we(n-1,\"grid\"===l.navigationMode?i:void 0):(R.isActive()||!1===A.next())&&Le().right&&we(n+1,\"grid\"===l.navigationMode?i:void 0)}function Ye(){(R.isActive()||!1===A.prev())&&Le().up&&we(n,i-1)}function Ge(){u.hasNavigatedVertically=!0,(R.isActive()||!1===A.next())&&Le().down&&we(n,i+1)}function Je(){var e;if(!1===A.prev())if(Le().up)Ye();else if(e=l.rtl?to(v.wrapper,\".slides>section.future\").pop():to(v.wrapper,\".slides>section.past\").pop()){var t=e.querySelectorAll(\"section\").length-1||void 0;we(n-1,t)}}function Qe(){if(u.hasNavigatedHorizontally=!0,u.hasNavigatedVertically=!0,!1===A.next()){var e=Le();e.down&&e.right&&l.loop&&de()&&(e.down=!1),e.down?Ge():l.rtl?$e():Xe()}}function Ze(e){l.autoSlideStoppable&&We()}function et(e){\"running\"===g&&/section/gi.test(e.target.nodeName)&&(g=\"idle\",J({type:\"slidetransitionend\",data:{indexh:n,indexv:i,previousSlide:r,currentSlide:a}}))}function tt(e){ae()}function nt(e){!1===document.hidden&&document.activeElement!==document.body&&(\"function\"==typeof document.activeElement.blur&&document.activeElement.blur(),document.body.focus())}function it(e){if(e.currentTarget&&e.currentTarget.hasAttribute(\"href\")){var t=e.currentTarget.getAttribute(\"href\");t&&(te(t),e.preventDefault())}}function rt(e){fe()&&!1===l.loop?(we(0,0),Ke()):b?Ke():We()}var at={VERSION:\"4.0.2\",initialize:j,configure:W,sync:Se,syncSlide:Ee,syncFragments:A.sync.bind(A),slide:we,left:$e,right:Xe,up:Ye,down:Ge,prev:Je,next:Qe,navigateLeft:$e,navigateRight:Xe,navigateUp:Ye,navigateDown:Ge,navigatePrev:Je,navigateNext:Qe,navigateFragment:A.goto.bind(A),prevFragment:A.prev.bind(A),nextFragment:A.next.bind(A),on:X,off:Y,addEventListener:X,removeEventListener:Y,layout:ae,shuffle:Ae,availableRoutes:Le,availableFragments:A.availableRoutes.bind(A),toggleHelp:ne,toggleOverview:R.toggle.bind(R),togglePause:pe,toggleAutoSlide:ye,isFirstSlide:he,isLastSlide:fe,isLastVerticalSlide:de,isVerticalSlide:ue,isPaused:me,isAutoSliding:be,isSpeakerNotes:D.isSpeakerNotesWindow.bind(D),isOverview:R.isActive.bind(R),isFocused:O.isFocused.bind(O),isPrintingPDF:I.isPrintingPDF.bind(I),isReady:function(){return c},loadSlide:w.load.bind(w),unloadSlide:w.unload.bind(w),addEventListeners:K,removeEventListeners:$,dispatchEvent:J,getState:ze,setState:qe,getProgress:Pe,getIndices:Ne,getSlidesAttributes:He,getSlidePastCount:Ce,getTotalSlides:Ue,getSlide:Be,getPreviousSlide:function(){return r},getCurrentSlide:function(){return a},getSlideBackground:Fe,getSlideNotes:D.getSlideNotes.bind(D),getSlides:Me,getHorizontalSlides:Ie,getVerticalSlides:Oe,hasHorizontalSlides:De,hasVerticalSlides:je,hasNavigatedHorizontally:function(){return u.hasNavigatedHorizontally},hasNavigatedVertically:function(){return u.hasNavigatedVertically},addKeyBinding:x.addKeyBinding.bind(x),removeKeyBinding:x.removeKeyBinding.bind(x),triggerKey:x.triggerKey.bind(x),registerKeyboardShortcut:x.registerKeyboardShortcut.bind(x),getComputedSlideSize:se,getScale:function(){return h},getConfig:function(){return l},getQueryHash:co,getRevealElement:function(){return e},getSlidesElement:function(){return v.slides},getViewportElement:function(){return v.viewport},getBackgroundsElement:function(){return k.element},registerPlugin:M.registerPlugin.bind(M),hasPlugin:M.hasPlugin.bind(M),getPlugin:M.getPlugin.bind(M),getPlugins:M.getRegisteredPlugins.bind(M)};return eo(s,_a(_a({},at),{},{announceStatus:z,getStatusText:q,print:I,focus:O,progress:P,controls:C,location:L,overview:R,fragments:A,slideContent:w,slideNumber:S,onUserInput:Ze,closeOverlay:re,updateSlidesVisibility:xe,layoutSlideContents:oe,transformSlides:G,cueAutoSlide:_e,cancelAutoSlide:Ve})),at}var ls=ss,cs=[];return ls.initialize=function(e){return Object.assign(ls,new ss(document.querySelector(\".reveal\"),e)),cs.map((function(e){return e(ls)})),ls.initialize()},[\"configure\",\"on\",\"off\",\"addEventListener\",\"removeEventListener\",\"registerPlugin\"].forEach((function(e){ls[e]=function(){for(var t=arguments.length,n=new Array(t),i=0;i<t;i++)n[i]=arguments[i];cs.push((function(t){var i;return(i=t[e]).call.apply(i,[null].concat(n))}))}})),ls.isReady=function(){return!1},ls.VERSION=\"4.0.2\",ls}));\n//# sourceMappingURL=reveal.js.map\n",
"title": "$:/plugins/sukima/reveal-js/reveal.js",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/sukima/reveal-js/reveal-highlight.js": {
"text": "!function(e,t){\"object\"==typeof exports&&\"undefined\"!=typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(t):(e=e||self).RevealHighlight=t()}(this,(function(){\"use strict\";var e=\"undefined\"!=typeof globalThis?globalThis:\"undefined\"!=typeof window?window:\"undefined\"!=typeof global?global:\"undefined\"!=typeof self?self:{};function t(e,t,a){return e(a={path:t,exports:{},require:function(e,t){return function(){throw new Error(\"Dynamic requires are not currently supported by @rollup/plugin-commonjs\")}(null==t&&a.path)}},a.exports),a.exports}var a=function(e){return e&&e.Math==Math&&e},n=a(\"object\"==typeof globalThis&&globalThis)||a(\"object\"==typeof window&&window)||a(\"object\"==typeof self&&self)||a(\"object\"==typeof e&&e)||Function(\"return this\")(),r=function(e){try{return!!e()}catch(e){return!0}},i=!r((function(){return 7!=Object.defineProperty({},1,{get:function(){return 7}})[1]})),o={}.propertyIsEnumerable,s=Object.getOwnPropertyDescriptor,l={f:s&&!o.call({1:2},1)?function(e){var t=s(this,e);return!!t&&t.enumerable}:o},_=function(e,t){return{enumerable:!(1&e),configurable:!(2&e),writable:!(4&e),value:t}},c={}.toString,d=function(e){return c.call(e).slice(8,-1)},u=\"\".split,m=r((function(){return!Object(\"z\").propertyIsEnumerable(0)}))?function(e){return\"String\"==d(e)?u.call(e,\"\"):Object(e)}:Object,p=function(e){if(null==e)throw TypeError(\"Can't call method on \"+e);return e},E=function(e){return m(p(e))},g=function(e){return\"object\"==typeof e?null!==e:\"function\"==typeof e},S=function(e,t){if(!g(e))return e;var a,n;if(t&&\"function\"==typeof(a=e.toString)&&!g(n=a.call(e)))return n;if(\"function\"==typeof(a=e.valueOf)&&!g(n=a.call(e)))return n;if(!t&&\"function\"==typeof(a=e.toString)&&!g(n=a.call(e)))return n;throw TypeError(\"Can't convert object to primitive value\")},T={}.hasOwnProperty,b=function(e,t){return T.call(e,t)},f=n.document,C=g(f)&&g(f.createElement),R=function(e){return C?f.createElement(e):{}},N=!i&&!r((function(){return 7!=Object.defineProperty(R(\"div\"),\"a\",{get:function(){return 7}}).a})),O=Object.getOwnPropertyDescriptor,v={f:i?O:function(e,t){if(e=E(e),t=S(t,!0),N)try{return O(e,t)}catch(e){}if(b(e,t))return _(!l.f.call(e,t),e[t])}},I=function(e){if(!g(e))throw TypeError(String(e)+\" is not an object\");return e},h=Object.defineProperty,A={f:i?h:function(e,t,a){if(I(e),t=S(t,!0),I(a),N)try{return h(e,t,a)}catch(e){}if(\"get\"in a||\"set\"in a)throw TypeError(\"Accessors not supported\");return\"value\"in a&&(e[t]=a.value),e}},y=i?function(e,t,a){return A.f(e,t,_(1,a))}:function(e,t,a){return e[t]=a,e},D=function(e,t){try{y(n,e,t)}catch(a){n[e]=t}return t},M=n[\"__core-js_shared__\"]||D(\"__core-js_shared__\",{}),L=Function.toString;\"function\"!=typeof M.inspectSource&&(M.inspectSource=function(e){return L.call(e)});var x,w,P,k=M.inspectSource,U=n.WeakMap,F=\"function\"==typeof U&&/native code/.test(k(U)),B=t((function(e){(e.exports=function(e,t){return M[e]||(M[e]=void 0!==t?t:{})})(\"versions\",[]).push({version:\"3.6.5\",mode:\"global\",copyright:\"© 2020 Denis Pushkarev (zloirock.ru)\"})})),G=0,Y=Math.random(),H=function(e){return\"Symbol(\"+String(void 0===e?\"\":e)+\")_\"+(++G+Y).toString(36)},V=B(\"keys\"),q=function(e){return V[e]||(V[e]=H(e))},z={},W=n.WeakMap;if(F){var Q=new W,$=Q.get,K=Q.has,j=Q.set;x=function(e,t){return j.call(Q,e,t),t},w=function(e){return $.call(Q,e)||{}},P=function(e){return K.call(Q,e)}}else{var X=q(\"state\");z[X]=!0,x=function(e,t){return y(e,X,t),t},w=function(e){return b(e,X)?e[X]:{}},P=function(e){return b(e,X)}}var Z={set:x,get:w,has:P,enforce:function(e){return P(e)?w(e):x(e,{})},getterFor:function(e){return function(t){var a;if(!g(t)||(a=w(t)).type!==e)throw TypeError(\"Incompatible receiver, \"+e+\" required\");return a}}},J=t((function(e){var t=Z.get,a=Z.enforce,r=String(String).split(\"String\");(e.exports=function(e,t,i,o){var s=!!o&&!!o.unsafe,l=!!o&&!!o.enumerable,_=!!o&&!!o.noTargetGet;\"function\"==typeof i&&(\"string\"!=typeof t||b(i,\"name\")||y(i,\"name\",t),a(i).source=r.join(\"string\"==typeof t?t:\"\")),e!==n?(s?!_&&e[t]&&(l=!0):delete e[t],l?e[t]=i:y(e,t,i)):l?e[t]=i:D(t,i)})(Function.prototype,\"toString\",(function(){return\"function\"==typeof this&&t(this).source||k(this)}))})),ee=n,te=function(e){return\"function\"==typeof e?e:void 0},ae=function(e,t){return arguments.length<2?te(ee[e])||te(n[e]):ee[e]&&ee[e][t]||n[e]&&n[e][t]},ne=Math.ceil,re=Math.floor,ie=function(e){return isNaN(e=+e)?0:(e>0?re:ne)(e)},oe=Math.min,se=function(e){return e>0?oe(ie(e),9007199254740991):0},le=Math.max,_e=Math.min,ce=function(e,t){var a=ie(e);return a<0?le(a+t,0):_e(a,t)},de=function(e){return function(t,a,n){var r,i=E(t),o=se(i.length),s=ce(n,o);if(e&&a!=a){for(;o>s;)if((r=i[s++])!=r)return!0}else for(;o>s;s++)if((e||s in i)&&i[s]===a)return e||s||0;return!e&&-1}},ue={includes:de(!0),indexOf:de(!1)},me=ue.indexOf,pe=function(e,t){var a,n=E(e),r=0,i=[];for(a in n)!b(z,a)&&b(n,a)&&i.push(a);for(;t.length>r;)b(n,a=t[r++])&&(~me(i,a)||i.push(a));return i},Ee=[\"constructor\",\"hasOwnProperty\",\"isPrototypeOf\",\"propertyIsEnumerable\",\"toLocaleString\",\"toString\",\"valueOf\"],ge=Ee.concat(\"length\",\"prototype\"),Se={f:Object.getOwnPropertyNames||function(e){return pe(e,ge)}},Te={f:Object.getOwnPropertySymbols},be=ae(\"Reflect\",\"ownKeys\")||function(e){var t=Se.f(I(e)),a=Te.f;return a?t.concat(a(e)):t},fe=function(e,t){for(var a=be(t),n=A.f,r=v.f,i=0;i<a.length;i++){var o=a[i];b(e,o)||n(e,o,r(t,o))}},Ce=/#|\\.prototype\\./,Re=function(e,t){var a=Oe[Ne(e)];return a==Ie||a!=ve&&(\"function\"==typeof t?r(t):!!t)},Ne=Re.normalize=function(e){return String(e).replace(Ce,\".\").toLowerCase()},Oe=Re.data={},ve=Re.NATIVE=\"N\",Ie=Re.POLYFILL=\"P\",he=Re,Ae=v.f,ye=function(e,t){var a,r,i,o,s,l=e.target,_=e.global,c=e.stat;if(a=_?n:c?n[l]||D(l,{}):(n[l]||{}).prototype)for(r in t){if(o=t[r],i=e.noTargetGet?(s=Ae(a,r))&&s.value:a[r],!he(_?r:l+(c?\".\":\"#\")+r,e.forced)&&void 0!==i){if(typeof o==typeof i)continue;fe(o,i)}(e.sham||i&&i.sham)&&y(o,\"sham\",!0),J(a,r,o,e)}},De=function(e){if(\"function\"!=typeof e)throw TypeError(String(e)+\" is not a function\");return e},Me=function(e){return Object(p(e))},Le=Array.isArray||function(e){return\"Array\"==d(e)},xe=!!Object.getOwnPropertySymbols&&!r((function(){return!String(Symbol())})),we=xe&&!Symbol.sham&&\"symbol\"==typeof Symbol.iterator,Pe=B(\"wks\"),ke=n.Symbol,Ue=we?ke:ke&&ke.withoutSetter||H,Fe=function(e){return b(Pe,e)||(xe&&b(ke,e)?Pe[e]=ke[e]:Pe[e]=Ue(\"Symbol.\"+e)),Pe[e]},Be=Fe(\"species\"),Ge=function(e,t){var a;return Le(e)&&(\"function\"!=typeof(a=e.constructor)||a!==Array&&!Le(a.prototype)?g(a)&&null===(a=a[Be])&&(a=void 0):a=void 0),new(void 0===a?Array:a)(0===t?0:t)},Ye=[].push,He=function(e){var t=1==e,a=2==e,n=3==e,r=4==e,i=6==e,o=5==e||i;return function(s,l,_,c){for(var d,u,p=Me(s),E=m(p),g=function(e,t,a){if(De(e),void 0===t)return e;switch(a){case 0:return function(){return e.call(t)};case 1:return function(a){return e.call(t,a)};case 2:return function(a,n){return e.call(t,a,n)};case 3:return function(a,n,r){return e.call(t,a,n,r)}}return function(){return e.apply(t,arguments)}}(l,_,3),S=se(E.length),T=0,b=c||Ge,f=t?b(s,S):a?b(s,0):void 0;S>T;T++)if((o||T in E)&&(u=g(d=E[T],T,p),e))if(t)f[T]=u;else if(u)switch(e){case 3:return!0;case 5:return d;case 6:return T;case 2:Ye.call(f,d)}else if(r)return!1;return i?-1:n||r?r:f}},Ve={forEach:He(0),map:He(1),filter:He(2),some:He(3),every:He(4),find:He(5),findIndex:He(6)},qe=function(e,t){var a=[][e];return!!a&&r((function(){a.call(null,t||function(){throw 1},1)}))},ze=Object.defineProperty,We={},Qe=function(e){throw e},$e=function(e,t){if(b(We,e))return We[e];t||(t={});var a=[][e],n=!!b(t,\"ACCESSORS\")&&t.ACCESSORS,o=b(t,0)?t[0]:Qe,s=b(t,1)?t[1]:void 0;return We[e]=!!a&&!r((function(){if(n&&!i)return!0;var e={length:-1};n?ze(e,1,{enumerable:!0,get:Qe}):e[1]=1,a.call(e,o,s)}))},Ke=Ve.forEach,je=qe(\"forEach\"),Xe=$e(\"forEach\"),Ze=je&&Xe?[].forEach:function(e){return Ke(this,e,arguments.length>1?arguments[1]:void 0)};ye({target:\"Array\",proto:!0,forced:[].forEach!=Ze},{forEach:Ze});var Je=[].join,et=m!=Object,tt=qe(\"join\",\",\");ye({target:\"Array\",proto:!0,forced:et||!tt},{join:function(e){return Je.call(E(this),void 0===e?\",\":e)}});var at,nt,rt=ae(\"navigator\",\"userAgent\")||\"\",it=n.process,ot=it&&it.versions,st=ot&&ot.v8;st?nt=(at=st.split(\".\"))[0]+at[1]:rt&&(!(at=rt.match(/Edge\\/(\\d+)/))||at[1]>=74)&&(at=rt.match(/Chrome\\/(\\d+)/))&&(nt=at[1]);var lt=nt&&+nt,_t=Fe(\"species\"),ct=function(e){return lt>=51||!r((function(){var t=[];return(t.constructor={})[_t]=function(){return{foo:1}},1!==t[e](Boolean).foo}))},dt=Ve.map,ut=ct(\"map\"),mt=$e(\"map\");ye({target:\"Array\",proto:!0,forced:!ut||!mt},{map:function(e){return dt(this,e,arguments.length>1?arguments[1]:void 0)}});var pt=function(e){return function(t,a,n,r){De(a);var i=Me(t),o=m(i),s=se(i.length),l=e?s-1:0,_=e?-1:1;if(n<2)for(;;){if(l in o){r=o[l],l+=_;break}if(l+=_,e?l<0:s<=l)throw TypeError(\"Reduce of empty array with no initial value\")}for(;e?l>=0:s>l;l+=_)l in o&&(r=a(r,o[l],l,i));return r}},Et={left:pt(!1),right:pt(!0)}.left,gt=qe(\"reduce\"),St=$e(\"reduce\",{1:0});ye({target:\"Array\",proto:!0,forced:!gt||!St},{reduce:function(e){return Et(this,e,arguments.length,arguments.length>1?arguments[1]:void 0)}});var Tt=function(e,t,a){var n=S(t);n in e?A.f(e,n,_(0,a)):e[n]=a},bt=ct(\"slice\"),ft=$e(\"slice\",{ACCESSORS:!0,0:0,1:2}),Ct=Fe(\"species\"),Rt=[].slice,Nt=Math.max;ye({target:\"Array\",proto:!0,forced:!bt||!ft},{slice:function(e,t){var a,n,r,i=E(this),o=se(i.length),s=ce(e,o),l=ce(void 0===t?o:t,o);if(Le(i)&&(\"function\"!=typeof(a=i.constructor)||a!==Array&&!Le(a.prototype)?g(a)&&null===(a=a[Ct])&&(a=void 0):a=void 0,a===Array||void 0===a))return Rt.call(i,s,l);for(n=new(void 0===a?Array:a)(Nt(l-s,0)),r=0;s<l;s++,r++)s in i&&Tt(n,r,i[s]);return n.length=r,n}});var Ot=ct(\"splice\"),vt=$e(\"splice\",{ACCESSORS:!0,0:0,1:2}),It=Math.max,ht=Math.min;ye({target:\"Array\",proto:!0,forced:!Ot||!vt},{splice:function(e,t){var a,n,r,i,o,s,l=Me(this),_=se(l.length),c=ce(e,_),d=arguments.length;if(0===d?a=n=0:1===d?(a=0,n=_-c):(a=d-2,n=ht(It(ie(t),0),_-c)),_+a-n>9007199254740991)throw TypeError(\"Maximum allowed length exceeded\");for(r=Ge(l,n),i=0;i<n;i++)(o=c+i)in l&&Tt(r,i,l[o]);if(r.length=n,a<n){for(i=c;i<_-n;i++)s=i+a,(o=i+n)in l?l[s]=l[o]:delete l[s];for(i=_;i>_-n+a;i--)delete l[i-1]}else if(a>n)for(i=_-n;i>c;i--)s=i+a-1,(o=i+n-1)in l?l[s]=l[o]:delete l[s];for(i=0;i<a;i++)l[i+c]=arguments[i+2];return l.length=_-n+a,r}});var At,yt=Object.setPrototypeOf||(\"__proto__\"in{}?function(){var e,t=!1,a={};try{(e=Object.getOwnPropertyDescriptor(Object.prototype,\"__proto__\").set).call(a,[]),t=a instanceof Array}catch(e){}return function(a,n){return I(a),function(e){if(!g(e)&&null!==e)throw TypeError(\"Can't set \"+String(e)+\" as a prototype\")}(n),t?e.call(a,n):a.__proto__=n,a}}():void 0),Dt=function(e,t,a){var n,r;return yt&&\"function\"==typeof(n=t.constructor)&&n!==a&&g(r=n.prototype)&&r!==a.prototype&&yt(e,r),e},Mt=Object.keys||function(e){return pe(e,Ee)},Lt=i?Object.defineProperties:function(e,t){I(e);for(var a,n=Mt(t),r=n.length,i=0;r>i;)A.f(e,a=n[i++],t[a]);return e},xt=ae(\"document\",\"documentElement\"),wt=q(\"IE_PROTO\"),Pt=function(){},kt=function(e){return\"<script>\"+e+\"<\\/script>\"},Ut=function(){try{At=document.domain&&new ActiveXObject(\"htmlfile\")}catch(e){}var e,t;Ut=At?function(e){e.write(kt(\"\")),e.close();var t=e.parentWindow.Object;return e=null,t}(At):((t=R(\"iframe\")).style.display=\"none\",xt.appendChild(t),t.src=String(\"javascript:\"),(e=t.contentWindow.document).open(),e.write(kt(\"document.F=Object\")),e.close(),e.F);for(var a=Ee.length;a--;)delete Ut.prototype[Ee[a]];return Ut()};z[wt]=!0;var Ft=Object.create||function(e,t){var a;return null!==e?(Pt.prototype=I(e),a=new Pt,Pt.prototype=null,a[wt]=e):a=Ut(),void 0===t?a:Lt(a,t)},Bt=\"\\t\\n\\v\\f\\r \\u2028\\u2029\\ufeff\",Gt=\"[\"+Bt+\"]\",Yt=RegExp(\"^\"+Gt+Gt+\"*\"),Ht=RegExp(Gt+Gt+\"*$\"),Vt=function(e){return function(t){var a=String(p(t));return 1&e&&(a=a.replace(Yt,\"\")),2&e&&(a=a.replace(Ht,\"\")),a}},qt={start:Vt(1),end:Vt(2),trim:Vt(3)},zt=Se.f,Wt=v.f,Qt=A.f,$t=qt.trim,Kt=n.Number,jt=Kt.prototype,Xt=\"Number\"==d(Ft(jt)),Zt=function(e){var t,a,n,r,i,o,s,l,_=S(e,!1);if(\"string\"==typeof _&&_.length>2)if(43===(t=(_=$t(_)).charCodeAt(0))||45===t){if(88===(a=_.charCodeAt(2))||120===a)return NaN}else if(48===t){switch(_.charCodeAt(1)){case 66:case 98:n=2,r=49;break;case 79:case 111:n=8,r=55;break;default:return+_}for(o=(i=_.slice(2)).length,s=0;s<o;s++)if((l=i.charCodeAt(s))<48||l>r)return NaN;return parseInt(i,n)}return+_};if(he(\"Number\",!Kt(\" 0o1\")||!Kt(\"0b1\")||Kt(\"+0x1\"))){for(var Jt,ea=function(e){var t=arguments.length<1?0:e,a=this;return a instanceof ea&&(Xt?r((function(){jt.valueOf.call(a)})):\"Number\"!=d(a))?Dt(new Kt(Zt(t)),a,ea):Zt(t)},ta=i?zt(Kt):\"MAX_VALUE,MIN_VALUE,NaN,NEGATIVE_INFINITY,POSITIVE_INFINITY,EPSILON,isFinite,isInteger,isNaN,isSafeInteger,MAX_SAFE_INTEGER,MIN_SAFE_INTEGER,parseFloat,parseInt,isInteger\".split(\",\"),aa=0;ta.length>aa;aa++)b(Kt,Jt=ta[aa])&&!b(ea,Jt)&&Qt(ea,Jt,Wt(Kt,Jt));ea.prototype=jt,jt.constructor=ea,J(n,\"Number\",ea)}var na=function(){var e=I(this),t=\"\";return e.global&&(t+=\"g\"),e.ignoreCase&&(t+=\"i\"),e.multiline&&(t+=\"m\"),e.dotAll&&(t+=\"s\"),e.unicode&&(t+=\"u\"),e.sticky&&(t+=\"y\"),t};function ra(e,t){return RegExp(e,t)}var ia={UNSUPPORTED_Y:r((function(){var e=ra(\"a\",\"y\");return e.lastIndex=2,null!=e.exec(\"abcd\")})),BROKEN_CARET:r((function(){var e=ra(\"^r\",\"gy\");return e.lastIndex=2,null!=e.exec(\"str\")}))},oa=RegExp.prototype.exec,sa=String.prototype.replace,la=oa,_a=function(){var e=/a/,t=/b*/g;return oa.call(e,\"a\"),oa.call(t,\"a\"),0!==e.lastIndex||0!==t.lastIndex}(),ca=ia.UNSUPPORTED_Y||ia.BROKEN_CARET,da=void 0!==/()??/.exec(\"\")[1];(_a||da||ca)&&(la=function(e){var t,a,n,r,i=this,o=ca&&i.sticky,s=na.call(i),l=i.source,_=0,c=e;return o&&(-1===(s=s.replace(\"y\",\"\")).indexOf(\"g\")&&(s+=\"g\"),c=String(e).slice(i.lastIndex),i.lastIndex>0&&(!i.multiline||i.multiline&&\"\\n\"!==e[i.lastIndex-1])&&(l=\"(?: \"+l+\")\",c=\" \"+c,_++),a=new RegExp(\"^(?:\"+l+\")\",s)),da&&(a=new RegExp(\"^\"+l+\"$(?!\\\\s)\",s)),_a&&(t=i.lastIndex),n=oa.call(o?a:i,c),o?n?(n.input=n.input.slice(_),n[0]=n[0].slice(_),n.index=i.lastIndex,i.lastIndex+=n[0].length):i.lastIndex=0:_a&&n&&(i.lastIndex=i.global?n.index+n[0].length:t),da&&n&&n.length>1&&sa.call(n[0],a,(function(){for(r=1;r<arguments.length-2;r++)void 0===arguments[r]&&(n[r]=void 0)})),n});var ua=la;ye({target:\"RegExp\",proto:!0,forced:/./.exec!==ua},{exec:ua});var ma=Fe(\"species\"),pa=!r((function(){var e=/./;return e.exec=function(){var e=[];return e.groups={a:\"7\"},e},\"7\"!==\"\".replace(e,\"$<a>\")})),Ea=\"$0\"===\"a\".replace(/./,\"$0\"),ga=Fe(\"replace\"),Sa=!!/./[ga]&&\"\"===/./[ga](\"a\",\"$0\"),Ta=!r((function(){var e=/(?:)/,t=e.exec;e.exec=function(){return t.apply(this,arguments)};var a=\"ab\".split(e);return 2!==a.length||\"a\"!==a[0]||\"b\"!==a[1]})),ba=function(e,t,a,n){var i=Fe(e),o=!r((function(){var t={};return t[i]=function(){return 7},7!=\"\"[e](t)})),s=o&&!r((function(){var t=!1,a=/a/;return\"split\"===e&&((a={}).constructor={},a.constructor[ma]=function(){return a},a.flags=\"\",a[i]=/./[i]),a.exec=function(){return t=!0,null},a[i](\"\"),!t}));if(!o||!s||\"replace\"===e&&(!pa||!Ea||Sa)||\"split\"===e&&!Ta){var l=/./[i],_=a(i,\"\"[e],(function(e,t,a,n,r){return t.exec===ua?o&&!r?{done:!0,value:l.call(t,a,n)}:{done:!0,value:e.call(a,t,n)}:{done:!1}}),{REPLACE_KEEPS_$0:Ea,REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE:Sa}),c=_[0],d=_[1];J(String.prototype,e,c),J(RegExp.prototype,i,2==t?function(e,t){return d.call(e,this,t)}:function(e){return d.call(e,this)})}n&&y(RegExp.prototype[i],\"sham\",!0)},fa=function(e){return function(t,a){var n,r,i=String(p(t)),o=ie(a),s=i.length;return o<0||o>=s?e?\"\":void 0:(n=i.charCodeAt(o))<55296||n>56319||o+1===s||(r=i.charCodeAt(o+1))<56320||r>57343?e?i.charAt(o):n:e?i.slice(o,o+2):r-56320+(n-55296<<10)+65536}},Ca={codeAt:fa(!1),charAt:fa(!0)}.charAt,Ra=function(e,t,a){return t+(a?Ca(e,t).length:1)},Na=function(e,t){var a=e.exec;if(\"function\"==typeof a){var n=a.call(e,t);if(\"object\"!=typeof n)throw TypeError(\"RegExp exec method returned something other than an Object or null\");return n}if(\"RegExp\"!==d(e))throw TypeError(\"RegExp#exec called on incompatible receiver\");return ua.call(e,t)};ba(\"match\",1,(function(e,t,a){return[function(t){var a=p(this),n=null==t?void 0:t[e];return void 0!==n?n.call(t,a):new RegExp(t)[e](String(a))},function(e){var n=a(t,e,this);if(n.done)return n.value;var r=I(e),i=String(this);if(!r.global)return Na(r,i);var o=r.unicode;r.lastIndex=0;for(var s,l=[],_=0;null!==(s=Na(r,i));){var c=String(s[0]);l[_]=c,\"\"===c&&(r.lastIndex=Ra(i,se(r.lastIndex),o)),_++}return 0===_?null:l}]}));var Oa=Math.max,va=Math.min,Ia=Math.floor,ha=/\\$([$&'`]|\\d\\d?|<[^>]*>)/g,Aa=/\\$([$&'`]|\\d\\d?)/g;ba(\"replace\",2,(function(e,t,a,n){var r=n.REGEXP_REPLACE_SUBSTITUTES_UNDEFINED_CAPTURE,i=n.REPLACE_KEEPS_$0,o=r?\"$\":\"$0\";return[function(a,n){var r=p(this),i=null==a?void 0:a[e];return void 0!==i?i.call(a,r,n):t.call(String(r),a,n)},function(e,n){if(!r&&i||\"string\"==typeof n&&-1===n.indexOf(o)){var l=a(t,e,this,n);if(l.done)return l.value}var _=I(e),c=String(this),d=\"function\"==typeof n;d||(n=String(n));var u=_.global;if(u){var m=_.unicode;_.lastIndex=0}for(var p=[];;){var E=Na(_,c);if(null===E)break;if(p.push(E),!u)break;\"\"===String(E[0])&&(_.lastIndex=Ra(c,se(_.lastIndex),m))}for(var g,S=\"\",T=0,b=0;b<p.length;b++){E=p[b];for(var f=String(E[0]),C=Oa(va(ie(E.index),c.length),0),R=[],N=1;N<E.length;N++)R.push(void 0===(g=E[N])?g:String(g));var O=E.groups;if(d){var v=[f].concat(R,C,c);void 0!==O&&v.push(O);var h=String(n.apply(void 0,v))}else h=s(f,c,C,R,O,n);C>=T&&(S+=c.slice(T,C)+h,T=C+f.length)}return S+c.slice(T)}];function s(e,a,n,r,i,o){var s=n+e.length,l=r.length,_=Aa;return void 0!==i&&(i=Me(i),_=ha),t.call(o,_,(function(t,o){var _;switch(o.charAt(0)){case\"$\":return\"$\";case\"&\":return e;case\"`\":return a.slice(0,n);case\"'\":return a.slice(s);case\"<\":_=i[o.slice(1,-1)];break;default:var c=+o;if(0===c)return t;if(c>l){var d=Ia(c/10);return 0===d?t:d<=l?void 0===r[d-1]?o.charAt(1):r[d-1]+o.charAt(1):t}_=r[c-1]}return void 0===_?\"\":_}))}}));var ya=Fe(\"match\"),Da=function(e){var t;return g(e)&&(void 0!==(t=e[ya])?!!t:\"RegExp\"==d(e))},Ma=Fe(\"species\"),La=[].push,xa=Math.min,wa=!r((function(){return!RegExp(4294967295,\"y\")}));ba(\"split\",2,(function(e,t,a){var n;return n=\"c\"==\"abbc\".split(/(b)*/)[1]||4!=\"test\".split(/(?:)/,-1).length||2!=\"ab\".split(/(?:ab)*/).length||4!=\".\".split(/(.?)(.?)/).length||\".\".split(/()()/).length>1||\"\".split(/.?/).length?function(e,a){var n=String(p(this)),r=void 0===a?4294967295:a>>>0;if(0===r)return[];if(void 0===e)return[n];if(!Da(e))return t.call(n,e,r);for(var i,o,s,l=[],_=(e.ignoreCase?\"i\":\"\")+(e.multiline?\"m\":\"\")+(e.unicode?\"u\":\"\")+(e.sticky?\"y\":\"\"),c=0,d=new RegExp(e.source,_+\"g\");(i=ua.call(d,n))&&!((o=d.lastIndex)>c&&(l.push(n.slice(c,i.index)),i.length>1&&i.index<n.length&&La.apply(l,i.slice(1)),s=i[0].length,c=o,l.length>=r));)d.lastIndex===i.index&&d.lastIndex++;return c===n.length?!s&&d.test(\"\")||l.push(\"\"):l.push(n.slice(c)),l.length>r?l.slice(0,r):l}:\"0\".split(void 0,0).length?function(e,a){return void 0===e&&0===a?[]:t.call(this,e,a)}:t,[function(t,a){var r=p(this),i=null==t?void 0:t[e];return void 0!==i?i.call(t,r,a):n.call(String(r),t,a)},function(e,r){var i=a(n,e,this,r,n!==t);if(i.done)return i.value;var o=I(e),s=String(this),l=function(e,t){var a,n=I(e).constructor;return void 0===n||null==(a=I(n)[Ma])?t:De(a)}(o,RegExp),_=o.unicode,c=(o.ignoreCase?\"i\":\"\")+(o.multiline?\"m\":\"\")+(o.unicode?\"u\":\"\")+(wa?\"y\":\"g\"),d=new l(wa?o:\"^(?:\"+o.source+\")\",c),u=void 0===r?4294967295:r>>>0;if(0===u)return[];if(0===s.length)return null===Na(d,s)?[s]:[];for(var m=0,p=0,E=[];p<s.length;){d.lastIndex=wa?p:0;var g,S=Na(d,wa?s:s.slice(p));if(null===S||(g=xa(se(d.lastIndex+(wa?0:p)),s.length))===m)p=Ra(s,p,_);else{if(E.push(s.slice(m,p)),E.length===u)return E;for(var T=1;T<=S.length-1;T++)if(E.push(S[T]),E.length===u)return E;p=m=g}}return E.push(s.slice(m)),E}]}),!wa);var Pa,ka=qt.trim;ye({target:\"String\",proto:!0,forced:(Pa=\"trim\",r((function(){return!!Bt[Pa]()||\"
\"!=\"
\"[Pa]()||Bt[Pa].name!==Pa})))},{trim:function(){return ka(this)}});for(var Ua in{CSSRuleList:0,CSSStyleDeclaration:0,CSSValueList:0,ClientRectList:0,DOMRectList:0,DOMStringList:0,DOMTokenList:1,DataTransferItemList:0,FileList:0,HTMLAllCollection:0,HTMLCollection:0,HTMLFormElement:0,HTMLSelectElement:0,MediaList:0,MimeTypeArray:0,NamedNodeMap:0,NodeList:1,PaintRequestList:0,Plugin:0,PluginArray:0,SVGLengthList:0,SVGNumberList:0,SVGPathSegList:0,SVGPointList:0,SVGStringList:0,SVGTransformList:0,SourceBufferList:0,StyleSheetList:0,TextTrackCueList:0,TextTrackList:0,TouchList:0}){var Fa=n[Ua],Ba=Fa&&Fa.prototype;if(Ba&&Ba.forEach!==Ze)try{y(Ba,\"forEach\",Ze)}catch(e){Ba.forEach=Ze}}function Ga(e){return(Ga=\"function\"==typeof Symbol&&\"symbol\"==typeof Symbol.iterator?function(e){return typeof e}:function(e){return e&&\"function\"==typeof Symbol&&e.constructor===Symbol&&e!==Symbol.prototype?\"symbol\":typeof e})(e)}function Ya(e,t){if(!(e instanceof t))throw new TypeError(\"Cannot call a class as a function\")}function Ha(e,t){for(var a=0;a<t.length;a++){var n=t[a];n.enumerable=n.enumerable||!1,n.configurable=!0,\"value\"in n&&(n.writable=!0),Object.defineProperty(e,n.key,n)}}function Va(e,t,a){return t&&Ha(e.prototype,t),a&&Ha(e,a),e}function qa(e){return(qa=Object.setPrototypeOf?Object.getPrototypeOf:function(e){return e.__proto__||Object.getPrototypeOf(e)})(e)}function za(e,t){return(za=Object.setPrototypeOf||function(e,t){return e.__proto__=t,e})(e,t)}function Wa(e,t){return!t||\"object\"!=typeof t&&\"function\"!=typeof t?function(e){if(void 0===e)throw new ReferenceError(\"this hasn't been initialised - super() hasn't been called\");return e}(e):t}function Qa(e){var t=function(){if(\"undefined\"==typeof Reflect||!Reflect.construct)return!1;if(Reflect.construct.sham)return!1;if(\"function\"==typeof Proxy)return!0;try{return Date.prototype.toString.call(Reflect.construct(Date,[],(function(){}))),!0}catch(e){return!1}}();return function(){var a,n=qa(e);if(t){var r=qa(this).constructor;a=Reflect.construct(n,arguments,r)}else a=n.apply(this,arguments);return Wa(this,a)}}function $a(e,t){return function(e){if(Array.isArray(e))return e}(e)||function(e,t){if(\"undefined\"==typeof Symbol||!(Symbol.iterator in Object(e)))return;var a=[],n=!0,r=!1,i=void 0;try{for(var o,s=e[Symbol.iterator]();!(n=(o=s.next()).done)&&(a.push(o.value),!t||a.length!==t);n=!0);}catch(e){r=!0,i=e}finally{try{n||null==s.return||s.return()}finally{if(r)throw i}}return a}(e,t)||ja(e,t)||function(){throw new TypeError(\"Invalid attempt to destructure non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\")}()}function Ka(e){return function(e){if(Array.isArray(e))return Xa(e)}(e)||function(e){if(\"undefined\"!=typeof Symbol&&Symbol.iterator in Object(e))return Array.from(e)}(e)||ja(e)||function(){throw new TypeError(\"Invalid attempt to spread non-iterable instance.\\nIn order to be iterable, non-array objects must have a [Symbol.iterator]() method.\")}()}function ja(e,t){if(e){if(\"string\"==typeof e)return Xa(e,t);var a=Object.prototype.toString.call(e).slice(8,-1);return\"Object\"===a&&e.constructor&&(a=e.constructor.name),\"Map\"===a||\"Set\"===a?Array.from(e):\"Arguments\"===a||/^(?:Ui|I)nt(?:8|16|32)(?:Clamped)?Array$/.test(a)?Xa(e,t):void 0}}function Xa(e,t){(null==t||t>e.length)&&(t=e.length);for(var a=0,n=new Array(t);a<t;a++)n[a]=e[a];return n}var Za=Fe(\"isConcatSpreadable\"),Ja=lt>=51||!r((function(){var e=[];return e[Za]=!1,e.concat()[0]!==e})),en=ct(\"concat\"),tn=function(e){if(!g(e))return!1;var t=e[Za];return void 0!==t?!!t:Le(e)};ye({target:\"Array\",proto:!0,forced:!Ja||!en},{concat:function(e){var t,a,n,r,i,o=Me(this),s=Ge(o,0),l=0;for(t=-1,n=arguments.length;t<n;t++)if(i=-1===t?o:arguments[t],tn(i)){if(l+(r=se(i.length))>9007199254740991)throw TypeError(\"Maximum allowed index exceeded\");for(a=0;a<r;a++,l++)a in i&&Tt(s,l,i[a])}else{if(l>=9007199254740991)throw TypeError(\"Maximum allowed index exceeded\");Tt(s,l++,i)}return s.length=l,s}});var an=Ve.every,nn=qe(\"every\"),rn=$e(\"every\");ye({target:\"Array\",proto:!0,forced:!nn||!rn},{every:function(e){return an(this,e,arguments.length>1?arguments[1]:void 0)}});var on=Ve.filter,sn=ct(\"filter\"),ln=$e(\"filter\");ye({target:\"Array\",proto:!0,forced:!sn||!ln},{filter:function(e){return on(this,e,arguments.length>1?arguments[1]:void 0)}});var _n=Fe(\"unscopables\"),cn=Array.prototype;null==cn[_n]&&A.f(cn,_n,{configurable:!0,value:Ft(null)});var dn=function(e){cn[_n][e]=!0},un=Ve.find,mn=!0,pn=$e(\"find\");\"find\"in[]&&Array(1).find((function(){mn=!1})),ye({target:\"Array\",proto:!0,forced:mn||!pn},{find:function(e){return un(this,e,arguments.length>1?arguments[1]:void 0)}}),dn(\"find\");var En=Ve.findIndex,gn=!0,Sn=$e(\"findIndex\");\"findIndex\"in[]&&Array(1).findIndex((function(){gn=!1})),ye({target:\"Array\",proto:!0,forced:gn||!Sn},{findIndex:function(e){return En(this,e,arguments.length>1?arguments[1]:void 0)}}),dn(\"findIndex\");var Tn=ue.includes;ye({target:\"Array\",proto:!0,forced:!$e(\"indexOf\",{ACCESSORS:!0,1:0})},{includes:function(e){return Tn(this,e,arguments.length>1?arguments[1]:void 0)}}),dn(\"includes\");var bn=A.f,fn=Function.prototype,Cn=fn.toString,Rn=/^\\s*function ([^ (]*)/;i&&!(\"name\"in fn)&&bn(fn,\"name\",{configurable:!0,get:function(){try{return Cn.call(this).match(Rn)[1]}catch(e){return\"\"}}});var Nn=Object.assign,On=Object.defineProperty,vn=!Nn||r((function(){if(i&&1!==Nn({b:1},Nn(On({},\"a\",{enumerable:!0,get:function(){On(this,\"b\",{value:3,enumerable:!1})}}),{b:2})).b)return!0;var e={},t={},a=Symbol();return e[a]=7,\"abcdefghijklmnopqrst\".split(\"\").forEach((function(e){t[e]=e})),7!=Nn({},e)[a]||\"abcdefghijklmnopqrst\"!=Mt(Nn({},t)).join(\"\")}))?function(e,t){for(var a=Me(e),n=arguments.length,r=1,o=Te.f,s=l.f;n>r;)for(var _,c=m(arguments[r++]),d=o?Mt(c).concat(o(c)):Mt(c),u=d.length,p=0;u>p;)_=d[p++],i&&!s.call(c,_)||(a[_]=c[_]);return a}:Nn;ye({target:\"Object\",stat:!0,forced:Object.assign!==vn},{assign:vn});var In=!r((function(){return Object.isExtensible(Object.preventExtensions({}))})),hn=t((function(e){var t=A.f,a=H(\"meta\"),n=0,r=Object.isExtensible||function(){return!0},i=function(e){t(e,a,{value:{objectID:\"O\"+ ++n,weakData:{}}})},o=e.exports={REQUIRED:!1,fastKey:function(e,t){if(!g(e))return\"symbol\"==typeof e?e:(\"string\"==typeof e?\"S\":\"P\")+e;if(!b(e,a)){if(!r(e))return\"F\";if(!t)return\"E\";i(e)}return e[a].objectID},getWeakData:function(e,t){if(!b(e,a)){if(!r(e))return!0;if(!t)return!1;i(e)}return e[a].weakData},onFreeze:function(e){return In&&o.REQUIRED&&r(e)&&!b(e,a)&&i(e),e}};z[a]=!0})),An=(hn.REQUIRED,hn.fastKey,hn.getWeakData,hn.onFreeze,hn.onFreeze),yn=Object.freeze;ye({target:\"Object\",stat:!0,forced:r((function(){yn(1)})),sham:!In},{freeze:function(e){return yn&&g(e)?yn(An(e)):e}});var Dn=Se.f,Mn={}.toString,Ln=\"object\"==typeof window&&window&&Object.getOwnPropertyNames?Object.getOwnPropertyNames(window):[],xn=function(e){return Ln&&\"[object Window]\"==Mn.call(e)?function(e){try{return Dn(e)}catch(e){return Ln.slice()}}(e):Dn(E(e))};ye({target:\"Object\",stat:!0,forced:r((function(){return!Object.getOwnPropertyNames(1)}))},{getOwnPropertyNames:xn});var wn=Object.isFrozen;ye({target:\"Object\",stat:!0,forced:r((function(){wn(1)}))},{isFrozen:function(e){return!g(e)||!!wn&&wn(e)}}),ye({target:\"Object\",stat:!0,forced:r((function(){Mt(1)}))},{keys:function(e){return Mt(Me(e))}});var Pn={};Pn[Fe(\"toStringTag\")]=\"z\";var kn=\"[object z]\"===String(Pn),Un=Fe(\"toStringTag\"),Fn=\"Arguments\"==d(function(){return arguments}()),Bn=kn?d:function(e){var t,a,n;return void 0===e?\"Undefined\":null===e?\"Null\":\"string\"==typeof(a=function(e,t){try{return e[t]}catch(e){}}(t=Object(e),Un))?a:Fn?d(t):\"Object\"==(n=d(t))&&\"function\"==typeof t.callee?\"Arguments\":n},Gn=kn?{}.toString:function(){return\"[object \"+Bn(this)+\"]\"};kn||J(Object.prototype,\"toString\",Gn,{unsafe:!0});var Yn=Fe(\"species\"),Hn=A.f,Vn=Se.f,qn=Z.set,zn=Fe(\"match\"),Wn=n.RegExp,Qn=Wn.prototype,$n=/a/g,Kn=/a/g,jn=new Wn($n)!==$n,Xn=ia.UNSUPPORTED_Y;if(i&&he(\"RegExp\",!jn||Xn||r((function(){return Kn[zn]=!1,Wn($n)!=$n||Wn(Kn)==Kn||\"/a/i\"!=Wn($n,\"i\")})))){for(var Zn=function(e,t){var a,n=this instanceof Zn,r=Da(e),i=void 0===t;if(!n&&r&&e.constructor===Zn&&i)return e;jn?r&&!i&&(e=e.source):e instanceof Zn&&(i&&(t=na.call(e)),e=e.source),Xn&&(a=!!t&&t.indexOf(\"y\")>-1)&&(t=t.replace(/y/g,\"\"));var o=Dt(jn?new Wn(e,t):Wn(e,t),n?this:Qn,Zn);return Xn&&a&&qn(o,{sticky:a}),o},Jn=function(e){e in Zn||Hn(Zn,e,{configurable:!0,get:function(){return Wn[e]},set:function(t){Wn[e]=t}})},er=Vn(Wn),tr=0;er.length>tr;)Jn(er[tr++]);Qn.constructor=Zn,Zn.prototype=Qn,J(n,\"RegExp\",Zn)}!function(e){var t=ae(e),a=A.f;i&&t&&!t[Yn]&&a(t,Yn,{configurable:!0,get:function(){return this}})}(\"RegExp\");var ar=RegExp.prototype,nr=ar.toString,rr=r((function(){return\"/a/b\"!=nr.call({source:\"a\",flags:\"b\"})})),ir=\"toString\"!=nr.name;(rr||ir)&&J(RegExp.prototype,\"toString\",(function(){var e=I(this),t=String(e.source),a=e.flags;return\"/\"+t+\"/\"+String(void 0===a&&e instanceof RegExp&&!(\"flags\"in ar)?na.call(e):a)}),{unsafe:!0});var or=function(e){if(Da(e))throw TypeError(\"The method doesn't accept regular expressions\");return e},sr=Fe(\"match\");function lr(e){Object.freeze(e);var t=\"function\"==typeof e;return Object.getOwnPropertyNames(e).forEach((function(a){!e.hasOwnProperty(a)||null===e[a]||\"object\"!==Ga(e[a])&&\"function\"!=typeof e[a]||t&&(\"caller\"===a||\"callee\"===a||\"arguments\"===a)||Object.isFrozen(e[a])||lr(e[a])})),e}function _r(e){return e.replace(/&/g,\"&\").replace(/</g,\"<\").replace(/>/g,\">\")}function cr(e){var t,a={},n=Array.prototype.slice.call(arguments,1);for(t in e)a[t]=e[t];return n.forEach((function(e){for(t in e)a[t]=e[t]})),a}function dr(e){return e.nodeName.toLowerCase()}ye({target:\"String\",proto:!0,forced:!function(e){var t=/./;try{\"/./\"[e](t)}catch(a){try{return t[sr]=!1,\"/./\"[e](t)}catch(e){}}return!1}(\"includes\")},{includes:function(e){return!!~String(p(this)).indexOf(or(e),arguments.length>1?arguments[1]:void 0)}});var ur=Object.freeze({__proto__:null,escapeHTML:_r,inherit:cr,nodeStream:function(e){var t=[];return function e(a,n){for(var r=a.firstChild;r;r=r.nextSibling)3===r.nodeType?n+=r.nodeValue.length:1===r.nodeType&&(t.push({event:\"start\",offset:n,node:r}),n=e(r,n),dr(r).match(/br|hr|img|input/)||t.push({event:\"stop\",offset:n,node:r}));return n}(e,0),t},mergeStreams:function(e,t,a){var n=0,r=\"\",i=[];function o(){return e.length&&t.length?e[0].offset!==t[0].offset?e[0].offset<t[0].offset?e:t:\"start\"===t[0].event?e:t:e.length?e:t}function s(e){r+=\"<\"+dr(e)+[].map.call(e.attributes,(function(e){return\" \"+e.nodeName+'=\"'+_r(e.value).replace(/\"/g,\""\")+'\"'})).join(\"\")+\">\"}function l(e){r+=\"</\"+dr(e)+\">\"}function _(e){(\"start\"===e.event?s:l)(e.node)}for(;e.length||t.length;){var c=o();if(r+=_r(a.substring(n,c[0].offset)),n=c[0].offset,c===e){i.reverse().forEach(l);do{_(c.splice(0,1)[0]),c=o()}while(c===e&&c.length&&c[0].offset===n);i.reverse().forEach(s)}else\"start\"===c[0].event?i.push(c[0].node):i.pop(),_(c.splice(0,1)[0])}return r+_r(a.substr(n))}}),mr=function(e){return!!e.kind},pr=function(){function e(t,a){Ya(this,e),this.buffer=\"\",this.classPrefix=a.classPrefix,t.walk(this)}return Va(e,[{key:\"addText\",value:function(e){this.buffer+=_r(e)}},{key:\"openNode\",value:function(e){if(mr(e)){var t=e.kind;e.sublanguage||(t=\"\".concat(this.classPrefix).concat(t)),this.span(t)}}},{key:\"closeNode\",value:function(e){mr(e)&&(this.buffer+=\"</span>\")}},{key:\"span\",value:function(e){this.buffer+='<span class=\"'.concat(e,'\">')}},{key:\"value\",value:function(){return this.buffer}}]),e}(),Er=function(e){!function(e,t){if(\"function\"!=typeof t&&null!==t)throw new TypeError(\"Super expression must either be null or a function\");e.prototype=Object.create(t&&t.prototype,{constructor:{value:e,writable:!0,configurable:!0}}),t&&za(e,t)}(a,e);var t=Qa(a);function a(e){var n;return Ya(this,a),(n=t.call(this)).options=e,n}return Va(a,[{key:\"addKeyword\",value:function(e,t){\"\"!==e&&(this.openNode(t),this.addText(e),this.closeNode())}},{key:\"addText\",value:function(e){\"\"!==e&&this.add(e)}},{key:\"addSublanguage\",value:function(e,t){var a=e.root;a.kind=t,a.sublanguage=!0,this.add(a)}},{key:\"toHTML\",value:function(){return new pr(this,this.options).value()}},{key:\"finalize\",value:function(){}}]),a}(function(){function e(){Ya(this,e),this.rootNode={children:[]},this.stack=[this.rootNode]}return Va(e,[{key:\"add\",value:function(e){this.top.children.push(e)}},{key:\"openNode\",value:function(e){var t={kind:e,children:[]};this.add(t),this.stack.push(t)}},{key:\"closeNode\",value:function(){if(this.stack.length>1)return this.stack.pop()}},{key:\"closeAllNodes\",value:function(){for(;this.closeNode(););}},{key:\"toJSON\",value:function(){return JSON.stringify(this.rootNode,null,4)}},{key:\"walk\",value:function(e){return this.constructor._walk(e,this.rootNode)}},{key:\"top\",get:function(){return this.stack[this.stack.length-1]}},{key:\"root\",get:function(){return this.rootNode}}],[{key:\"_walk\",value:function(e,t){var a=this;return\"string\"==typeof t?e.addText(t):t.children&&(e.openNode(t),t.children.forEach((function(t){return a._walk(e,t)})),e.closeNode(t)),e}},{key:\"_collapse\",value:function(t){t.children&&(t.children.every((function(e){return\"string\"==typeof e}))?(t.text=t.children.join(\"\"),delete t.children):t.children.forEach((function(t){\"string\"!=typeof t&&e._collapse(t)})))}}]),e}());function gr(e){return e&&e.source||e}var Sr=\"(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)\",Tr={begin:\"\\\\\\\\[\\\\s\\\\S]\",relevance:0},br={className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\",contains:[Tr]},fr={className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[Tr]},Cr={begin:/\\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\\b/},Rr=function(e,t,a){var n=cr({className:\"comment\",begin:e,end:t,contains:[]},a||{});return n.contains.push(Cr),n.contains.push({className:\"doctag\",begin:\"(?:TODO|FIXME|NOTE|BUG|XXX):\",relevance:0}),n},Nr=Rr(\"//\",\"$\"),Or=Rr(\"/\\\\*\",\"\\\\*/\"),vr=Rr(\"#\",\"$\"),Ir={className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?\",relevance:0},hr={className:\"number\",begin:Sr,relevance:0},Ar={className:\"number\",begin:\"\\\\b(0b[01]+)\",relevance:0},yr={className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?\",relevance:0},Dr={begin:/(?=\\/[^\\/\\n]*\\/)/,contains:[{className:\"regexp\",begin:/\\//,end:/\\/[gimuy]*/,illegal:/\\n/,contains:[Tr,{begin:/\\[/,end:/\\]/,relevance:0,contains:[Tr]}]}]},Mr={className:\"title\",begin:\"[a-zA-Z]\\\\w*\",relevance:0},Lr={className:\"title\",begin:\"[a-zA-Z_]\\\\w*\",relevance:0},xr={begin:\"\\\\.\\\\s*[a-zA-Z_]\\\\w*\",relevance:0},wr=Object.freeze({__proto__:null,IDENT_RE:\"[a-zA-Z]\\\\w*\",UNDERSCORE_IDENT_RE:\"[a-zA-Z_]\\\\w*\",NUMBER_RE:\"\\\\b\\\\d+(\\\\.\\\\d+)?\",C_NUMBER_RE:Sr,BINARY_NUMBER_RE:\"\\\\b(0b[01]+)\",RE_STARTERS_RE:\"!|!=|!==|%|%=|&|&&|&=|\\\\*|\\\\*=|\\\\+|\\\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\\\?|\\\\[|\\\\{|\\\\(|\\\\^|\\\\^=|\\\\||\\\\|=|\\\\|\\\\||~\",BACKSLASH_ESCAPE:Tr,APOS_STRING_MODE:br,QUOTE_STRING_MODE:fr,PHRASAL_WORDS_MODE:Cr,COMMENT:Rr,C_LINE_COMMENT_MODE:Nr,C_BLOCK_COMMENT_MODE:Or,HASH_COMMENT_MODE:vr,NUMBER_MODE:Ir,C_NUMBER_MODE:hr,BINARY_NUMBER_MODE:Ar,CSS_NUMBER_MODE:yr,REGEXP_MODE:Dr,TITLE_MODE:Mr,UNDERSCORE_TITLE_MODE:Lr,METHOD_GUARD:xr}),Pr=\"of and for in not or if then\".split(\" \");function kr(e){function t(t,a){return new RegExp(gr(t),\"m\"+(e.case_insensitive?\"i\":\"\")+(a?\"g\":\"\"))}var a=function(){function e(){Ya(this,e),this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}return Va(e,[{key:\"addRule\",value:function(e,t){t.position=this.position++,this.matchIndexes[this.matchAt]=t,this.regexes.push([t,e]),this.matchAt+=function(e){return new RegExp(e.toString()+\"|\").exec(\"\").length-1}(e)+1}},{key:\"compile\",value:function(){0===this.regexes.length&&(this.exec=function(){return null});var e=this.regexes.map((function(e){return e[1]}));this.matcherRe=t(function(e,t){for(var a=/\\[(?:[^\\\\\\]]|\\\\.)*\\]|\\(\\??|\\\\([1-9][0-9]*)|\\\\./,n=0,r=\"\",i=0;i<e.length;i++){var o=n+=1,s=gr(e[i]);for(i>0&&(r+=t),r+=\"(\";s.length>0;){var l=a.exec(s);if(null==l){r+=s;break}r+=s.substring(0,l.index),s=s.substring(l.index+l[0].length),\"\\\\\"==l[0][0]&&l[1]?r+=\"\\\\\"+String(Number(l[1])+o):(r+=l[0],\"(\"==l[0]&&n++)}r+=\")\"}return r}(e,\"|\"),!0),this.lastIndex=0}},{key:\"exec\",value:function(e){this.matcherRe.lastIndex=this.lastIndex;var t=this.matcherRe.exec(e);if(!t)return null;var a=t.findIndex((function(e,t){return t>0&&null!=e})),n=this.matchIndexes[a];return Object.assign(t,n)}}]),e}(),n=function(){function e(){Ya(this,e),this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}return Va(e,[{key:\"getMatcher\",value:function(e){if(this.multiRegexes[e])return this.multiRegexes[e];var t=new a;return this.rules.slice(e).forEach((function(e){var a=$a(e,2),n=a[0],r=a[1];return t.addRule(n,r)})),t.compile(),this.multiRegexes[e]=t,t}},{key:\"considerAll\",value:function(){this.regexIndex=0}},{key:\"addRule\",value:function(e,t){this.rules.push([e,t]),\"begin\"===t.type&&this.count++}},{key:\"exec\",value:function(e){var t=this.getMatcher(this.regexIndex);t.lastIndex=this.lastIndex;var a=t.exec(e);return a&&(this.regexIndex+=a.position+1,this.regexIndex===this.count&&(this.regexIndex=0)),a}}]),e}();function r(e){var t=e.input[e.index-1],a=e.input[e.index+e[0].length];if(\".\"===t||\".\"===a)return{ignoreMatch:!0}}if(e.contains&&e.contains.includes(\"self\"))throw new Error(\"ERR: contains `self` is not supported at the top-level of a language. See documentation.\");!function a(i,o){var s;i.compiled||(i.compiled=!0,i.__onBegin=null,i.keywords=i.keywords||i.beginKeywords,i.keywords&&(i.keywords=function(e,t){var a={};\"string\"==typeof e?n(\"keyword\",e):Object.keys(e).forEach((function(t){n(t,e[t])}));return a;function n(e,n){t&&(n=n.toLowerCase()),n.split(\" \").forEach((function(t){var n=t.split(\"|\");a[n[0]]=[e,Ur(n[0],n[1])]}))}}(i.keywords,e.case_insensitive)),i.lexemesRe=t(i.lexemes||/\\w+/,!0),o&&(i.beginKeywords&&(i.begin=\"\\\\b(\"+i.beginKeywords.split(\" \").join(\"|\")+\")(?=\\\\b|\\\\s)\",i.__onBegin=r),i.begin||(i.begin=/\\B|\\b/),i.beginRe=t(i.begin),i.endSameAsBegin&&(i.end=i.begin),i.end||i.endsWithParent||(i.end=/\\B|\\b/),i.end&&(i.endRe=t(i.end)),i.terminator_end=gr(i.end)||\"\",i.endsWithParent&&o.terminator_end&&(i.terminator_end+=(i.end?\"|\":\"\")+o.terminator_end)),i.illegal&&(i.illegalRe=t(i.illegal)),null==i.relevance&&(i.relevance=1),i.contains||(i.contains=[]),i.contains=(s=[]).concat.apply(s,Ka(i.contains.map((function(e){return function(e){e.variants&&!e.cached_variants&&(e.cached_variants=e.variants.map((function(t){return cr(e,{variants:null},t)})));return e.cached_variants?e.cached_variants:function e(t){return!!t&&(t.endsWithParent||e(t.starts))}(e)?cr(e,{starts:e.starts?cr(e.starts):null}):Object.isFrozen(e)?cr(e):e}(\"self\"===e?i:e)})))),i.contains.forEach((function(e){a(e,i)})),i.starts&&a(i.starts,o),i.matcher=function(e){var t=new n;return e.contains.forEach((function(e){return t.addRule(e.begin,{rule:e,type:\"begin\"})})),e.terminator_end&&t.addRule(e.terminator_end,{type:\"end\"}),e.illegal&&t.addRule(e.illegal,{type:\"illegal\"}),t}(i))}(e)}function Ur(e,t){return t?Number(t):(a=e,Pr.includes(a.toLowerCase())?0:1);var a}var Fr=_r,Br=cr,Gr=ur.nodeStream,Yr=ur.mergeStreams,Hr=function(e){var t=[],a={},n={},r=[],i=!0,o=/((^(<[^>]+>|\\t|)+|(?:\\n)))/gm,s=\"Could not find the language '{}', did you forget to load/include a language module?\",l={noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\\blang(?:uage)?-([\\w-]+)\\b/i,classPrefix:\"hljs-\",tabReplace:null,useBR:!1,languages:void 0,__emitter:Er};function _(e){return l.noHighlightRe.test(e)}function c(e,t,a,n){var r={code:t,language:e};b(\"before:highlight\",r);var i=r.result?r.result:d(r.language,r.code,a,n);return i.code=r.code,b(\"after:highlight\",i),i}function d(e,t,n,r){var o=t;function _(e,t){var a=b.case_insensitive?t[0].toLowerCase():t[0];return e.keywords.hasOwnProperty(a)&&e.keywords[a]}function c(){null!=C.subLanguage?function(){if(\"\"!==I){var e=\"string\"==typeof C.subLanguage;if(!e||a[C.subLanguage]){var t=e?d(C.subLanguage,I,!0,R[C.subLanguage]):u(I,C.subLanguage.length?C.subLanguage:void 0);C.relevance>0&&(h+=t.relevance),e&&(R[C.subLanguage]=t.top),N.addSublanguage(t.emitter,t.language)}else N.addText(I)}}():function(){var e,t,a,n;if(C.keywords){for(t=0,C.lexemesRe.lastIndex=0,a=C.lexemesRe.exec(I),n=\"\";a;){n+=I.substring(t,a.index);var r=null;(e=_(C,a))?(N.addText(n),n=\"\",h+=e[1],r=e[0],N.addKeyword(a[0],r)):n+=a[0],t=C.lexemesRe.lastIndex,a=C.lexemesRe.exec(I)}n+=I.substr(t),N.addText(n)}else N.addText(I)}(),I=\"\"}function m(e){e.className&&N.openNode(e.className),C=Object.create(e,{parent:{value:C}})}function p(e){var t=e[0],a=e.rule;if(a.__onBegin&&(a.__onBegin(e)||{}).ignoreMatch)return function(e){return 0===C.matcher.regexIndex?(I+=e[0],1):(D=!0,0)}(t);return a&&a.endSameAsBegin&&(a.endRe=new RegExp(t.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g,\"\\\\$&\"),\"m\")),a.skip?I+=t:(a.excludeBegin&&(I+=t),c(),a.returnBegin||a.excludeBegin||(I=t)),m(a),a.returnBegin?0:t.length}function E(e){var t=e[0],a=o.substr(e.index),n=function e(t,a){if(function(e,t){var a=e&&e.exec(t);return a&&0===a.index}(t.endRe,a)){for(;t.endsParent&&t.parent;)t=t.parent;return t}if(t.endsWithParent)return e(t.parent,a)}(C,a);if(n){var r=C;r.skip?I+=t:(r.returnEnd||r.excludeEnd||(I+=t),c(),r.excludeEnd&&(I=t));do{C.className&&N.closeNode(),C.skip||C.subLanguage||(h+=C.relevance),C=C.parent}while(C!==n.parent);return n.starts&&(n.endSameAsBegin&&(n.starts.endRe=n.endRe),m(n.starts)),r.returnEnd?0:t.length}}var g={};function T(t,a){var r,s=a&&a[0];if(I+=t,null==s)return c(),0;if(\"begin\"==g.type&&\"end\"==a.type&&g.index==a.index&&\"\"===s){if(I+=o.slice(a.index,a.index+1),!i)throw(r=new Error(\"0 width match regex\")).languageName=e,r.badRule=g.rule,r;return 1}if(g=a,\"begin\"===a.type)return p(a);if(\"illegal\"===a.type&&!n)throw(r=new Error('Illegal lexeme \"'+s+'\" for mode \"'+(C.className||\"<unnamed>\")+'\"')).mode=C,r;if(\"end\"===a.type){var l=E(a);if(null!=l)return l}if(\"illegal\"===a.type&&\"\"===s)return 1;if(y>1e5&&y>3*a.index)throw new Error(\"potential infinite loop, way more iterations than matches\");return I+=s,s.length}var b=S(e);if(!b)throw console.error(s.replace(\"{}\",e)),new Error('Unknown language: \"'+e+'\"');kr(b);var f,C=r||b,R={},N=new l.__emitter(l);!function(){for(var e=[],t=C;t!==b;t=t.parent)t.className&&e.unshift(t.className);e.forEach((function(e){return N.openNode(e)}))}();var O,v,I=\"\",h=0,A=0,y=0,D=!1;try{for(C.matcher.considerAll();y++,D?D=!1:(C.matcher.lastIndex=A,C.matcher.considerAll()),O=C.matcher.exec(o);){v=T(o.substring(A,O.index),O),A=O.index+v}return T(o.substr(A)),N.closeAllNodes(),N.finalize(),f=N.toHTML(),{relevance:h,value:f,language:e,illegal:!1,emitter:N,top:C}}catch(t){if(t.message&&t.message.includes(\"Illegal\"))return{illegal:!0,illegalBy:{msg:t.message,context:o.slice(A-100,A+100),mode:t.mode},sofar:f,relevance:0,value:Fr(o),emitter:N};if(i)return{relevance:0,value:Fr(o),emitter:N,language:e,top:C,errorRaised:t};throw t}}function u(e,t){t=t||l.languages||Object.keys(a);var n=function(e){var t={relevance:0,emitter:new l.__emitter(l),value:Fr(e),illegal:!1,top:g};return t.emitter.addText(e),t}(e),r=n;return t.filter(S).filter(T).forEach((function(t){var a=d(t,e,!1);a.language=t,a.relevance>r.relevance&&(r=a),a.relevance>n.relevance&&(r=n,n=a)})),r.language&&(n.second_best=r),n}function m(e){return l.tabReplace||l.useBR?e.replace(o,(function(e,t){return l.useBR&&\"\\n\"===e?\"<br>\":l.tabReplace?t.replace(/\\t/g,l.tabReplace):\"\"})):e}function p(e){var t,a,r,i,o,d=function(e){var t,a=e.className+\" \";if(a+=e.parentNode?e.parentNode.className:\"\",t=l.languageDetectRe.exec(a)){var n=S(t[1]);return n||(console.warn(s.replace(\"{}\",t[1])),console.warn(\"Falling back to no-highlight mode for this block.\",e)),n?t[1]:\"no-highlight\"}return a.split(/\\s+/).find((function(e){return _(e)||S(e)}))}(e);_(d)||(b(\"before:highlightBlock\",{block:e,language:d}),l.useBR?(t=document.createElement(\"div\")).innerHTML=e.innerHTML.replace(/\\n/g,\"\").replace(/<br[ \\/]*>/g,\"\\n\"):t=e,o=t.textContent,r=d?c(d,o,!0):u(o),(a=Gr(t)).length&&((i=document.createElement(\"div\")).innerHTML=r.value,r.value=Yr(a,Gr(i),o)),r.value=m(r.value),b(\"after:highlightBlock\",{block:e,result:r}),e.innerHTML=r.value,e.className=function(e,t,a){var r=t?n[t]:a,i=[e.trim()];return e.match(/\\bhljs\\b/)||i.push(\"hljs\"),e.includes(r)||i.push(r),i.join(\" \").trim()}(e.className,d,r.language),e.result={language:r.language,re:r.relevance},r.second_best&&(e.second_best={language:r.second_best.language,re:r.second_best.relevance}))}function E(){if(!E.called){E.called=!0;var e=document.querySelectorAll(\"pre code\");t.forEach.call(e,p)}}var g={disableAutodetect:!0,name:\"Plain text\"};function S(e){return e=(e||\"\").toLowerCase(),a[e]||a[n[e]]}function T(e){var t=S(e);return t&&!t.disableAutodetect}function b(e,t){var a=e;r.forEach((function(e){e[a]&&e[a](t)}))}for(var f in Object.assign(e,{highlight:c,highlightAuto:u,fixMarkup:m,highlightBlock:p,configure:function(e){l=Br(l,e)},initHighlighting:E,initHighlightingOnLoad:function(){window.addEventListener(\"DOMContentLoaded\",E,!1)},registerLanguage:function(t,r){var o;try{o=r(e)}catch(e){if(console.error(\"Language definition for '{}' could not be registered.\".replace(\"{}\",t)),!i)throw e;console.error(e),o=g}o.name||(o.name=t),a[t]=o,o.rawDefinition=r.bind(null,e),o.aliases&&o.aliases.forEach((function(e){n[e]=t}))},listLanguages:function(){return Object.keys(a)},getLanguage:S,requireLanguage:function(e){var t=S(e);if(t)return t;throw new Error(\"The '{}' language is required, but not loaded.\".replace(\"{}\",e))},autoDetection:T,inherit:Br,addPlugin:function(e,t){r.push(e)}}),e.debugMode=function(){i=!1},e.safeMode=function(){i=!0},e.versionString=\"10.0.3\",wr)\"object\"===Ga(wr[f])&&lr(wr[f]);return Object.assign(e,wr),e}({});var Vr=function(e){var t=\"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+\",a=\"далее возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт \",n=\"null истина ложь неопределено\",r=e.inherit(e.NUMBER_MODE),i={className:\"string\",begin:'\"|\\\\|',end:'\"|$',contains:[{begin:'\"\"'}]},o={begin:\"'\",end:\"'\",excludeBegin:!0,excludeEnd:!0,contains:[{className:\"number\",begin:\"\\\\d{4}([\\\\.\\\\\\\\/:-]?\\\\d{2}){0,5}\"}]},s=e.inherit(e.C_LINE_COMMENT_MODE);return{name:\"1C:Enterprise\",case_insensitive:!0,lexemes:t,keywords:{keyword:a,built_in:\"разделительстраниц разделительстрок символтабуляции ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек \",class:\"webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц отображениевремениэлементовпланировщика типфайлаформатированногодокумента обходрезультатазапроса типзаписизапроса видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов доступкфайлу режимдиалогавыборафайла режимоткрытияфайла типизмеренияпостроителязапроса видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты\",type:\"comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив \",literal:n},contains:[{className:\"meta\",lexemes:t,begin:\"#|&\",end:\"$\",keywords:{\"meta-keyword\":a+\"загрузитьизфайла вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент \"},contains:[s]},{className:\"function\",lexemes:t,variants:[{begin:\"процедура|функция\",end:\"\\\\)\",keywords:\"процедура функция\"},{begin:\"конецпроцедуры|конецфункции\",keywords:\"конецпроцедуры конецфункции\"}],contains:[{begin:\"\\\\(\",end:\"\\\\)\",endsParent:!0,contains:[{className:\"params\",lexemes:t,begin:t,end:\",\",excludeEnd:!0,endsWithParent:!0,keywords:{keyword:\"знач\",literal:n},contains:[r,i,o]},s]},e.inherit(e.TITLE_MODE,{begin:t})]},s,{className:\"symbol\",begin:\"~\",end:\";|:\",excludeEnd:!0},r,i,o]}};var qr=function(e){var t=\"^[a-zA-Z][a-zA-Z0-9-]*\",a=\"[!@#$^&',?+~`|:]\",n=e.COMMENT(\";\",\"$\"),r={className:\"attribute\",begin:t+\"(?=\\\\s*=)\"};return{name:\"Augmented Backus-Naur Form\",illegal:a,keywords:[\"ALPHA\",\"BIT\",\"CHAR\",\"CR\",\"CRLF\",\"CTL\",\"DIGIT\",\"DQUOTE\",\"HEXDIG\",\"HTAB\",\"LF\",\"LWSP\",\"OCTET\",\"SP\",\"VCHAR\",\"WSP\"].join(\" \"),contains:[r,n,{className:\"symbol\",begin:/%b[0-1]+(-[0-1]+|(\\.[0-1]+)+){0,1}/},{className:\"symbol\",begin:/%d[0-9]+(-[0-9]+|(\\.[0-9]+)+){0,1}/},{className:\"symbol\",begin:/%x[0-9A-F]+(-[0-9A-F]+|(\\.[0-9A-F]+)+){0,1}/},{className:\"symbol\",begin:/%[si]/},e.QUOTE_STRING_MODE,e.NUMBER_MODE]}};var zr=function(e){var t=[\"GET\",\"POST\",\"HEAD\",\"PUT\",\"DELETE\",\"CONNECT\",\"OPTIONS\",\"PATCH\",\"TRACE\"];return{name:\"Apache Access Log\",contains:[{className:\"number\",begin:\"^\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b\",relevance:5},{className:\"number\",begin:\"\\\\b\\\\d+\\\\b\",relevance:0},{className:\"string\",begin:'\"('+t.join(\"|\")+\")\",end:'\"',keywords:t.join(\" \"),illegal:\"\\\\n\",relevance:5,contains:[{begin:\"HTTP/[12]\\\\.\\\\d\",relevance:5}]},{className:\"string\",begin:/\\[\\d[^\\]\\n]{8,}\\]/,illegal:\"\\\\n\",relevance:1},{className:\"string\",begin:/\\[/,end:/\\]/,illegal:\"\\\\n\",relevance:0},{className:\"string\",begin:'\"Mozilla/\\\\d\\\\.\\\\d \\\\(',end:'\"',illegal:\"\\\\n\",relevance:3},{className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\",relevance:0}]}};var Wr=function(e){var t={className:\"rest_arg\",begin:\"[.]{3}\",end:\"[a-zA-Z_$][a-zA-Z0-9_$]*\",relevance:10};return{name:\"ActionScript\",aliases:[\"as\"],keywords:{keyword:\"as break case catch class const continue default delete do dynamic each else extends final finally for function get if implements import in include instanceof interface internal is namespace native new override package private protected public return set static super switch this throw try typeof use var void while with\",literal:\"true false null undefined\"},contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:\"class\",beginKeywords:\"package\",end:\"{\",contains:[e.TITLE_MODE]},{className:\"class\",beginKeywords:\"class interface\",end:\"{\",excludeEnd:!0,contains:[{beginKeywords:\"extends implements\"},e.TITLE_MODE]},{className:\"meta\",beginKeywords:\"import include\",end:\";\",keywords:{\"meta-keyword\":\"import include\"}},{className:\"function\",beginKeywords:\"function\",end:\"[{;]\",excludeEnd:!0,illegal:\"\\\\S\",contains:[e.TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t]},{begin:\":\\\\s*([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)\"}]},e.METHOD_GUARD],illegal:/#/}};var Qr=function(e){var t=\"[A-Za-z](_?[A-Za-z0-9.])*\",a=e.COMMENT(\"--\",\"$\"),n={begin:\"\\\\s+:\\\\s+\",end:\"\\\\s*(:=|;|\\\\)|=>|$)\",illegal:\"[]{}%#'\\\"\",contains:[{beginKeywords:\"loop for declare others\",endsParent:!0},{className:\"keyword\",beginKeywords:\"not null constant access function procedure in out aliased exception\"},{className:\"type\",begin:t,endsParent:!0,relevance:0}]};return{name:\"Ada\",case_insensitive:!0,keywords:{keyword:\"abort else new return abs elsif not reverse abstract end accept entry select access exception of separate aliased exit or some all others subtype and for out synchronized array function overriding at tagged generic package task begin goto pragma terminate body private then if procedure type case in protected constant interface is raise use declare range delay limited record when delta loop rem while digits renames with do mod requeue xor\",literal:\"True False\"},contains:[a,{className:\"string\",begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{className:\"string\",begin:/'.'/},{className:\"number\",begin:\"\\\\b(\\\\d(_|\\\\d)*#\\\\w+(\\\\.\\\\w+)?#([eE][-+]?\\\\d(_|\\\\d)*)?|\\\\d(_|\\\\d)*(\\\\.\\\\d(_|\\\\d)*)?([eE][-+]?\\\\d(_|\\\\d)*)?)\",relevance:0},{className:\"symbol\",begin:\"'\"+t},{className:\"title\",begin:\"(\\\\bwith\\\\s+)?(\\\\bprivate\\\\s+)?\\\\bpackage\\\\s+(\\\\bbody\\\\s+)?\",end:\"(is|$)\",keywords:\"package body\",excludeBegin:!0,excludeEnd:!0,illegal:\"[]{}%#'\\\"\"},{begin:\"(\\\\b(with|overriding)\\\\s+)?\\\\b(function|procedure)\\\\s+\",end:\"(\\\\bis|\\\\bwith|\\\\brenames|\\\\)\\\\s*;)\",keywords:\"overriding function procedure with is renames return\",returnBegin:!0,contains:[a,{className:\"title\",begin:\"(\\\\bwith\\\\s+)?\\\\b(function|procedure)\\\\s+\",end:\"(\\\\(|\\\\s+|$)\",excludeBegin:!0,excludeEnd:!0,illegal:\"[]{}%#'\\\"\"},n,{className:\"type\",begin:\"\\\\breturn\\\\s+\",end:\"(\\\\s+|;|$)\",keywords:\"return\",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:\"[]{}%#'\\\"\"}]},{className:\"type\",begin:\"\\\\b(sub)?type\\\\s+\",end:\"\\\\s+\",keywords:\"type\",excludeBegin:!0,illegal:\"[]{}%#'\\\"\"},n]}};var $r=function(e){var t={className:\"built_in\",begin:\"\\\\b(void|bool|int|int8|int16|int32|int64|uint|uint8|uint16|uint32|uint64|string|ref|array|double|float|auto|dictionary)\"},a={className:\"symbol\",begin:\"[a-zA-Z0-9_]+@\"},n={className:\"keyword\",begin:\"<\",end:\">\",contains:[t,a]};return t.contains=[n],a.contains=[n],{name:\"AngelScript\",aliases:[\"asc\"],keywords:\"for in|0 break continue while do|0 return if else case switch namespace is cast or and xor not get|0 in inout|10 out override set|0 private public const default|0 final shared external mixin|10 enum typedef funcdef this super import from interface abstract|0 try catch protected explicit property\",illegal:\"(^using\\\\s+[A-Za-z0-9_\\\\.]+;$|\\\\bfunctions*[^\\\\(])\",contains:[{className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{beginKeywords:\"interface namespace\",end:\"{\",illegal:\"[;.\\\\-]\",contains:[{className:\"symbol\",begin:\"[a-zA-Z0-9_]+\"}]},{beginKeywords:\"class\",end:\"{\",illegal:\"[;.\\\\-]\",contains:[{className:\"symbol\",begin:\"[a-zA-Z0-9_]+\",contains:[{begin:\"[:,]\\\\s*\",contains:[{className:\"symbol\",begin:\"[a-zA-Z0-9_]+\"}]}]}]},t,a,{className:\"literal\",begin:\"\\\\b(null|true|false)\"},{className:\"number\",begin:\"(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?f?|\\\\.\\\\d+f?)([eE][-+]?\\\\d+f?)?)\"}]}};var Kr=function(e){var t={className:\"number\",begin:\"\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\"};return{name:\"Apache config\",aliases:[\"apacheconf\"],case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:\"section\",begin:\"</?\",end:\">\",contains:[t,{className:\"number\",begin:\":\\\\d{1,5}\"},e.inherit(e.QUOTE_STRING_MODE,{relevance:0})]},{className:\"attribute\",begin:/\\w+/,relevance:0,keywords:{nomarkup:\"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername\"},starts:{end:/$/,relevance:0,keywords:{literal:\"on off all deny allow\"},contains:[{className:\"meta\",begin:\"\\\\s\\\\[\",end:\"\\\\]$\"},{className:\"variable\",begin:\"[\\\\$%]\\\\{\",end:\"\\\\}\",contains:[\"self\",{className:\"number\",begin:\"[\\\\$%]\\\\d+\"}]},t,{className:\"number\",begin:\"\\\\d+\"},e.QUOTE_STRING_MODE]}}],illegal:/\\S/}};var jr=function(e){var t=e.inherit(e.QUOTE_STRING_MODE,{illegal:\"\"}),a={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",e.C_NUMBER_MODE,t]},n=e.COMMENT(\"--\",\"$\"),r=[n,e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{contains:[\"self\",n]}),e.HASH_COMMENT_MODE];return{name:\"AppleScript\",aliases:[\"osascript\"],keywords:{keyword:\"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without\",literal:\"AppleScript false linefeed return pi quote result space tab true\",built_in:\"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name paragraph paragraphs rest reverse running time version weekday word words year\"},contains:[t,e.C_NUMBER_MODE,{className:\"built_in\",begin:\"\\\\b(clipboard info|the clipboard|info for|list (disks|folder)|mount volume|path to|(close|open for) access|(get|set) eof|current date|do shell script|get volume settings|random number|set volume|system attribute|system info|time to GMT|(load|run|store) script|scripting components|ASCII (character|number)|localized string|choose (application|color|file|file name|folder|from list|remote application|URL)|display (alert|dialog))\\\\b|^\\\\s*return\\\\b\"},{className:\"literal\",begin:\"\\\\b(text item delimiters|current application|missing value)\\\\b\"},{className:\"keyword\",begin:\"\\\\b(apart from|aside from|instead of|out of|greater than|isn't|(doesn't|does not) (equal|come before|come after|contain)|(greater|less) than( or equal)?|(starts?|ends|begins?) with|contained by|comes (before|after)|a (ref|reference)|POSIX file|POSIX path|(date|time) string|quoted form)\\\\b\"},{beginKeywords:\"on\",illegal:\"[${=;\\\\n]\",contains:[e.UNDERSCORE_TITLE_MODE,a]}].concat(r),illegal:\"//|->|=>|\\\\[\\\\[\"}};var Xr=function(e){var t=\"[A-Za-z_][0-9A-Za-z_]*\",a={keyword:\"if for while var new function do return void else break\",literal:\"BackSlash DoubleQuote false ForwardSlash Infinity NaN NewLine null PI SingleQuote Tab TextFormatting true undefined\",built_in:\"Abs Acos Angle Attachments Area AreaGeodetic Asin Atan Atan2 Average Bearing Boolean Buffer BufferGeodetic Ceil Centroid Clip Console Constrain Contains Cos Count Crosses Cut Date DateAdd DateDiff Day Decode DefaultValue Dictionary Difference Disjoint Distance DistanceGeodetic Distinct DomainCode DomainName Equals Exp Extent Feature FeatureSet FeatureSetByAssociation FeatureSetById FeatureSetByPortalItem FeatureSetByRelationshipName FeatureSetByTitle FeatureSetByUrl Filter First Floor Geometry GroupBy Guid HasKey Hour IIf IndexOf Intersection Intersects IsEmpty IsNan IsSelfIntersecting Length LengthGeodetic Log Max Mean Millisecond Min Minute Month MultiPartToSinglePart Multipoint NextSequenceValue Now Number OrderBy Overlaps Point Polygon Polyline Portal Pow Random Relate Reverse RingIsClockWise Round Second SetGeometry Sin Sort Sqrt Stdev Sum SymmetricDifference Tan Text Timestamp Today ToLocal Top Touches ToUTC TrackCurrentTime TrackGeometryWindow TrackIndex TrackStartTime TrackWindow TypeOf Union UrlEncode Variance Weekday When Within Year \"},n={className:\"number\",variants:[{begin:\"\\\\b(0[bB][01]+)\"},{begin:\"\\\\b(0[oO][0-7]+)\"},{begin:e.C_NUMBER_RE}],relevance:0},r={className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\",keywords:a,contains:[]},i={className:\"string\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE,r]};r.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,i,n,e.REGEXP_MODE];var o=r.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{name:\"ArcGIS Arcade\",aliases:[\"arcade\"],keywords:a,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,i,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"symbol\",begin:\"\\\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+\"},n,{begin:/[{,]\\s*/,relevance:0,contains:[{begin:t+\"\\\\s*:\",returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:t,relevance:0}]}]},{begin:\"(\"+e.RE_STARTERS_RE+\"|\\\\b(return)\\\\b)\\\\s*\",keywords:\"return\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:\"function\",begin:\"(\\\\(.*?\\\\)|\"+t+\")\\\\s*=>\",returnBegin:!0,end:\"\\\\s*=>\",contains:[{className:\"params\",variants:[{begin:t},{begin:/\\(\\s*\\)/},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:a,contains:o}]}]}],relevance:0},{className:\"function\",beginKeywords:\"function\",end:/\\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:t}),{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,contains:o}],illegal:/\\[|%/},{begin:/\\$[(.]/}],illegal:/#(?!!)/}};var Zr=function(e){function t(e){return\"(?:\"+e+\")?\"}var a=\"(decltype\\\\(auto\\\\)|\"+t(\"[a-zA-Z_]\\\\w*::\")+\"[a-zA-Z_]\\\\w*\"+t(\"<.*?>\")+\")\",n={className:\"keyword\",begin:\"\\\\b[a-z\\\\d_]*_t\\\\b\"},r={className:\"string\",variants:[{begin:'(u8?|U|L)?\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE]},{begin:\"(u8?|U|L)?'(\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)|.)\",end:\"'\",illegal:\".\"},{begin:/(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\((?:.|\\n)*?\\)\\1\"/}]},i={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)(u|U|l|L|ul|UL|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},o={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include\"},contains:[{begin:/\\\\\\n/,relevance:0},e.inherit(r,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<.*?>/,end:/$/,illegal:\"\\\\n\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},s={className:\"title\",begin:t(\"[a-zA-Z_]\\\\w*::\")+e.IDENT_RE,relevance:0},l=t(\"[a-zA-Z_]\\\\w*::\")+e.IDENT_RE+\"\\\\s*\\\\(\",_={keyword:\"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_t short reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq\",built_in:\"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr _Bool complex _Complex imaginary _Imaginary\",literal:\"true false nullptr NULL\"},c=[n,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,r],d={variants:[{begin:/=/,end:/;/},{begin:/\\(/,end:/\\)/},{beginKeywords:\"new throw return else\",end:/;/}],keywords:_,contains:c.concat([{begin:/\\(/,end:/\\)/,keywords:_,contains:c.concat([\"self\"]),relevance:0}]),relevance:0},u={className:\"function\",begin:\"(\"+a+\"[\\\\*&\\\\s]+)+\"+l,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:_,illegal:/[^\\w\\s\\*&:<>]/,contains:[{begin:\"decltype\\\\(auto\\\\)\",keywords:_,relevance:0},{begin:l,returnBegin:!0,contains:[s],relevance:0},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:_,relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,i,n,{begin:/\\(/,end:/\\)/,keywords:_,relevance:0,contains:[\"self\",e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,i,n]}]},n,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,o]};return{aliases:[\"c\",\"cc\",\"h\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"],keywords:_,disableAutodetect:!0,illegal:\"</\",contains:[].concat(d,u,c,[o,{begin:\"\\\\b(deque|list|queue|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array)\\\\s*<\",end:\">\",keywords:_,contains:[\"self\",n]},{begin:e.IDENT_RE+\"::\",keywords:_},{className:\"class\",beginKeywords:\"class struct\",end:/[{;:]/,contains:[{begin:/</,end:/>/,contains:[\"self\"]},e.TITLE_MODE]}]),exports:{preprocessor:o,strings:r,keywords:_}}};var Jr=function(e){var t=e.getLanguage(\"c-like\").rawDefinition();return t.disableAutodetect=!1,t.name=\"C++\",t.aliases=[\"cc\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"],t};var ei=function(e){var t=\"boolean byte word String\",a=\"setup loop KeyboardController MouseController SoftwareSerial EthernetServer EthernetClient LiquidCrystal RobotControl GSMVoiceCall EthernetUDP EsploraTFT HttpClient RobotMotor WiFiClient GSMScanner FileSystem Scheduler GSMServer YunClient YunServer IPAddress GSMClient GSMModem Keyboard Ethernet Console GSMBand Esplora Stepper Process WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage Client Server GSMPIN FileIO Bridge Serial EEPROM Stream Mouse Audio Servo File Task GPRS WiFi Wire TFT GSM SPI SD runShellCommandAsynchronously analogWriteResolution retrieveCallingNumber printFirmwareVersion analogReadResolution sendDigitalPortPair noListenOnLocalhost readJoystickButton setFirmwareVersion readJoystickSwitch scrollDisplayRight getVoiceCallStatus scrollDisplayLeft writeMicroseconds delayMicroseconds beginTransmission getSignalStrength runAsynchronously getAsynchronously listenOnLocalhost getCurrentCarrier readAccelerometer messageAvailable sendDigitalPorts lineFollowConfig countryNameWrite runShellCommand readStringUntil rewindDirectory readTemperature setClockDivider readLightSensor endTransmission analogReference detachInterrupt countryNameRead attachInterrupt encryptionType readBytesUntil robotNameWrite readMicrophone robotNameRead cityNameWrite userNameWrite readJoystickY readJoystickX mouseReleased openNextFile scanNetworks noInterrupts digitalWrite beginSpeaker mousePressed isActionDone mouseDragged displayLogos noAutoscroll addParameter remoteNumber getModifiers keyboardRead userNameRead waitContinue processInput parseCommand printVersion readNetworks writeMessage blinkVersion cityNameRead readMessage setDataMode parsePacket isListening setBitOrder beginPacket isDirectory motorsWrite drawCompass digitalRead clearScreen serialEvent rightToLeft setTextSize leftToRight requestFrom keyReleased compassRead analogWrite interrupts WiFiServer disconnect playMelody parseFloat autoscroll getPINUsed setPINUsed setTimeout sendAnalog readSlider analogRead beginWrite createChar motorsStop keyPressed tempoWrite readButton subnetMask debugPrint macAddress writeGreen randomSeed attachGPRS readString sendString remotePort releaseAll mouseMoved background getXChange getYChange answerCall getResult voiceCall endPacket constrain getSocket writeJSON getButton available connected findUntil readBytes exitValue readGreen writeBlue startLoop IPAddress isPressed sendSysex pauseMode gatewayIP setCursor getOemKey tuneWrite noDisplay loadImage switchPIN onRequest onReceive changePIN playFile noBuffer parseInt overflow checkPIN knobRead beginTFT bitClear updateIR bitWrite position writeRGB highByte writeRed setSpeed readBlue noStroke remoteIP transfer shutdown hangCall beginSMS endWrite attached maintain noCursor checkReg checkPUK shiftOut isValid shiftIn pulseIn connect println localIP pinMode getIMEI display noBlink process getBand running beginSD drawBMP lowByte setBand release bitRead prepare pointTo readRed setMode noFill remove listen stroke detach attach noTone exists buffer height bitSet circle config cursor random IRread setDNS endSMS getKey micros millis begin print write ready flush width isPIN blink clear press mkdir rmdir close point yield image BSSID click delay read text move peek beep rect line open seek fill size turn stop home find step tone sqrt RSSI SSID end bit tan cos sin pow map abs max min get run put\",n=\"DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL DEFAULT OUTPUT INPUT HIGH LOW\",r=e.requireLanguage(\"cpp\").rawDefinition(),i=r.keywords;return i.keyword+=\" \"+t,i.literal+=\" \"+n,i.built_in+=\" \"+a,r.name=\"Arduino\",r};var ti=function(e){var t={variants:[e.COMMENT(\"^[ \\\\t]*(?=#)\",\"$\",{relevance:0,excludeBegin:!0}),e.COMMENT(\"[;@]\",\"$\",{relevance:0}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:\"ARM Assembly\",case_insensitive:!0,aliases:[\"arm\"],lexemes:\"\\\\.?\"+e.IDENT_RE,keywords:{meta:\".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND \",built_in:\"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @\"},contains:[{className:\"keyword\",begin:\"\\\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\\\s)\"},t,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"[^\\\\\\\\]'\",relevance:0},{className:\"title\",begin:\"\\\\|\",end:\"\\\\|\",illegal:\"\\\\n\",relevance:0},{className:\"number\",variants:[{begin:\"[#$=]?0x[0-9a-f]+\"},{begin:\"[#$=]?0b[01]+\"},{begin:\"[#$=]\\\\d+\"},{begin:\"\\\\b\\\\d+\"}],relevance:0},{className:\"symbol\",variants:[{begin:\"^[ \\\\t]*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:\"},{begin:\"^[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+\"},{begin:\"[=#]\\\\w+\"}],relevance:0}]}};var ai=function(e){var t={className:\"symbol\",begin:\"&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;\"},a={begin:\"\\\\s\",contains:[{className:\"meta-keyword\",begin:\"#?[a-z_][a-z1-9_-]+\",illegal:\"\\\\n\"}]},n=e.inherit(a,{begin:\"\\\\(\",end:\"\\\\)\"}),r=e.inherit(e.APOS_STRING_MODE,{className:\"meta-string\"}),i=e.inherit(e.QUOTE_STRING_MODE,{className:\"meta-string\"}),o={endsWithParent:!0,illegal:/</,relevance:0,contains:[{className:\"attr\",begin:\"[A-Za-z0-9\\\\._:-]+\",relevance:0},{begin:/=\\s*/,relevance:0,contains:[{className:\"string\",endsParent:!0,variants:[{begin:/\"/,end:/\"/,contains:[t]},{begin:/'/,end:/'/,contains:[t]},{begin:/[^\\s\"'=<>`]+/}]}]}]};return{name:\"HTML, XML\",aliases:[\"html\",\"xhtml\",\"rss\",\"atom\",\"xjb\",\"xsd\",\"xsl\",\"plist\",\"wsf\",\"svg\"],case_insensitive:!0,contains:[{className:\"meta\",begin:\"<![a-z]\",end:\">\",relevance:10,contains:[a,i,r,n,{begin:\"\\\\[\",end:\"\\\\]\",contains:[{className:\"meta\",begin:\"<![a-z]\",end:\">\",contains:[a,n,i,r]}]}]},e.COMMENT(\"\\x3c!--\",\"--\\x3e\",{relevance:10}),{begin:\"<\\\\!\\\\[CDATA\\\\[\",end:\"\\\\]\\\\]>\",relevance:10},t,{className:\"meta\",begin:/<\\?xml/,end:/\\?>/,relevance:10},{className:\"tag\",begin:\"<style(?=\\\\s|>)\",end:\">\",keywords:{name:\"style\"},contains:[o],starts:{end:\"</style>\",returnEnd:!0,subLanguage:[\"css\",\"xml\"]}},{className:\"tag\",begin:\"<script(?=\\\\s|>)\",end:\">\",keywords:{name:\"script\"},contains:[o],starts:{end:\"<\\/script>\",returnEnd:!0,subLanguage:[\"javascript\",\"handlebars\",\"xml\"]}},{className:\"tag\",begin:\"</?\",end:\"/?>\",contains:[{className:\"name\",begin:/[^\\/><\\s]+/,relevance:0},o]}]}};var ni=function(e){return{name:\"AsciiDoc\",aliases:[\"adoc\"],contains:[e.COMMENT(\"^/{4,}\\\\n\",\"\\\\n/{4,}$\",{relevance:10}),e.COMMENT(\"^//\",\"$\",{relevance:0}),{className:\"title\",begin:\"^\\\\.\\\\w.*$\"},{begin:\"^[=\\\\*]{4,}\\\\n\",end:\"\\\\n^[=\\\\*]{4,}$\",relevance:10},{className:\"section\",relevance:10,variants:[{begin:\"^(={1,5}) .+?( \\\\1)?$\"},{begin:\"^[^\\\\[\\\\]\\\\n]+?\\\\n[=\\\\-~\\\\^\\\\+]{2,}$\"}]},{className:\"meta\",begin:\"^:.+?:\",end:\"\\\\s\",excludeEnd:!0,relevance:10},{className:\"meta\",begin:\"^\\\\[.+?\\\\]$\",relevance:0},{className:\"quote\",begin:\"^_{4,}\\\\n\",end:\"\\\\n_{4,}$\",relevance:10},{className:\"code\",begin:\"^[\\\\-\\\\.]{4,}\\\\n\",end:\"\\\\n[\\\\-\\\\.]{4,}$\",relevance:10},{begin:\"^\\\\+{4,}\\\\n\",end:\"\\\\n\\\\+{4,}$\",contains:[{begin:\"<\",end:\">\",subLanguage:\"xml\",relevance:0}],relevance:10},{className:\"bullet\",begin:\"^(\\\\*+|\\\\-+|\\\\.+|[^\\\\n]+?::)\\\\s+\"},{className:\"symbol\",begin:\"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\\\s+\",relevance:10},{className:\"strong\",begin:\"\\\\B\\\\*(?![\\\\*\\\\s])\",end:\"(\\\\n{2}|\\\\*)\",contains:[{begin:\"\\\\\\\\*\\\\w\",relevance:0}]},{className:\"emphasis\",begin:\"\\\\B'(?!['\\\\s])\",end:\"(\\\\n{2}|')\",contains:[{begin:\"\\\\\\\\'\\\\w\",relevance:0}],relevance:0},{className:\"emphasis\",begin:\"_(?![_\\\\s])\",end:\"(\\\\n{2}|_)\",relevance:0},{className:\"string\",variants:[{begin:\"``.+?''\"},{begin:\"`.+?'\"}]},{className:\"code\",begin:\"(`.+?`|\\\\+.+?\\\\+)\",relevance:0},{className:\"code\",begin:\"^[ \\\\t]\",end:\"$\",relevance:0},{begin:\"^'{3,}[ \\\\t]*$\",relevance:10},{begin:\"(link:)?(http|https|ftp|file|irc|image:?):\\\\S+\\\\[.*?\\\\]\",returnBegin:!0,contains:[{begin:\"(link|image:?):\",relevance:0},{className:\"link\",begin:\"\\\\w\",end:\"[^\\\\[]+\",relevance:0},{className:\"string\",begin:\"\\\\[\",end:\"\\\\]\",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}};var ri=function(e){var t=\"false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else extends implements break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws privileged aspectOf adviceexecution proceed cflowbelow cflow initialization preinitialization staticinitialization withincode target within execution getWithinTypeName handler thisJoinPoint thisJoinPointStaticPart thisEnclosingJoinPointStaticPart declare parents warning error soft precedence thisAspectInstance\";return{name:\"AspectJ\",keywords:t,illegal:/<\\/|#/,contains:[e.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{begin:/\\w+@/,relevance:0},{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"class\",beginKeywords:\"aspect\",end:/[{;=]/,excludeEnd:!0,illegal:/[:;\"\\[\\]]/,contains:[{beginKeywords:\"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton\"},e.UNDERSCORE_TITLE_MODE,{begin:/\\([^\\)]*/,end:/[)]+/,keywords:t+\" get set args call\",excludeEnd:!1}]},{className:\"class\",beginKeywords:\"class interface\",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:\"class interface\",illegal:/[:\"\\[\\]]/,contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"pointcut after before around throwing returning\",end:/[)]/,excludeEnd:!1,illegal:/[\"\\[\\]]/,contains:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,contains:[e.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:t,illegal:/[\"\\[\\]]/,contains:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",keywords:t+\" get set args call\",relevance:0},e.QUOTE_STRING_MODE]},{beginKeywords:\"new throw\",relevance:0},{className:\"function\",begin:/\\w+ +\\w+(\\.)?\\w+\\s*\\([^\\)]*\\)\\s*((throws)[\\w\\s,]+)?[\\{;]/,returnBegin:!0,end:/[{;=]/,keywords:t,excludeEnd:!0,contains:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:\"params\",begin:/\\(/,end:/\\)/,relevance:0,keywords:t,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_NUMBER_MODE,{className:\"meta\",begin:\"@[A-Za-z]+\"}]}};var ii=function(e){var t={begin:\"`[\\\\s\\\\S]\"};return{name:\"AutoHotkey\",case_insensitive:!0,aliases:[\"ahk\"],keywords:{keyword:\"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group\",literal:\"true false NOT AND OR\",built_in:\"ComSpec Clipboard ClipboardAll ErrorLevel\"},contains:[t,e.inherit(e.QUOTE_STRING_MODE,{contains:[t]}),e.COMMENT(\";\",\"$\",{relevance:0}),e.C_BLOCK_COMMENT_MODE,{className:\"number\",begin:e.NUMBER_RE,relevance:0},{className:\"variable\",begin:\"%[a-zA-Z0-9#_$@]+%\"},{className:\"built_in\",begin:\"^\\\\s*\\\\w+\\\\s*(,|%)\"},{className:\"title\",variants:[{begin:'^[^\\\\n\";]+::(?!=)'},{begin:'^[^\\\\n\";]+:(?!=)',relevance:0}]},{className:\"meta\",begin:\"^\\\\s*#\\\\w+\",end:\"$\",relevance:0},{className:\"built_in\",begin:\"A_[a-zA-Z0-9]+\"},{begin:\",\\\\s*,\"}]}};var oi=function(e){var t={variants:[e.COMMENT(\";\",\"$\",{relevance:0}),e.COMMENT(\"#cs\",\"#ce\"),e.COMMENT(\"#comments-start\",\"#comments-end\")]},a={begin:\"\\\\$[A-z0-9_]+\"},n={className:\"string\",variants:[{begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},r={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{name:\"AutoIt\",case_insensitive:!0,illegal:/\\/\\*/,keywords:{keyword:\"ByRef Case Const ContinueCase ContinueLoop Default Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With\",built_in:\"Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait\",literal:\"True False And Null Not Or\"},contains:[t,a,n,r,{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"comments include include-once NoTrayIcon OnAutoItStartRegister pragma compile RequireAdmin\"},contains:[{begin:/\\\\\\n/,relevance:0},{beginKeywords:\"include\",keywords:{\"meta-keyword\":\"include\"},end:\"$\",contains:[n,{className:\"meta-string\",variants:[{begin:\"<\",end:\">\"},{begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},n,t]},{className:\"symbol\",begin:\"@[A-z0-9_]+\"},{className:\"function\",beginKeywords:\"Func\",end:\"$\",illegal:\"\\\\$|\\\\[|%\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:[a,n,r]}]}]}};var si=function(e){return{name:\"AVR Assembly\",case_insensitive:!0,lexemes:\"\\\\.?\"+e.IDENT_RE,keywords:{keyword:\"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr\",built_in:\"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf\",meta:\".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set\"},contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT(\";\",\"$\",{relevance:0}),e.C_NUMBER_MODE,e.BINARY_NUMBER_MODE,{className:\"number\",begin:\"\\\\b(\\\\$[a-zA-Z0-9]+|0o[0-7]+)\"},e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"[^\\\\\\\\]'\",illegal:\"[^\\\\\\\\][^']\"},{className:\"symbol\",begin:\"^[A-Za-z0-9_.$]+:\"},{className:\"meta\",begin:\"#\",end:\"$\"},{className:\"subst\",begin:\"@[0-9]+\"}]}};var li=function(e){return{name:\"Awk\",keywords:{keyword:\"BEGIN END if else while do for in break continue delete next nextfile function func exit|10\"},contains:[{className:\"variable\",variants:[{begin:/\\$[\\w\\d#@][\\w\\d_]*/},{begin:/\\$\\{(.*?)}/}]},{className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?\"\"\"/,end:/\"\"\"/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)\"/,end:/\"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)\"/,end:/\"/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.REGEXP_MODE,e.HASH_COMMENT_MODE,e.NUMBER_MODE]}};var _i=function(e){return{name:\"Dynamics 365\",keywords:\"false int abstract private char boolean static null if for true while long throw finally protected final return void enum else break new catch byte super case short default double public try this switch continue reverse firstfast firstonly forupdate nofetch sum avg minof maxof count order group by asc desc index hint like dispaly edit client server ttsbegin ttscommit str real date container anytype common div mod\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"#\",end:\"$\"},{className:\"class\",beginKeywords:\"class interface\",end:\"{\",excludeEnd:!0,illegal:\":\",contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]}]}};var ci=function(e){var t={},a={begin:/\\$\\{/,end:/\\}/,contains:[{begin:/:-/,contains:[t]}]};Object.assign(t,{className:\"variable\",variants:[{begin:/\\$[\\w\\d#@][\\w\\d_]*/},a]});var n={className:\"subst\",begin:/\\$\\(/,end:/\\)/,contains:[e.BACKSLASH_ESCAPE]},r={className:\"string\",begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,t,n]};n.contains.push(r);var i={begin:/\\$\\(\\(/,end:/\\)\\)/,contains:[{begin:/\\d+#[0-9a-f]+/,className:\"number\"},e.NUMBER_MODE,t]};return{name:\"Bash\",aliases:[\"sh\",\"zsh\"],lexemes:/\\b-?[a-z\\._]+\\b/,keywords:{keyword:\"if then else elif fi for while in do done case esac function\",literal:\"true false\",built_in:\"break cd continue eval exec exit export getopts hash pwd readonly return shift test times trap umask unset alias bind builtin caller command declare echo enable help let local logout mapfile printf read readarray source type typeset ulimit unalias set shopt autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate fc fg float functions getcap getln history integer jobs kill limit log noglob popd print pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof zpty zregexparse zsocket zstyle ztcp\",_:\"-ne -eq -lt -gt -f -d -e -s -l -a\"},contains:[{className:\"meta\",begin:/^#![^\\n]+sh\\s*$/,relevance:10},{className:\"function\",begin:/\\w[\\w\\d_]*\\s*\\(\\s*\\)\\s*\\{/,returnBegin:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/\\w[\\w\\d_]*/})],relevance:0},i,e.HASH_COMMENT_MODE,r,{className:\"\",begin:/\\\\\"/},{className:\"string\",begin:/'/,end:/'/},t]}};var di=function(e){return{name:\"BASIC\",case_insensitive:!0,illegal:\"^.\",lexemes:\"[a-zA-Z][a-zA-Z0-9_$%!#]*\",keywords:{keyword:\"ABS ASC AND ATN AUTO|0 BEEP BLOAD|10 BSAVE|10 CALL CALLS CDBL CHAIN CHDIR CHR$|10 CINT CIRCLE CLEAR CLOSE CLS COLOR COM COMMON CONT COS CSNG CSRLIN CVD CVI CVS DATA DATE$ DEFDBL DEFINT DEFSNG DEFSTR DEF|0 SEG USR DELETE DIM DRAW EDIT END ENVIRON ENVIRON$ EOF EQV ERASE ERDEV ERDEV$ ERL ERR ERROR EXP FIELD FILES FIX FOR|0 FRE GET GOSUB|10 GOTO HEX$ IF THEN ELSE|0 INKEY$ INP INPUT INPUT# INPUT$ INSTR IMP INT IOCTL IOCTL$ KEY ON OFF LIST KILL LEFT$ LEN LET LINE LLIST LOAD LOC LOCATE LOF LOG LPRINT USING LSET MERGE MID$ MKDIR MKD$ MKI$ MKS$ MOD NAME NEW NEXT NOISE NOT OCT$ ON OR PEN PLAY STRIG OPEN OPTION BASE OUT PAINT PALETTE PCOPY PEEK PMAP POINT POKE POS PRINT PRINT] PSET PRESET PUT RANDOMIZE READ REM RENUM RESET|0 RESTORE RESUME RETURN|0 RIGHT$ RMDIR RND RSET RUN SAVE SCREEN SGN SHELL SIN SOUND SPACE$ SPC SQR STEP STICK STOP STR$ STRING$ SWAP SYSTEM TAB TAN TIME$ TIMER TROFF TRON TO USR VAL VARPTR VARPTR$ VIEW WAIT WHILE WEND WIDTH WINDOW WRITE XOR\"},contains:[e.QUOTE_STRING_MODE,e.COMMENT(\"REM\",\"$\",{relevance:10}),e.COMMENT(\"'\",\"$\",{relevance:0}),{className:\"symbol\",begin:\"^[0-9]+ \",relevance:10},{className:\"number\",begin:\"\\\\b([0-9]+[0-9edED.]*[#!]?)\",relevance:0},{className:\"number\",begin:\"(&[hH][0-9a-fA-F]{1,4})\"},{className:\"number\",begin:\"(&[oO][0-7]{1,6})\"}]}};var ui=function(e){return{name:\"Backus–Naur Form\",contains:[{className:\"attribute\",begin:/</,end:/>/},{begin:/::=/,end:/$/,contains:[{begin:/</,end:/>/},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}]}};var mi=function(e){var t={className:\"literal\",begin:\"[\\\\+\\\\-]\",relevance:0};return{name:\"Brainfuck\",aliases:[\"bf\"],contains:[e.COMMENT(\"[^\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\\n]\",\"[\\\\[\\\\]\\\\.,\\\\+\\\\-<> \\r\\n]\",{returnEnd:!0,relevance:0}),{className:\"title\",begin:\"[\\\\[\\\\]]\",relevance:0},{className:\"string\",begin:\"[\\\\.,]\",relevance:0},{begin:/(?:\\+\\+|\\-\\-)/,contains:[t]},t]}};var pi=function(e){var t=e.getLanguage(\"c-like\").rawDefinition();return t.name=\"C\",t.aliases=[\"c\",\"h\"],t};var Ei=function(e){var t=\"div mod in and or not xor asserterror begin case do downto else end exit for if of repeat then to until while with var\",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\\{/,/\\}/,{relevance:0}),e.COMMENT(/\\(\\*/,/\\*\\)/,{relevance:10})],n={className:\"string\",begin:/'/,end:/'/,contains:[{begin:/''/}]},r={className:\"string\",begin:/(#\\d+)+/},i={className:\"function\",beginKeywords:\"procedure\",end:/[:;]/,keywords:\"procedure|10\",contains:[e.TITLE_MODE,{className:\"params\",begin:/\\(/,end:/\\)/,keywords:t,contains:[n,r]}].concat(a)},o={className:\"class\",begin:\"OBJECT (Table|Form|Report|Dataport|Codeunit|XMLport|MenuSuite|Page|Query) (\\\\d+) ([^\\\\r\\\\n]+)\",returnBegin:!0,contains:[e.TITLE_MODE,i]};return{name:\"C/AL\",case_insensitive:!0,keywords:{keyword:t,literal:\"false true\"},illegal:/\\/\\*/,contains:[n,r,{className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(DT|D|T)\",relevance:0},{className:\"string\",begin:'\"',end:'\"'},e.NUMBER_MODE,o,i]}};var gi=function(e){return{name:\"Cap’n Proto\",aliases:[\"capnp\"],keywords:{keyword:\"struct enum interface union group import using const annotation extends in of on as with from fixed\",built_in:\"Void Bool Int8 Int16 Int32 Int64 UInt8 UInt16 UInt32 UInt64 Float32 Float64 Text Data AnyPointer AnyStruct Capability List\",literal:\"true false\"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.HASH_COMMENT_MODE,{className:\"meta\",begin:/@0x[\\w\\d]{16};/,illegal:/\\n/},{className:\"symbol\",begin:/@\\d+\\b/},{className:\"class\",beginKeywords:\"struct enum\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:\"class\",beginKeywords:\"interface\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]}]}};var Si=function(e){var t=\"assembly module package import alias class interface object given value assign void function new of extends satisfies abstracts in out return break continue throw assert dynamic if else switch case for while try catch finally then let this outer super is exists nonempty\",a={className:\"subst\",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:t,relevance:10},n=[{className:\"string\",begin:'\"\"\"',end:'\"\"\"',relevance:10},{className:\"string\",begin:'\"',end:'\"',contains:[a]},{className:\"string\",begin:\"'\",end:\"'\"},{className:\"number\",begin:\"#[0-9a-fA-F_]+|\\\\$[01_]+|[0-9_]+(?:\\\\.[0-9_](?:[eE][+-]?\\\\d+)?)?[kMGTPmunpf]?\",relevance:0}];return a.contains=n,{name:\"Ceylon\",keywords:{keyword:t+\" shared abstract formal default actual variable late native deprecated final sealed annotation suppressWarnings small\",meta:\"doc by license see throws tagged\"},illegal:\"\\\\$[^01]|#[^0-9a-fA-F]\",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[\"self\"]}),{className:\"meta\",begin:'@[a-z]\\\\w*(?:\\\\:\"[^\"]*\")?'}].concat(n)}};var Ti=function(e){return{name:\"Clean\",aliases:[\"clean\",\"icl\",\"dcl\"],keywords:{keyword:\"if let in with where case of class instance otherwise implementation definition system module from import qualified as special code inline foreign export ccall stdcall generic derive infix infixl infixr\",built_in:\"Int Real Char Bool\",literal:\"True False\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:\"->|<-[|:]?|#!?|>>=|\\\\{\\\\||\\\\|\\\\}|:==|=:|<>\"}]}};var bi=function(e){var t=\"def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord\",a={\"builtin-name\":t+\" cond apply if-not if-let if not not= = < > <= >= == + / * - rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize\"},n=\"[a-zA-Z_\\\\-!.?+*=<>&#'][a-zA-Z_\\\\-!.?+*=<>&#'0-9/;:]*\",r={begin:n,relevance:0},i={className:\"number\",begin:\"[-+]?\\\\d+(\\\\.\\\\d+)?\",relevance:0},o=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),s=e.COMMENT(\";\",\"$\",{relevance:0}),l={className:\"literal\",begin:/\\b(true|false|nil)\\b/},_={begin:\"[\\\\[\\\\{]\",end:\"[\\\\]\\\\}]\"},c={className:\"comment\",begin:\"\\\\^\"+n},d=e.COMMENT(\"\\\\^\\\\{\",\"\\\\}\"),u={className:\"symbol\",begin:\"[:]{1,2}\"+n},m={begin:\"\\\\(\",end:\"\\\\)\"},p={endsWithParent:!0,relevance:0},E={keywords:a,lexemes:n,className:\"name\",begin:n,starts:p},g=[m,o,c,d,s,u,_,i,l,r],S={beginKeywords:t,lexemes:n,end:'(\\\\[|\\\\#|\\\\d|\"|:|\\\\{|\\\\)|\\\\(|$)',contains:[{className:\"title\",begin:n,relevance:0,excludeEnd:!0,endsParent:!0}].concat(g)};return m.contains=[e.COMMENT(\"comment\",\"\"),S,E,p],p.contains=g,_.contains=g,d.contains=[_],{name:\"Clojure\",aliases:[\"clj\"],illegal:/\\S/,contains:[m,o,c,d,s,u,_,i,l]}};var fi=function(e){return{name:\"Clojure REPL\",contains:[{className:\"meta\",begin:/^([\\w.-]+|\\s*#_)?=>/,starts:{end:/$/,subLanguage:\"clojure\"}}]}};var Ci=function(e){return{name:\"CMake\",aliases:[\"cmake.in\"],case_insensitive:!0,keywords:{keyword:\"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined\"},contains:[{className:\"variable\",begin:\"\\\\${\",end:\"}\"},e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}};var Ri=function(e){var t={keyword:\"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger super yield import export from as default await then unless until loop of by when and or is isnt not\",literal:\"true false null undefined yes no on off\",built_in:\"npm require console print module global window document\"},a=\"[A-Za-z$_][0-9A-Za-z$_]*\",n={className:\"subst\",begin:/#\\{/,end:/}/,keywords:t},r=[e.BINARY_NUMBER_MODE,e.inherit(e.C_NUMBER_MODE,{starts:{end:\"(\\\\s*/)?\",relevance:0}}),{className:\"string\",variants:[{begin:/'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/\"\"\"/,end:/\"\"\"/,contains:[e.BACKSLASH_ESCAPE,n]},{begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,n]}]},{className:\"regexp\",variants:[{begin:\"///\",end:\"///\",contains:[n,e.HASH_COMMENT_MODE]},{begin:\"//[gim]{0,3}(?=\\\\W)\",relevance:0},{begin:/\\/(?![ *]).*?(?![\\\\]).\\/[gim]{0,3}(?=\\W)/}]},{begin:\"@\"+a},{subLanguage:\"javascript\",excludeBegin:!0,excludeEnd:!0,variants:[{begin:\"```\",end:\"```\"},{begin:\"`\",end:\"`\"}]}];n.contains=r;var i=e.inherit(e.TITLE_MODE,{begin:a}),o={className:\"params\",begin:\"\\\\([^\\\\(]\",returnBegin:!0,contains:[{begin:/\\(/,end:/\\)/,keywords:t,contains:[\"self\"].concat(r)}]};return{name:\"CoffeeScript\",aliases:[\"coffee\",\"cson\",\"iced\"],keywords:t,illegal:/\\/\\*/,contains:r.concat([e.COMMENT(\"###\",\"###\"),e.HASH_COMMENT_MODE,{className:\"function\",begin:\"^\\\\s*\"+a+\"\\\\s*=\\\\s*(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>\",end:\"[-=]>\",returnBegin:!0,contains:[i,o]},{begin:/[:\\(,=]\\s*/,relevance:0,contains:[{className:\"function\",begin:\"(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>\",end:\"[-=]>\",returnBegin:!0,contains:[o]}]},{className:\"class\",beginKeywords:\"class\",end:\"$\",illegal:/[:=\"\\[\\]]/,contains:[{beginKeywords:\"extends\",endsWithParent:!0,illegal:/[:=\"\\[\\]]/,contains:[i]},i]},{begin:a+\":\",end:\":\",returnBegin:!0,returnEnd:!0,relevance:0}])}};var Ni=function(e){return{name:\"Coq\",keywords:{keyword:\"_|0 as at cofix else end exists exists2 fix for forall fun if IF in let match mod Prop return Set then Type using where with Abort About Add Admit Admitted All Arguments Assumptions Axiom Back BackTo Backtrack Bind Blacklist Canonical Cd Check Class Classes Close Coercion Coercions CoFixpoint CoInductive Collection Combined Compute Conjecture Conjectures Constant constr Constraint Constructors Context Corollary CreateHintDb Cut Declare Defined Definition Delimit Dependencies Dependent Derive Drop eauto End Equality Eval Example Existential Existentials Existing Export exporting Extern Extract Extraction Fact Field Fields File Fixpoint Focus for From Function Functional Generalizable Global Goal Grab Grammar Graph Guarded Heap Hint HintDb Hints Hypotheses Hypothesis ident Identity If Immediate Implicit Import Include Inductive Infix Info Initial Inline Inspect Instance Instances Intro Intros Inversion Inversion_clear Language Left Lemma Let Libraries Library Load LoadPath Local Locate Ltac ML Mode Module Modules Monomorphic Morphism Next NoInline Notation Obligation Obligations Opaque Open Optimize Options Parameter Parameters Parametric Path Paths pattern Polymorphic Preterm Print Printing Program Projections Proof Proposition Pwd Qed Quit Rec Record Recursive Redirect Relation Remark Remove Require Reserved Reset Resolve Restart Rewrite Right Ring Rings Save Scheme Scope Scopes Script Search SearchAbout SearchHead SearchPattern SearchRewrite Section Separate Set Setoid Show Solve Sorted Step Strategies Strategy Structure SubClass Table Tables Tactic Term Test Theorem Time Timeout Transparent Type Typeclasses Types Undelimit Undo Unfocus Unfocused Unfold Universe Universes Unset Unshelve using Variable Variables Variant Verbose Visibility where with\",built_in:\"abstract absurd admit after apply as assert assumption at auto autorewrite autounfold before bottom btauto by case case_eq cbn cbv change classical_left classical_right clear clearbody cofix compare compute congruence constr_eq constructor contradict contradiction cut cutrewrite cycle decide decompose dependent destruct destruction dintuition discriminate discrR do double dtauto eapply eassumption eauto ecase econstructor edestruct ediscriminate eelim eexact eexists einduction einjection eleft elim elimtype enough equality erewrite eright esimplify_eq esplit evar exact exactly_once exfalso exists f_equal fail field field_simplify field_simplify_eq first firstorder fix fold fourier functional generalize generalizing gfail give_up has_evar hnf idtac in induction injection instantiate intro intro_pattern intros intuition inversion inversion_clear is_evar is_var lapply lazy left lia lra move native_compute nia nsatz omega once pattern pose progress proof psatz quote record red refine reflexivity remember rename repeat replace revert revgoals rewrite rewrite_strat right ring ring_simplify rtauto set setoid_reflexivity setoid_replace setoid_rewrite setoid_symmetry setoid_transitivity shelve shelve_unifiable simpl simple simplify_eq solve specialize split split_Rabs split_Rmult stepl stepr subst sum swap symmetry tactic tauto time timeout top transitivity trivial try tryif unfold unify until using vm_compute with\"},contains:[e.QUOTE_STRING_MODE,e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\"),e.C_NUMBER_MODE,{className:\"type\",excludeBegin:!0,begin:\"\\\\|\\\\s*\",end:\"\\\\w+\"},{begin:/[-=]>/}]}};var Oi=function(e){return{name:\"Caché Object Script\",case_insensitive:!0,aliases:[\"cos\",\"cls\"],keywords:\"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii\",contains:[{className:\"number\",begin:\"\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)\",relevance:0},{className:\"string\",variants:[{begin:'\"',end:'\"',contains:[{begin:'\"\"',relevance:0}]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"comment\",begin:/;/,end:\"$\",relevance:0},{className:\"built_in\",begin:/(?:\\$\\$?|\\.\\.)\\^?[a-zA-Z]+/},{className:\"built_in\",begin:/\\$\\$\\$[a-zA-Z]+/},{className:\"built_in\",begin:/%[a-z]+(?:\\.[a-z]+)*/},{className:\"symbol\",begin:/\\^%?[a-zA-Z][\\w]*/},{className:\"keyword\",begin:/##class|##super|#define|#dim/},{begin:/&sql\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:\"sql\"},{begin:/&(js|jscript|javascript)</,end:/>/,excludeBegin:!0,excludeEnd:!0,subLanguage:\"javascript\"},{begin:/&html<\\s*</,end:/>\\s*>/,subLanguage:\"xml\"}]}};var vi=function(e){var t=\"group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml\";return{name:\"crmsh\",aliases:[\"crm\",\"pcmk\"],case_insensitive:!0,keywords:{keyword:\"params meta operations op rule attributes utilization read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\\\ number string\",literal:\"Master Started Slave Stopped start promote demote stop monitor true false\"},contains:[e.HASH_COMMENT_MODE,{beginKeywords:\"node\",starts:{end:\"\\\\s*([\\\\w_-]+:)?\",starts:{className:\"title\",end:\"\\\\s*[\\\\$\\\\w_][\\\\w_-]*\"}}},{beginKeywords:\"primitive rsc_template\",starts:{className:\"title\",end:\"\\\\s*[\\\\$\\\\w_][\\\\w_-]*\",starts:{end:\"\\\\s*@?[\\\\w_][\\\\w_\\\\.:-]*\"}}},{begin:\"\\\\b(\"+t.split(\" \").join(\"|\")+\")\\\\s+\",keywords:t,starts:{className:\"title\",end:\"[\\\\$\\\\w_][\\\\w_-]*\"}},{beginKeywords:\"property rsc_defaults op_defaults\",starts:{className:\"title\",end:\"\\\\s*([\\\\w_-]+:)?\"}},e.QUOTE_STRING_MODE,{className:\"meta\",begin:\"(ocf|systemd|service|lsb):[\\\\w_:-]+\",relevance:0},{className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(ms|s|h|m)?\",relevance:0},{className:\"literal\",begin:\"[-]?(infinity|inf)\",relevance:0},{className:\"attr\",begin:/([A-Za-z\\$_\\#][\\w_-]+)=/,relevance:0},{className:\"tag\",begin:\"</?\",end:\"/?>\",relevance:0}]}};var Ii=function(e){var t=\"(_*[ui](8|16|32|64|128))?\",a=\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\\\*\\\\*|\\\\[\\\\][=?]?\",n={keyword:\"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__\",literal:\"false nil true\"},r={className:\"subst\",begin:\"#{\",end:\"}\",keywords:n},i={className:\"template-variable\",variants:[{begin:\"\\\\{\\\\{\",end:\"\\\\}\\\\}\"},{begin:\"\\\\{%\",end:\"%\\\\}\"}],keywords:n};function o(e,t){var a=[{begin:e,end:t}];return a[0].contains=a,a}var s={className:\"string\",contains:[e.BACKSLASH_ESCAPE,r],variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/`/,end:/`/},{begin:\"%[Qwi]?\\\\(\",end:\"\\\\)\",contains:o(\"\\\\(\",\"\\\\)\")},{begin:\"%[Qwi]?\\\\[\",end:\"\\\\]\",contains:o(\"\\\\[\",\"\\\\]\")},{begin:\"%[Qwi]?{\",end:\"}\",contains:o(\"{\",\"}\")},{begin:\"%[Qwi]?<\",end:\">\",contains:o(\"<\",\">\")},{begin:\"%[Qwi]?\\\\|\",end:\"\\\\|\"},{begin:/<<-\\w+$/,end:/^\\s*\\w+$/}],relevance:0},l={className:\"string\",variants:[{begin:\"%q\\\\(\",end:\"\\\\)\",contains:o(\"\\\\(\",\"\\\\)\")},{begin:\"%q\\\\[\",end:\"\\\\]\",contains:o(\"\\\\[\",\"\\\\]\")},{begin:\"%q{\",end:\"}\",contains:o(\"{\",\"}\")},{begin:\"%q<\",end:\">\",contains:o(\"<\",\">\")},{begin:\"%q\\\\|\",end:\"\\\\|\"},{begin:/<<-'\\w+'$/,end:/^\\s*\\w+$/}],relevance:0},_={begin:\"(?!%})(\"+e.RE_STARTERS_RE+\"|\\\\n|\\\\b(case|if|select|unless|until|when|while)\\\\b)\\\\s*\",keywords:\"case if select unless until when while\",contains:[{className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,r],variants:[{begin:\"//[a-z]*\",relevance:0},{begin:\"/(?!\\\\/)\",end:\"/[a-z]*\"}]}],relevance:0},c=[i,s,l,{className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,r],variants:[{begin:\"%r\\\\(\",end:\"\\\\)\",contains:o(\"\\\\(\",\"\\\\)\")},{begin:\"%r\\\\[\",end:\"\\\\]\",contains:o(\"\\\\[\",\"\\\\]\")},{begin:\"%r{\",end:\"}\",contains:o(\"{\",\"}\")},{begin:\"%r<\",end:\">\",contains:o(\"<\",\">\")},{begin:\"%r\\\\|\",end:\"\\\\|\"}],relevance:0},_,{className:\"meta\",begin:\"@\\\\[\",end:\"\\\\]\",contains:[e.inherit(e.QUOTE_STRING_MODE,{className:\"meta-string\"})]},e.HASH_COMMENT_MODE,{className:\"class\",beginKeywords:\"class module struct\",end:\"$|;\",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?\"}),{begin:\"<\"}]},{className:\"class\",beginKeywords:\"lib enum union\",end:\"$|;\",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?\"})],relevance:10},{beginKeywords:\"annotation\",end:\"$|;\",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?\"})],relevance:10},{className:\"function\",beginKeywords:\"def\",end:/\\B\\b/,contains:[e.inherit(e.TITLE_MODE,{begin:a,endsParent:!0})]},{className:\"function\",beginKeywords:\"fun macro\",end:/\\B\\b/,contains:[e.inherit(e.TITLE_MODE,{begin:a,endsParent:!0})],relevance:5},{className:\"symbol\",begin:e.UNDERSCORE_IDENT_RE+\"(\\\\!|\\\\?)?:\",relevance:0},{className:\"symbol\",begin:\":\",contains:[s,{begin:a}],relevance:0},{className:\"number\",variants:[{begin:\"\\\\b0b([01_]+)\"+t},{begin:\"\\\\b0o([0-7_]+)\"+t},{begin:\"\\\\b0x([A-Fa-f0-9_]+)\"+t},{begin:\"\\\\b([1-9][0-9_]*[0-9]|[0-9])(\\\\.[0-9][0-9_]*)?([eE]_*[-+]?[0-9_]*)?(_*f(32|64))?(?!_)\"},{begin:\"\\\\b([1-9][0-9_]*|0)\"+t}],relevance:0}];return r.contains=c,i.contains=c.slice(1),{name:\"Crystal\",aliases:[\"cr\"],lexemes:\"[a-zA-Z_]\\\\w*[!?=]?\",keywords:n,contains:c}};var hi=function(e){var t={keyword:\"abstract as base bool break byte case catch char checked const continue decimal default delegate do double enum event explicit extern finally fixed float for foreach goto if implicit in int interface internal is lock long object operator out override params private protected public readonly ref sbyte sealed short sizeof stackalloc static string struct switch this try typeof uint ulong unchecked unsafe ushort using virtual void volatile while add alias ascending async await by descending dynamic equals from get global group into join let nameof on orderby partial remove select set value var when where yield\",literal:\"null false true\"},a=e.inherit(e.TITLE_MODE,{begin:\"[a-zA-Z](\\\\.?\\\\w)*\"}),n={className:\"number\",variants:[{begin:\"\\\\b(0b[01']+)\"},{begin:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)(u|U|l|L|ul|UL|f|F|b|B)\"},{begin:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},r={className:\"string\",begin:'@\"',end:'\"',contains:[{begin:'\"\"'}]},i=e.inherit(r,{illegal:/\\n/}),o={className:\"subst\",begin:\"{\",end:\"}\",keywords:t},s=e.inherit(o,{illegal:/\\n/}),l={className:\"string\",begin:/\\$\"/,end:'\"',illegal:/\\n/,contains:[{begin:\"{{\"},{begin:\"}}\"},e.BACKSLASH_ESCAPE,s]},_={className:\"string\",begin:/\\$@\"/,end:'\"',contains:[{begin:\"{{\"},{begin:\"}}\"},{begin:'\"\"'},o]},c=e.inherit(_,{illegal:/\\n/,contains:[{begin:\"{{\"},{begin:\"}}\"},{begin:'\"\"'},s]});o.contains=[_,l,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,n,e.C_BLOCK_COMMENT_MODE],s.contains=[c,l,i,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,n,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\\n/})];var d={variants:[_,l,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},u=e.IDENT_RE+\"(<\"+e.IDENT_RE+\"(\\\\s*,\\\\s*\"+e.IDENT_RE+\")*>)?(\\\\[\\\\])?\",m={begin:\"@\"+e.IDENT_RE,relevance:0};return{name:\"C#\",aliases:[\"cs\",\"c#\"],keywords:t,illegal:/::/,contains:[e.COMMENT(\"///\",\"$\",{returnBegin:!0,contains:[{className:\"doctag\",variants:[{begin:\"///\",relevance:0},{begin:\"\\x3c!--|--\\x3e\"},{begin:\"</?\",end:\">\"}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line region endregion pragma checksum\"}},d,n,{beginKeywords:\"class interface\",end:/[{;=]/,illegal:/[^\\s:,]/,contains:[{beginKeywords:\"where class\"},a,{begin:\"<\",end:\">\",keywords:\"in out\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:\"namespace\",end:/[{;=]/,illegal:/[^\\s:]/,contains:[a,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:\"meta\",begin:\"^\\\\s*\\\\[\",excludeBegin:!0,end:\"\\\\]\",excludeEnd:!0,contains:[{className:\"meta-string\",begin:/\"/,end:/\"/}]},{beginKeywords:\"new return throw await else\",relevance:0},{className:\"function\",begin:\"(\"+u+\"\\\\s+)+\"+e.IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,end:/\\s*[{;=]/,excludeEnd:!0,keywords:t,contains:[{begin:e.IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,contains:[e.TITLE_MODE],relevance:0},{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:t,relevance:0,contains:[d,n,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},m]}};var Ai=function(e){return{name:\"CSP\",case_insensitive:!1,lexemes:\"[a-zA-Z][a-zA-Z0-9_-]*\",keywords:{keyword:\"base-uri child-src connect-src default-src font-src form-action frame-ancestors frame-src img-src media-src object-src plugin-types report-uri sandbox script-src style-src\"},contains:[{className:\"string\",begin:\"'\",end:\"'\"},{className:\"attribute\",begin:\"^Content\",end:\":\",excludeEnd:!0}]}};var yi=function(e){var t={begin:/(?:[A-Z\\_\\.\\-]+|--[a-zA-Z0-9_-]+)\\s*:/,returnBegin:!0,end:\";\",endsWithParent:!0,contains:[{className:\"attribute\",begin:/\\S/,end:\":\",excludeEnd:!0,starts:{endsWithParent:!0,excludeEnd:!0,contains:[{begin:/[\\w-]+\\(/,returnBegin:!0,contains:[{className:\"built_in\",begin:/[\\w-]+/},{begin:/\\(/,end:/\\)/,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE]}]},e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"number\",begin:\"#[0-9A-Fa-f]+\"},{className:\"meta\",begin:\"!important\"}]}}]};return{name:\"CSS\",case_insensitive:!0,illegal:/[=\\/|'\\$]/,contains:[e.C_BLOCK_COMMENT_MODE,{className:\"selector-id\",begin:/#[A-Za-z0-9_-]+/},{className:\"selector-class\",begin:/\\.[A-Za-z0-9_-]+/},{className:\"selector-attr\",begin:/\\[/,end:/\\]/,illegal:\"$\",contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},{className:\"selector-pseudo\",begin:/:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/},{begin:\"@(page|font-face)\",lexemes:\"@[a-z-]+\",keywords:\"@page @font-face\"},{begin:\"@\",end:\"[{;]\",illegal:/:/,returnBegin:!0,contains:[{className:\"keyword\",begin:/@\\-?\\w[\\w]*(\\-\\w+)*/},{begin:/\\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:\"and or not only\",contains:[{begin:/[a-z-]+:/,className:\"attribute\"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE]}]},{className:\"selector-tag\",begin:\"[a-zA-Z-][a-zA-Z0-9_-]*\",relevance:0},{begin:\"{\",end:\"}\",illegal:/\\S/,contains:[e.C_BLOCK_COMMENT_MODE,t]}]}};var Di=function(e){var t=\"((0|[1-9][\\\\d_]*)|0[bB][01_]+|0[xX]([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*))\",a=\"\\\\\\\\(['\\\"\\\\?\\\\\\\\abfnrtv]|u[\\\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\\\dA-Fa-f]{2}|U[\\\\dA-Fa-f]{8})|&[a-zA-Z\\\\d]{2,};\",n={className:\"number\",begin:\"\\\\b\"+t+\"(L|u|U|Lu|LU|uL|UL)?\",relevance:0},r={className:\"number\",begin:\"\\\\b(((0[xX](([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*)\\\\.([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*)|\\\\.?([\\\\da-fA-F][\\\\da-fA-F_]*|_[\\\\da-fA-F][\\\\da-fA-F_]*))[pP][+-]?(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d))|((0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)(\\\\.\\\\d*|([eE][+-]?(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)))|\\\\d+\\\\.(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d)|\\\\.(0|[1-9][\\\\d_]*)([eE][+-]?(0|[1-9][\\\\d_]*|\\\\d[\\\\d_]*|[\\\\d_]+?\\\\d))?))([fF]|L|i|[fF]i|Li)?|\"+t+\"(i|[fF]i|Li))\",relevance:0},i={className:\"string\",begin:\"'(\"+a+\"|.)\",end:\"'\",illegal:\".\"},o={className:\"string\",begin:'\"',contains:[{begin:a,relevance:0}],end:'\"[cwd]?'},s=e.COMMENT(\"\\\\/\\\\+\",\"\\\\+\\\\/\",{contains:[\"self\"],relevance:10});return{name:\"D\",lexemes:e.UNDERSCORE_IDENT_RE,keywords:{keyword:\"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__\",built_in:\"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring\",literal:\"false null true\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,{className:\"string\",begin:'x\"[\\\\da-fA-F\\\\s\\\\n\\\\r]*\"[cwd]?',relevance:10},o,{className:\"string\",begin:'[rq]\"',end:'\"[cwd]?',relevance:5},{className:\"string\",begin:\"`\",end:\"`[cwd]?\"},{className:\"string\",begin:'q\"\\\\{',end:'\\\\}\"'},r,n,i,{className:\"meta\",begin:\"^#!\",end:\"$\",relevance:5},{className:\"meta\",begin:\"#(line)\",end:\"$\",relevance:5},{className:\"keyword\",begin:\"@[a-zA-Z_][a-zA-Z_\\\\d]*\"}]}};var Mi=function(e){var t={begin:\"<\",end:\">\",subLanguage:\"xml\",relevance:0},a={begin:\"\\\\[.+?\\\\][\\\\(\\\\[].*?[\\\\)\\\\]]\",returnBegin:!0,contains:[{className:\"string\",begin:\"\\\\[\",end:\"\\\\]\",excludeBegin:!0,returnEnd:!0,relevance:0},{className:\"link\",begin:\"\\\\]\\\\(\",end:\"\\\\)\",excludeBegin:!0,excludeEnd:!0},{className:\"symbol\",begin:\"\\\\]\\\\[\",end:\"\\\\]\",excludeBegin:!0,excludeEnd:!0}],relevance:10},n={className:\"strong\",contains:[],variants:[{begin:/_{2}/,end:/_{2}/},{begin:/\\*{2}/,end:/\\*{2}/}]},r={className:\"emphasis\",contains:[],variants:[{begin:/\\*(?!\\*)/,end:/\\*/},{begin:/_(?!_)/,end:/_/,relevance:0}]};n.contains.push(r),r.contains.push(n);var i=[t,a];return n.contains=n.contains.concat(i),r.contains=r.contains.concat(i),{name:\"Markdown\",aliases:[\"md\",\"mkdown\",\"mkd\"],contains:[{className:\"section\",variants:[{begin:\"^#{1,6}\",end:\"$\",contains:i=i.concat(n,r)},{begin:\"(?=^.+?\\\\n[=-]{2,}$)\",contains:[{begin:\"^[=-]*$\"},{begin:\"^\",end:\"\\\\n\",contains:i}]}]},t,{className:\"bullet\",begin:\"^[ \\t]*([*+-]|(\\\\d+\\\\.))(?=\\\\s+)\",end:\"\\\\s+\",excludeEnd:!0},n,r,{className:\"quote\",begin:\"^>\\\\s+\",contains:i,end:\"$\"},{className:\"code\",variants:[{begin:\"(`{3,})(.|\\\\n)*?\\\\1`*[ ]*\"},{begin:\"(~{3,})(.|\\\\n)*?\\\\1~*[ ]*\"},{begin:\"```\",end:\"```+[ ]*$\"},{begin:\"~~~\",end:\"~~~+[ ]*$\"},{begin:\"`.+?`\"},{begin:\"(?=^( {4}|\\\\t))\",contains:[{begin:\"^( {4}|\\\\t)\",end:\"(\\\\n)$\"}],relevance:0}]},{begin:\"^[-\\\\*]{3,}\",end:\"$\"},a,{begin:/^\\[[^\\n]+\\]:/,returnBegin:!0,contains:[{className:\"symbol\",begin:/\\[/,end:/\\]/,excludeBegin:!0,excludeEnd:!0},{className:\"link\",begin:/:\\s*/,end:/$/,excludeBegin:!0}]}]}};var Li=function(e){var t={className:\"subst\",variants:[{begin:\"\\\\$[A-Za-z0-9_]+\"}]},a={className:\"subst\",variants:[{begin:\"\\\\${\",end:\"}\"}],keywords:\"true false null this is new super\"},n={className:\"string\",variants:[{begin:\"r'''\",end:\"'''\"},{begin:'r\"\"\"',end:'\"\"\"'},{begin:\"r'\",end:\"'\",illegal:\"\\\\n\"},{begin:'r\"',end:'\"',illegal:\"\\\\n\"},{begin:\"'''\",end:\"'''\",contains:[e.BACKSLASH_ESCAPE,t,a]},{begin:'\"\"\"',end:'\"\"\"',contains:[e.BACKSLASH_ESCAPE,t,a]},{begin:\"'\",end:\"'\",illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,t,a]},{begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,t,a]}]};return a.contains=[e.C_NUMBER_MODE,n],{name:\"Dart\",keywords:{keyword:\"abstract as assert async await break case catch class const continue covariant default deferred do dynamic else enum export extends extension external factory false final finally for Function get hide if implements import in inferface is library mixin new null on operator part rethrow return set show static super switch sync this throw true try typedef var void while with yield\",built_in:\"Comparable DateTime Duration Function Iterable Iterator List Map Match Null Object Pattern RegExp Set Stopwatch String StringBuffer StringSink Symbol Type Uri bool double dynamic int num print Element ElementList document querySelector querySelectorAll window\"},contains:[n,e.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{subLanguage:\"markdown\",relevance:0}),e.COMMENT(\"///+\\\\s*\",\"$\",{contains:[{subLanguage:\"markdown\",begin:\".\",end:\"$\",relevance:0}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"class\",beginKeywords:\"class interface\",end:\"{\",excludeEnd:!0,contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:\"meta\",begin:\"@[A-Za-z]+\"},{begin:\"=>\"}]}};var xi=function(e){var t=\"exports register file shl array record property for mod while set ally label uses raise not stored class safecall var interface or private static exit index inherited to else stdcall override shr asm far resourcestring finalization packed virtual out and protected library do xorwrite goto near function end div overload object unit begin string on inline repeat until destructor write message program with read initialization except default nil if case cdecl in downto threadvar of try pascal const external constructor type public then implementation finally published procedure absolute reintroduce operator as is abstract alias assembler bitpacked break continue cppdecl cvar enumerator experimental platform deprecated unimplemented dynamic export far16 forward generic helper implements interrupt iochecks local name nodefault noreturn nostackframe oldfpccall otherwise saveregisters softfloat specialize strict unaligned varargs \",a=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\\{/,/\\}/,{relevance:0}),e.COMMENT(/\\(\\*/,/\\*\\)/,{relevance:10})],n={className:\"meta\",variants:[{begin:/\\{\\$/,end:/\\}/},{begin:/\\(\\*\\$/,end:/\\*\\)/}]},r={className:\"string\",begin:/'/,end:/'/,contains:[{begin:/''/}]},i={className:\"string\",begin:/(#\\d+)+/},o={begin:e.IDENT_RE+\"\\\\s*=\\\\s*class\\\\s*\\\\(\",returnBegin:!0,contains:[e.TITLE_MODE]},s={className:\"function\",beginKeywords:\"function constructor destructor procedure\",end:/[:;]/,keywords:\"function constructor|10 destructor|10 procedure|10\",contains:[e.TITLE_MODE,{className:\"params\",begin:/\\(/,end:/\\)/,keywords:t,contains:[r,i,n].concat(a)},n].concat(a)};return{name:\"Delphi\",aliases:[\"dpr\",\"dfm\",\"pas\",\"pascal\",\"freepascal\",\"lazarus\",\"lpr\",\"lfm\"],case_insensitive:!0,keywords:t,illegal:/\"|\\$[G-Zg-z]|\\/\\*|<\\/|\\|/,contains:[r,i,e.NUMBER_MODE,{className:\"number\",relevance:0,variants:[{begin:\"\\\\$[0-9A-Fa-f]+\"},{begin:\"&[0-7]+\"},{begin:\"%[01]+\"}]},o,s,n].concat(a)}};var wi=function(e){return{name:\"Diff\",aliases:[\"patch\"],contains:[{className:\"meta\",relevance:10,variants:[{begin:/^@@ +\\-\\d+,\\d+ +\\+\\d+,\\d+ +@@$/},{begin:/^\\*\\*\\* +\\d+,\\d+ +\\*\\*\\*\\*$/},{begin:/^\\-\\-\\- +\\d+,\\d+ +\\-\\-\\-\\-$/}]},{className:\"comment\",variants:[{begin:/Index: /,end:/$/},{begin:/={3,}/,end:/$/},{begin:/^\\-{3}/,end:/$/},{begin:/^\\*{3} /,end:/$/},{begin:/^\\+{3}/,end:/$/},{begin:/^\\*{15}$/}]},{className:\"addition\",begin:\"^\\\\+\",end:\"$\"},{className:\"deletion\",begin:\"^\\\\-\",end:\"$\"},{className:\"addition\",begin:\"^\\\\!\",end:\"$\"}]}};var Pi=function(e){var t={begin:/\\|[A-Za-z]+:?/,keywords:{name:\"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone\"},contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE]};return{name:\"Django\",aliases:[\"jinja\"],case_insensitive:!0,subLanguage:\"xml\",contains:[e.COMMENT(/\\{%\\s*comment\\s*%}/,/\\{%\\s*endcomment\\s*%}/),e.COMMENT(/\\{#/,/#}/),{className:\"template-tag\",begin:/\\{%/,end:/%}/,contains:[{className:\"name\",begin:/\\w+/,keywords:{name:\"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim\"},starts:{endsWithParent:!0,keywords:\"in by as\",contains:[t],relevance:0}}]},{className:\"template-variable\",begin:/\\{\\{/,end:/}}/,contains:[t]}]}};var ki=function(e){return{name:\"DNS Zone\",aliases:[\"bind\",\"zone\"],keywords:{keyword:\"IN A AAAA AFSDB APL CAA CDNSKEY CDS CERT CNAME DHCID DLV DNAME DNSKEY DS HIP IPSECKEY KEY KX LOC MX NAPTR NS NSEC NSEC3 NSEC3PARAM PTR RRSIG RP SIG SOA SRV SSHFP TA TKEY TLSA TSIG TXT\"},contains:[e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"meta\",begin:/^\\$(TTL|GENERATE|INCLUDE|ORIGIN)\\b/},{className:\"number\",begin:\"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)(\\\\.(25[0-5]|2[0-4]\\\\d|1\\\\d\\\\d|[1-9]?\\\\d)){3}))|:)))\\\\b\"},{className:\"number\",begin:\"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\\\b\"},e.inherit(e.NUMBER_MODE,{begin:/\\b\\d+[dhwm]?/})]}};var Ui=function(e){return{name:\"Dockerfile\",aliases:[\"docker\"],case_insensitive:!0,keywords:\"from maintainer expose env arg user onbuild stopsignal\",contains:[e.HASH_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{beginKeywords:\"run cmd entrypoint volume add copy workdir label healthcheck shell\",starts:{end:/[^\\\\]$/,subLanguage:\"bash\"}}],illegal:\"</\"}};var Fi=function(e){var t=e.COMMENT(/^\\s*@?rem\\b/,/$/,{relevance:10});return{name:\"Batch file (DOS)\",aliases:[\"bat\",\"cmd\"],case_insensitive:!0,illegal:/\\/\\*/,keywords:{keyword:\"if else goto for in do call exit not exist errorlevel defined equ neq lss leq gtr geq\",built_in:\"prn nul lpt3 lpt2 lpt1 con com4 com3 com2 com1 aux shift cd dir echo setlocal endlocal set pause copy append assoc at attrib break cacls cd chcp chdir chkdsk chkntfs cls cmd color comp compact convert date dir diskcomp diskcopy doskey erase fs find findstr format ftype graftabl help keyb label md mkdir mode more move path pause print popd pushd promt rd recover rem rename replace restore rmdir shift sort start subst time title tree type ver verify vol ping net ipconfig taskkill xcopy ren del\"},contains:[{className:\"variable\",begin:/%%[^ ]|%[^ ]+?%|![^ ]+?!/},{className:\"function\",begin:\"^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)\",end:\"goto:eof\",contains:[e.inherit(e.TITLE_MODE,{begin:\"([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*\"}),t]},{className:\"number\",begin:\"\\\\b\\\\d+\",relevance:0},t]}};var Bi=function(e){return{keywords:\"dsconfig\",contains:[{className:\"keyword\",begin:\"^dsconfig\",end:\"\\\\s\",excludeEnd:!0,relevance:10},{className:\"built_in\",begin:\"(list|create|get|set|delete)-(\\\\w+)\",end:\"\\\\s\",excludeEnd:!0,illegal:\"!@#$%^&*()\",relevance:10},{className:\"built_in\",begin:\"--(\\\\w+)\",end:\"\\\\s\",excludeEnd:!0},{className:\"string\",begin:/\"/,end:/\"/},{className:\"string\",begin:/'/,end:/'/},{className:\"string\",begin:\"[\\\\w-?]+:\\\\w+\",end:\"\\\\W\",relevance:0},{className:\"string\",begin:\"\\\\w+-?\\\\w+\",end:\"\\\\W\",relevance:0},e.HASH_COMMENT_MODE]}};var Gi=function(e){var t={className:\"string\",variants:[e.inherit(e.QUOTE_STRING_MODE,{begin:'((u8?|U)|L)?\"'}),{begin:'(u8?|U)?R\"',end:'\"',contains:[e.BACKSLASH_ESCAPE]},{begin:\"'\\\\\\\\?.\",end:\"'\",illegal:\".\"}]},a={className:\"number\",variants:[{begin:\"\\\\b(\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)(u|U|l|L|ul|UL|f|F)\"},{begin:e.C_NUMBER_RE}],relevance:0},n={className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elif endif define undef ifdef ifndef\"},contains:[{begin:/\\\\\\n/,relevance:0},{beginKeywords:\"include\",end:\"$\",keywords:{\"meta-keyword\":\"include\"},contains:[e.inherit(t,{className:\"meta-string\"}),{className:\"meta-string\",begin:\"<\",end:\">\",illegal:\"\\\\n\"}]},t,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},r={className:\"variable\",begin:\"\\\\&[a-z\\\\d_]*\\\\b\"},i={className:\"meta-keyword\",begin:\"/[a-z][a-z\\\\d-]*/\"},o={className:\"symbol\",begin:\"^\\\\s*[a-zA-Z_][a-zA-Z\\\\d_]*:\"},s={className:\"params\",begin:\"<\",end:\">\",contains:[a,r]},l={className:\"class\",begin:/[a-zA-Z_][a-zA-Z\\d_@]*\\s{/,end:/[{;=]/,returnBegin:!0,excludeEnd:!0};return{name:\"Device Tree\",keywords:\"\",contains:[{className:\"class\",begin:\"/\\\\s*{\",end:\"};\",relevance:10,contains:[r,i,o,l,s,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,t]},r,i,o,l,s,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,t,n,{begin:e.IDENT_RE+\"::\",keywords:\"\"}]}};var Yi=function(e){return{name:\"Dust\",aliases:[\"dst\"],case_insensitive:!0,subLanguage:\"xml\",contains:[{className:\"template-tag\",begin:/\\{[#\\/]/,end:/\\}/,illegal:/;/,contains:[{className:\"name\",begin:/[a-zA-Z\\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[e.QUOTE_STRING_MODE]}}]},{className:\"template-variable\",begin:/\\{/,end:/\\}/,illegal:/;/,keywords:\"if eq ne lt lte gt gte select default math sep\"}]}};var Hi=function(e){var t=e.COMMENT(/\\(\\*/,/\\*\\)/);return{name:\"Extended Backus-Naur Form\",illegal:/\\S/,contains:[t,{className:\"attribute\",begin:/^[ ]*[a-zA-Z][a-zA-Z-_]*([\\s-_]+[a-zA-Z][a-zA-Z]*)*/},{begin:/=/,end:/[.;]/,contains:[t,{className:\"meta\",begin:/\\?.*\\?/},{className:\"string\",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"`\",end:\"`\"}]}]}]}};var Vi=function(e){var t=\"[a-zA-Z_][a-zA-Z0-9_.]*(\\\\!|\\\\?)?\",a=\"and false then defined module in return redo retry end for true self when next until do begin unless nil break not case cond alias while ensure or include use alias fn quote require import with|0\",n={className:\"subst\",begin:\"#\\\\{\",end:\"}\",lexemes:t,keywords:a},r={className:\"number\",begin:\"(\\\\b0o[0-7_]+)|(\\\\b0b[01_]+)|(\\\\b0x[0-9a-fA-F_]+)|(-?\\\\b[1-9][0-9_]*(.[0-9_]+([eE][-+]?[0-9]+)?)?)\",relevance:0},i={className:\"string\",begin:\"~[a-z](?=[/|([{<\\\"'])\",contains:[{endsParent:!0,contains:[{contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/\"/,end:/\"/},{begin:/'/,end:/'/},{begin:/\\//,end:/\\//},{begin:/\\|/,end:/\\|/},{begin:/\\(/,end:/\\)/},{begin:/\\[/,end:/\\]/},{begin:/\\{/,end:/\\}/},{begin:/</,end:/>/}]}]}]},o={className:\"string\",begin:\"~[A-Z](?=[/|([{<\\\"'])\",contains:[{begin:/\"/,end:/\"/},{begin:/'/,end:/'/},{begin:/\\//,end:/\\//},{begin:/\\|/,end:/\\|/},{begin:/\\(/,end:/\\)/},{begin:/\\[/,end:/\\]/},{begin:/\\{/,end:/\\}/},{begin:/\\</,end:/\\>/}]},s={className:\"string\",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/\"\"\"/,end:/\"\"\"/},{begin:/'''/,end:/'''/},{begin:/~S\"\"\"/,end:/\"\"\"/,contains:[]},{begin:/~S\"/,end:/\"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/\"/,end:/\"/}]},l={className:\"function\",beginKeywords:\"def defp defmacro\",end:/\\B\\b/,contains:[e.inherit(e.TITLE_MODE,{begin:t,endsParent:!0})]},_=e.inherit(l,{className:\"class\",beginKeywords:\"defimpl defmodule defprotocol defrecord\",end:/\\bdo\\b|$|;/}),c=[s,o,i,e.HASH_COMMENT_MODE,_,l,{begin:\"::\"},{className:\"symbol\",begin:\":(?![\\\\s:])\",contains:[s,{begin:\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?\"}],relevance:0},{className:\"symbol\",begin:t+\":(?!:)\",relevance:0},r,{className:\"variable\",begin:\"(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))\"},{begin:\"->\"},{begin:\"(\"+e.RE_STARTERS_RE+\")\\\\s*\",contains:[e.HASH_COMMENT_MODE,{begin:/\\/: (?=\\d+\\s*[,\\]])/,relevance:0,contains:[r]},{className:\"regexp\",illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:\"/\",end:\"/[a-z]*\"},{begin:\"%r\\\\[\",end:\"\\\\][a-z]*\"}]}],relevance:0}];return n.contains=c,{name:\"Elixir\",lexemes:t,keywords:a,contains:c}};var qi=function(e){var t={variants:[e.COMMENT(\"--\",\"$\"),e.COMMENT(\"{-\",\"-}\",{contains:[\"self\"]})]},a={className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},n={begin:\"\\\\(\",end:\"\\\\)\",illegal:'\"',contains:[{className:\"type\",begin:\"\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?\"},t]};return{name:\"Elm\",keywords:\"let in if then else case of where module import exposing type alias as infix infixl infixr port effect command subscription\",contains:[{beginKeywords:\"port effect module\",end:\"exposing\",keywords:\"port effect module where command subscription exposing\",contains:[n,t],illegal:\"\\\\W\\\\.|;\"},{begin:\"import\",end:\"$\",keywords:\"import as exposing\",contains:[n,t],illegal:\"\\\\W\\\\.|;\"},{begin:\"type\",end:\"$\",keywords:\"type alias\",contains:[a,n,{begin:\"{\",end:\"}\",contains:n.contains},t]},{beginKeywords:\"infix infixl infixr\",end:\"$\",contains:[e.C_NUMBER_MODE,t]},{begin:\"port\",end:\"$\",keywords:\"port\",contains:[t]},{className:\"string\",begin:\"'\\\\\\\\?.\",end:\"'\",illegal:\".\"},e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,a,e.inherit(e.TITLE_MODE,{begin:\"^[_a-z][\\\\w']*\"}),t,{begin:\"->|<-\"}],illegal:/;/}};var zi=function(e){var t=\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?\",a={keyword:\"and then defined module in return redo if BEGIN retry end for self when next until do begin unless END rescue else break undef not super class case require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor\",literal:\"true false nil\"},n={className:\"doctag\",begin:\"@[A-Za-z]+\"},r={begin:\"#<\",end:\">\"},i=[e.COMMENT(\"#\",\"$\",{contains:[n]}),e.COMMENT(\"^\\\\=begin\",\"^\\\\=end\",{contains:[n],relevance:10}),e.COMMENT(\"^__END__\",\"\\\\n$\")],o={className:\"subst\",begin:\"#\\\\{\",end:\"}\",keywords:a},s={className:\"string\",contains:[e.BACKSLASH_ESCAPE,o],variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/`/,end:/`/},{begin:\"%[qQwWx]?\\\\(\",end:\"\\\\)\"},{begin:\"%[qQwWx]?\\\\[\",end:\"\\\\]\"},{begin:\"%[qQwWx]?{\",end:\"}\"},{begin:\"%[qQwWx]?<\",end:\">\"},{begin:\"%[qQwWx]?/\",end:\"/\"},{begin:\"%[qQwWx]?%\",end:\"%\"},{begin:\"%[qQwWx]?-\",end:\"-\"},{begin:\"%[qQwWx]?\\\\|\",end:\"\\\\|\"},{begin:/\\B\\?(\\\\\\d{1,3}|\\\\x[A-Fa-f0-9]{1,2}|\\\\u[A-Fa-f0-9]{4}|\\\\?\\S)\\b/},{begin:/<<[-~]?'?(\\w+)(?:.|\\n)*?\\n\\s*\\1\\b/,returnBegin:!0,contains:[{begin:/<<[-~]?'?/},{begin:/\\w+/,endSameAsBegin:!0,contains:[e.BACKSLASH_ESCAPE,o]}]}]},l={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",endsParent:!0,keywords:a},_=[s,r,{className:\"class\",beginKeywords:\"class module\",end:\"$|;\",illegal:/=/,contains:[e.inherit(e.TITLE_MODE,{begin:\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?\"}),{begin:\"<\\\\s*\",contains:[{begin:\"(\"+e.IDENT_RE+\"::)?\"+e.IDENT_RE}]}].concat(i)},{className:\"function\",beginKeywords:\"def\",end:\"$|;\",contains:[e.inherit(e.TITLE_MODE,{begin:t}),l].concat(i)},{begin:e.IDENT_RE+\"::\"},{className:\"symbol\",begin:e.UNDERSCORE_IDENT_RE+\"(\\\\!|\\\\?)?:\",relevance:0},{className:\"symbol\",begin:\":(?!\\\\s)\",contains:[s,{begin:t}],relevance:0},{className:\"number\",begin:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},{begin:\"(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))\"},{className:\"params\",begin:/\\|/,end:/\\|/,keywords:a},{begin:\"(\"+e.RE_STARTERS_RE+\"|unless)\\\\s*\",keywords:\"unless\",contains:[r,{className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,o],illegal:/\\n/,variants:[{begin:\"/\",end:\"/[a-z]*\"},{begin:\"%r{\",end:\"}[a-z]*\"},{begin:\"%r\\\\(\",end:\"\\\\)[a-z]*\"},{begin:\"%r!\",end:\"![a-z]*\"},{begin:\"%r\\\\[\",end:\"\\\\][a-z]*\"}]}].concat(i),relevance:0}].concat(i);o.contains=_,l.contains=_;var c=[{begin:/^\\s*=>/,starts:{end:\"$\",contains:_}},{className:\"meta\",begin:\"^([>?]>|[\\\\w#]+\\\\(\\\\w+\\\\):\\\\d+:\\\\d+>|(\\\\w+-)?\\\\d+\\\\.\\\\d+\\\\.\\\\d(p\\\\d+)?[^>]+>)\",starts:{end:\"$\",contains:_}}];return{name:\"Ruby\",aliases:[\"rb\",\"gemspec\",\"podspec\",\"thor\",\"irb\"],keywords:a,illegal:/\\/\\*/,contains:i.concat(c).concat(_)}};var Wi=function(e){return{name:\"ERB\",subLanguage:\"xml\",contains:[e.COMMENT(\"<%#\",\"%>\"),{begin:\"<%[%=-]?\",end:\"[%-]?%>\",subLanguage:\"ruby\",excludeBegin:!0,excludeEnd:!0}]}};var Qi=function(e){return{name:\"Erlang REPL\",keywords:{built_in:\"spawn spawn_link self\",keyword:\"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor\"},contains:[{className:\"meta\",begin:\"^[0-9]+> \",relevance:10},e.COMMENT(\"%\",\"$\"),{className:\"number\",begin:\"\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)\",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"\\\\?(::)?([A-Z]\\\\w*(::)?)+\"},{begin:\"->\"},{begin:\"ok\"},{begin:\"!\"},{begin:\"(\\\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\\\b[a-z'][a-zA-Z0-9_']*)\",relevance:0},{begin:\"[A-Z][a-zA-Z0-9_']*\",relevance:0}]}};var $i=function(e){var t=\"[a-z'][a-zA-Z0-9_']*\",a=\"(\"+t+\":\"+t+\"|\"+t+\")\",n={keyword:\"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor\",literal:\"false true\"},r=e.COMMENT(\"%\",\"$\"),i={className:\"number\",begin:\"\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)\",relevance:0},o={begin:\"fun\\\\s+\"+t+\"/\\\\d+\"},s={begin:a+\"\\\\(\",end:\"\\\\)\",returnBegin:!0,relevance:0,contains:[{begin:a,relevance:0},{begin:\"\\\\(\",end:\"\\\\)\",endsWithParent:!0,returnEnd:!0,relevance:0}]},l={begin:\"{\",end:\"}\",relevance:0},_={begin:\"\\\\b_([A-Z][A-Za-z0-9_]*)?\",relevance:0},c={begin:\"[A-Z][a-zA-Z0-9_]*\",relevance:0},d={begin:\"#\"+e.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:\"#\"+e.UNDERSCORE_IDENT_RE,relevance:0},{begin:\"{\",end:\"}\",relevance:0}]},u={beginKeywords:\"fun receive if try case\",end:\"end\",keywords:n};u.contains=[r,o,e.inherit(e.APOS_STRING_MODE,{className:\"\"}),u,s,e.QUOTE_STRING_MODE,i,l,_,c,d];var m=[r,o,u,s,e.QUOTE_STRING_MODE,i,l,_,c,d];s.contains[1].contains=m,l.contains=m,d.contains[1].contains=m;var p={className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:m};return{name:\"Erlang\",aliases:[\"erl\"],keywords:n,illegal:\"(</|\\\\*=|\\\\+=|-=|/\\\\*|\\\\*/|\\\\(\\\\*|\\\\*\\\\))\",contains:[{className:\"function\",begin:\"^\"+t+\"\\\\s*\\\\(\",end:\"->\",returnBegin:!0,illegal:\"\\\\(|#|//|/\\\\*|\\\\\\\\|:|;\",contains:[p,e.inherit(e.TITLE_MODE,{begin:t})],starts:{end:\";|\\\\.\",keywords:n,contains:m}},r,{begin:\"^-\",end:\"\\\\.\",relevance:0,excludeEnd:!0,returnBegin:!0,lexemes:\"-\"+e.IDENT_RE,keywords:\"-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn -import -include -include_lib -compile -define -else -endif -file -behaviour -behavior -spec\",contains:[p]},i,e.QUOTE_STRING_MODE,d,_,c,l,{begin:/\\.$/}]}};var Ki=function(e){return{name:\"Excel formulae\",aliases:[\"xlsx\",\"xls\"],case_insensitive:!0,lexemes:/[a-zA-Z][\\w\\.]*/,keywords:{built_in:\"ABS ACCRINT ACCRINTM ACOS ACOSH ACOT ACOTH AGGREGATE ADDRESS AMORDEGRC AMORLINC AND ARABIC AREAS ASC ASIN ASINH ATAN ATAN2 ATANH AVEDEV AVERAGE AVERAGEA AVERAGEIF AVERAGEIFS BAHTTEXT BASE BESSELI BESSELJ BESSELK BESSELY BETADIST BETA.DIST BETAINV BETA.INV BIN2DEC BIN2HEX BIN2OCT BINOMDIST BINOM.DIST BINOM.DIST.RANGE BINOM.INV BITAND BITLSHIFT BITOR BITRSHIFT BITXOR CALL CEILING CEILING.MATH CEILING.PRECISE CELL CHAR CHIDIST CHIINV CHITEST CHISQ.DIST CHISQ.DIST.RT CHISQ.INV CHISQ.INV.RT CHISQ.TEST CHOOSE CLEAN CODE COLUMN COLUMNS COMBIN COMBINA COMPLEX CONCAT CONCATENATE CONFIDENCE CONFIDENCE.NORM CONFIDENCE.T CONVERT CORREL COS COSH COT COTH COUNT COUNTA COUNTBLANK COUNTIF COUNTIFS COUPDAYBS COUPDAYS COUPDAYSNC COUPNCD COUPNUM COUPPCD COVAR COVARIANCE.P COVARIANCE.S CRITBINOM CSC CSCH CUBEKPIMEMBER CUBEMEMBER CUBEMEMBERPROPERTY CUBERANKEDMEMBER CUBESET CUBESETCOUNT CUBEVALUE CUMIPMT CUMPRINC DATE DATEDIF DATEVALUE DAVERAGE DAY DAYS DAYS360 DB DBCS DCOUNT DCOUNTA DDB DEC2BIN DEC2HEX DEC2OCT DECIMAL DEGREES DELTA DEVSQ DGET DISC DMAX DMIN DOLLAR DOLLARDE DOLLARFR DPRODUCT DSTDEV DSTDEVP DSUM DURATION DVAR DVARP EDATE EFFECT ENCODEURL EOMONTH ERF ERF.PRECISE ERFC ERFC.PRECISE ERROR.TYPE EUROCONVERT EVEN EXACT EXP EXPON.DIST EXPONDIST FACT FACTDOUBLE FALSE|0 F.DIST FDIST F.DIST.RT FILTERXML FIND FINDB F.INV F.INV.RT FINV FISHER FISHERINV FIXED FLOOR FLOOR.MATH FLOOR.PRECISE FORECAST FORECAST.ETS FORECAST.ETS.CONFINT FORECAST.ETS.SEASONALITY FORECAST.ETS.STAT FORECAST.LINEAR FORMULATEXT FREQUENCY F.TEST FTEST FV FVSCHEDULE GAMMA GAMMA.DIST GAMMADIST GAMMA.INV GAMMAINV GAMMALN GAMMALN.PRECISE GAUSS GCD GEOMEAN GESTEP GETPIVOTDATA GROWTH HARMEAN HEX2BIN HEX2DEC HEX2OCT HLOOKUP HOUR HYPERLINK HYPGEOM.DIST HYPGEOMDIST IF IFERROR IFNA IFS IMABS IMAGINARY IMARGUMENT IMCONJUGATE IMCOS IMCOSH IMCOT IMCSC IMCSCH IMDIV IMEXP IMLN IMLOG10 IMLOG2 IMPOWER IMPRODUCT IMREAL IMSEC IMSECH IMSIN IMSINH IMSQRT IMSUB IMSUM IMTAN INDEX INDIRECT INFO INT INTERCEPT INTRATE IPMT IRR ISBLANK ISERR ISERROR ISEVEN ISFORMULA ISLOGICAL ISNA ISNONTEXT ISNUMBER ISODD ISREF ISTEXT ISO.CEILING ISOWEEKNUM ISPMT JIS KURT LARGE LCM LEFT LEFTB LEN LENB LINEST LN LOG LOG10 LOGEST LOGINV LOGNORM.DIST LOGNORMDIST LOGNORM.INV LOOKUP LOWER MATCH MAX MAXA MAXIFS MDETERM MDURATION MEDIAN MID MIDBs MIN MINIFS MINA MINUTE MINVERSE MIRR MMULT MOD MODE MODE.MULT MODE.SNGL MONTH MROUND MULTINOMIAL MUNIT N NA NEGBINOM.DIST NEGBINOMDIST NETWORKDAYS NETWORKDAYS.INTL NOMINAL NORM.DIST NORMDIST NORMINV NORM.INV NORM.S.DIST NORMSDIST NORM.S.INV NORMSINV NOT NOW NPER NPV NUMBERVALUE OCT2BIN OCT2DEC OCT2HEX ODD ODDFPRICE ODDFYIELD ODDLPRICE ODDLYIELD OFFSET OR PDURATION PEARSON PERCENTILE.EXC PERCENTILE.INC PERCENTILE PERCENTRANK.EXC PERCENTRANK.INC PERCENTRANK PERMUT PERMUTATIONA PHI PHONETIC PI PMT POISSON.DIST POISSON POWER PPMT PRICE PRICEDISC PRICEMAT PROB PRODUCT PROPER PV QUARTILE QUARTILE.EXC QUARTILE.INC QUOTIENT RADIANS RAND RANDBETWEEN RANK.AVG RANK.EQ RANK RATE RECEIVED REGISTER.ID REPLACE REPLACEB REPT RIGHT RIGHTB ROMAN ROUND ROUNDDOWN ROUNDUP ROW ROWS RRI RSQ RTD SEARCH SEARCHB SEC SECH SECOND SERIESSUM SHEET SHEETS SIGN SIN SINH SKEW SKEW.P SLN SLOPE SMALL SQL.REQUEST SQRT SQRTPI STANDARDIZE STDEV STDEV.P STDEV.S STDEVA STDEVP STDEVPA STEYX SUBSTITUTE SUBTOTAL SUM SUMIF SUMIFS SUMPRODUCT SUMSQ SUMX2MY2 SUMX2PY2 SUMXMY2 SWITCH SYD T TAN TANH TBILLEQ TBILLPRICE TBILLYIELD T.DIST T.DIST.2T T.DIST.RT TDIST TEXT TEXTJOIN TIME TIMEVALUE T.INV T.INV.2T TINV TODAY TRANSPOSE TREND TRIM TRIMMEAN TRUE|0 TRUNC T.TEST TTEST TYPE UNICHAR UNICODE UPPER VALUE VAR VAR.P VAR.S VARA VARP VARPA VDB VLOOKUP WEBSERVICE WEEKDAY WEEKNUM WEIBULL WEIBULL.DIST WORKDAY WORKDAY.INTL XIRR XNPV XOR YEAR YEARFRAC YIELD YIELDDISC YIELDMAT Z.TEST ZTEST\"},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:\"symbol\",begin:/\\b[A-Z]{1,2}\\d+\\b/,end:/[^\\d]/,excludeEnd:!0,relevance:0},{className:\"symbol\",begin:/[A-Z]{0,2}\\d*:[A-Z]{0,2}\\d*/,relevance:0},e.BACKSLASH_ESCAPE,e.QUOTE_STRING_MODE,{className:\"number\",begin:e.NUMBER_RE+\"(%)?\",relevance:0},e.COMMENT(/\\bN\\(/,/\\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\\n/})]}};var ji=function(e){return{name:\"FIX\",contains:[{begin:/[^\\u2401\\u0001]+/,end:/[\\u2401\\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\\u2401\\u0001=]+)/,end:/=([^\\u2401\\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:\"attr\"},{begin:/=/,end:/([\\u2401\\u0001])/,excludeEnd:!0,excludeBegin:!0,className:\"string\"}]}],case_insensitive:!0}};var Xi=function(e){var t={className:\"function\",beginKeywords:\"def\",end:/[:={\\[(\\n;]/,excludeEnd:!0,contains:[{className:\"title\",begin:/[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/}]};return{name:\"Flix\",keywords:{literal:\"true false\",keyword:\"case class def else enum if impl import in lat rel index let match namespace switch type yield with\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"string\",begin:/'(.|\\\\[xXuU][a-zA-Z0-9]+)'/},{className:\"string\",variants:[{begin:'\"',end:'\"'}]},t,e.C_NUMBER_MODE]}};var Zi=function(e){var t={variants:[e.COMMENT(\"!\",\"$\",{relevance:0}),e.COMMENT(\"^C\",\"$\",{relevance:0})]},a={className:\"function\",beginKeywords:\"subroutine function program\",illegal:\"[${=\\\\n]\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]};return{name:\"Fortran\",case_insensitive:!0,aliases:[\"f90\",\"f95\"],keywords:{literal:\".False. .True.\",keyword:\"kind do concurrent local shared while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then block endblock endassociate public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure impure integer real character complex logical codimension dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data\",built_in:\"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image sync change team co_broadcast co_max co_min co_sum co_reduce\"},illegal:/\\/\\*/,contains:[{className:\"string\",relevance:0,variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},a,{begin:/^C\\s*=(?!=)/,relevance:0},t,{className:\"number\",begin:\"(?=\\\\b|\\\\+|\\\\-|\\\\.)(?:\\\\.|\\\\d+\\\\.?)\\\\d*([de][+-]?\\\\d+)?(_[a-z_\\\\d]+)?\",relevance:0}]}};var Ji=function(e){var t={begin:\"<\",end:\">\",contains:[e.inherit(e.TITLE_MODE,{begin:/'[a-zA-Z0-9_]+/})]};return{name:\"F#\",aliases:[\"fs\"],keywords:\"abstract and as assert base begin class default delegate do done downcast downto elif else end exception extern false finally for fun function global if in inherit inline interface internal lazy let match member module mutable namespace new null of open or override private public rec return sig static struct then to true try type upcast use val void when while with yield\",illegal:/\\/\\*/,contains:[{className:\"keyword\",begin:/\\b(yield|return|let|do)!/},{className:\"string\",begin:'@\"',end:'\"',contains:[{begin:'\"\"'}]},{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\"),{className:\"class\",beginKeywords:\"type\",end:\"\\\\(|=|$\",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE,t]},{className:\"meta\",begin:\"\\\\[<\",end:\">\\\\]\",relevance:10},{className:\"symbol\",begin:\"\\\\B('[A-Za-z])\\\\b\",contains:[e.BACKSLASH_ESCAPE]},e.C_LINE_COMMENT_MODE,e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),e.C_NUMBER_MODE]}};var eo=function(e){var t={keyword:\"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes\",literal:\"eps inf na\",\"built-in\":\"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart\"},a={className:\"symbol\",variants:[{begin:/\\=[lgenxc]=/},{begin:/\\$/}]},n={className:\"comment\",variants:[{begin:\"'\",end:\"'\"},{begin:'\"',end:'\"'}],illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE]},r={begin:\"/\",end:\"/\",keywords:t,contains:[n,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},i={begin:/[a-z][a-z0-9_]*(\\([a-z0-9_, ]*\\))?[ \\t]+/,excludeBegin:!0,end:\"$\",endsWithParent:!0,contains:[n,r,{className:\"comment\",begin:/([ ]*[a-z0-9&#*=?@>\\\\<:\\-,()$\\[\\]_.{}!+%^]+)+/,relevance:0}]};return{name:\"GAMS\",aliases:[\"gms\"],case_insensitive:!0,keywords:t,contains:[e.COMMENT(/^\\$ontext/,/^\\$offtext/),{className:\"meta\",begin:\"^\\\\$[a-z0-9]+\",end:\"$\",returnBegin:!0,contains:[{className:\"meta-keyword\",begin:\"^\\\\$[a-z0-9]+\"}]},e.COMMENT(\"^\\\\*\",\"$\"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{beginKeywords:\"set sets parameter parameters variable variables scalar scalars equation equations\",end:\";\",contains:[e.COMMENT(\"^\\\\*\",\"$\"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,r,i]},{beginKeywords:\"table\",end:\";\",returnBegin:!0,contains:[{beginKeywords:\"table\",end:\"$\",contains:[i]},e.COMMENT(\"^\\\\*\",\"$\"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},{className:\"function\",begin:/^[a-z][a-z0-9_,\\-+' ()$]+\\.{2}/,returnBegin:!0,contains:[{className:\"title\",begin:/^[a-z0-9_]+/},{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0},a]},e.C_NUMBER_MODE,a]}};var to=function(e){var t={keyword:\"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv\",built_in:\"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim\",literal:\"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR\"},a=e.COMMENT(\"@\",\"@\"),n={className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline\"},contains:[{begin:/\\\\\\n/,relevance:0},{beginKeywords:\"include\",end:\"$\",keywords:{\"meta-keyword\":\"include\"},contains:[{className:\"meta-string\",begin:'\"',end:'\"',illegal:\"\\\\n\"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a]},r={begin:/\\bstruct\\s+/,end:/\\s/,keywords:\"struct\",contains:[{className:\"type\",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},i=[{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:\"literal\",begin:/\\.\\.\\./},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,a,r]}],o={className:\"title\",begin:e.UNDERSCORE_IDENT_RE,relevance:0},s=function(t,n,r){var s=e.inherit({className:\"function\",beginKeywords:t,end:n,excludeEnd:!0,contains:[].concat(i)},r||{});return s.contains.push(o),s.contains.push(e.C_NUMBER_MODE),s.contains.push(e.C_BLOCK_COMMENT_MODE),s.contains.push(a),s},l={className:\"built_in\",begin:\"\\\\b(\"+t.built_in.split(\" \").join(\"|\")+\")\\\\b\"},_={className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE],relevance:0},c={begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,keywords:t,relevance:0,contains:[{beginKeywords:t.keyword},l,{className:\"built_in\",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},d={begin:/\\(/,end:/\\)/,relevance:0,keywords:{built_in:t.built_in,literal:t.literal},contains:[e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,a,l,c,_,\"self\"]};return c.contains.push(d),{name:\"GAUSS\",aliases:[\"gss\"],case_insensitive:!0,keywords:t,illegal:/(\\{[%#]|[%#]\\}| <- )/,contains:[e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,_,n,{className:\"keyword\",begin:/\\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},s(\"proc keyword\",\";\"),s(\"fn\",\"=\"),{beginKeywords:\"for threadfor\",end:/;/,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE,a,d]},{variants:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\.\"+e.UNDERSCORE_IDENT_RE},{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*=\"}],relevance:0},c,r]}};var ao=function(e){var t=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(/\\(/,/\\)/),e.inherit(e.C_NUMBER_MODE,{begin:\"([-+]?([0-9]*\\\\.?[0-9]+\\\\.?))|\"+e.C_NUMBER_RE}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"name\",begin:\"([G])([0-9]+\\\\.?[0-9]?)\"},{className:\"name\",begin:\"([M])([0-9]+\\\\.?[0-9]?)\"},{className:\"attr\",begin:\"(VC|VS|#)\",end:\"(\\\\d+)\"},{className:\"attr\",begin:\"(VZOFX|VZOFY|VZOFZ)\"},{className:\"built_in\",begin:\"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\\\[)\",end:\"([-+]?([0-9]*\\\\.?[0-9]+\\\\.?))(\\\\])\"},{className:\"symbol\",variants:[{begin:\"N\",end:\"\\\\d+\",illegal:\"\\\\W\"}]}];return{name:\"G-code (ISO 6983)\",aliases:[\"nc\"],case_insensitive:!0,lexemes:\"[A-Z_][A-Z0-9_.]*\",keywords:\"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR\",contains:[{className:\"meta\",begin:\"\\\\%\"},{className:\"meta\",begin:\"([O])([0-9]+)\"}].concat(t)}};var no=function(e){return{name:\"Gherkin\",aliases:[\"feature\"],keywords:\"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When\",contains:[{className:\"symbol\",begin:\"\\\\*\",relevance:0},{className:\"meta\",begin:\"@[^@\\\\s]+\"},{begin:\"\\\\|\",end:\"\\\\|\\\\w*$\",contains:[{className:\"string\",begin:\"[^|]+\"}]},{className:\"variable\",begin:\"<\",end:\">\"},e.HASH_COMMENT_MODE,{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},e.QUOTE_STRING_MODE]}};var ro=function(e){return{name:\"GLSL\",keywords:{keyword:\"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly\",type:\"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void\",built_in:\"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow\",literal:\"true false\"},illegal:'\"',contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"#\",end:\"$\"}]}};var io=function(e){return{name:\"GML\",aliases:[\"gml\",\"GML\"],case_insensitive:!1,keywords:{keyword:\"begin end if then else while do for break continue with until repeat exit and or xor not return mod div switch case default var globalvar enum #macro #region #endregion\",built_in:\"is_real is_string is_array is_undefined is_int32 is_int64 is_ptr is_vec3 is_vec4 is_matrix is_bool typeof variable_global_exists variable_global_get variable_global_set variable_instance_exists variable_instance_get variable_instance_set variable_instance_get_names array_length_1d array_length_2d array_height_2d array_equals array_create array_copy random random_range irandom irandom_range random_set_seed random_get_seed randomize randomise choose abs round floor ceil sign frac sqrt sqr exp ln log2 log10 sin cos tan arcsin arccos arctan arctan2 dsin dcos dtan darcsin darccos darctan darctan2 degtorad radtodeg power logn min max mean median clamp lerp dot_product dot_product_3d dot_product_normalised dot_product_3d_normalised dot_product_normalized dot_product_3d_normalized math_set_epsilon math_get_epsilon angle_difference point_distance_3d point_distance point_direction lengthdir_x lengthdir_y real string int64 ptr string_format chr ansi_char ord string_length string_byte_length string_pos string_copy string_char_at string_ord_at string_byte_at string_set_byte_at string_delete string_insert string_lower string_upper string_repeat string_letters string_digits string_lettersdigits string_replace string_replace_all string_count string_hash_to_newline clipboard_has_text clipboard_set_text clipboard_get_text date_current_datetime date_create_datetime date_valid_datetime date_inc_year date_inc_month date_inc_week date_inc_day date_inc_hour date_inc_minute date_inc_second date_get_year date_get_month date_get_week date_get_day date_get_hour date_get_minute date_get_second date_get_weekday date_get_day_of_year date_get_hour_of_year date_get_minute_of_year date_get_second_of_year date_year_span date_month_span date_week_span date_day_span date_hour_span date_minute_span date_second_span date_compare_datetime date_compare_date date_compare_time date_date_of date_time_of date_datetime_string date_date_string date_time_string date_days_in_month date_days_in_year date_leap_year date_is_today date_set_timezone date_get_timezone game_set_speed game_get_speed motion_set motion_add place_free place_empty place_meeting place_snapped move_random move_snap move_towards_point move_contact_solid move_contact_all move_outside_solid move_outside_all move_bounce_solid move_bounce_all move_wrap distance_to_point distance_to_object position_empty position_meeting path_start path_end mp_linear_step mp_potential_step mp_linear_step_object mp_potential_step_object mp_potential_settings mp_linear_path mp_potential_path mp_linear_path_object mp_potential_path_object mp_grid_create mp_grid_destroy mp_grid_clear_all mp_grid_clear_cell mp_grid_clear_rectangle mp_grid_add_cell mp_grid_get_cell mp_grid_add_rectangle mp_grid_add_instances mp_grid_path mp_grid_draw mp_grid_to_ds_grid collision_point collision_rectangle collision_circle collision_ellipse collision_line collision_point_list collision_rectangle_list collision_circle_list collision_ellipse_list collision_line_list instance_position_list instance_place_list point_in_rectangle point_in_triangle point_in_circle rectangle_in_rectangle rectangle_in_triangle rectangle_in_circle instance_find instance_exists instance_number instance_position instance_nearest instance_furthest instance_place instance_create_depth instance_create_layer instance_copy instance_change instance_destroy position_destroy position_change instance_id_get instance_deactivate_all instance_deactivate_object instance_deactivate_region instance_activate_all instance_activate_object instance_activate_region room_goto room_goto_previous room_goto_next room_previous room_next room_restart game_end game_restart game_load game_save game_save_buffer game_load_buffer event_perform event_user event_perform_object event_inherited show_debug_message show_debug_overlay debug_event debug_get_callstack alarm_get alarm_set font_texture_page_size keyboard_set_map keyboard_get_map keyboard_unset_map keyboard_check keyboard_check_pressed keyboard_check_released keyboard_check_direct keyboard_get_numlock keyboard_set_numlock keyboard_key_press keyboard_key_release keyboard_clear io_clear mouse_check_button mouse_check_button_pressed mouse_check_button_released mouse_wheel_up mouse_wheel_down mouse_clear draw_self draw_sprite draw_sprite_pos draw_sprite_ext draw_sprite_stretched draw_sprite_stretched_ext draw_sprite_tiled draw_sprite_tiled_ext draw_sprite_part draw_sprite_part_ext draw_sprite_general draw_clear draw_clear_alpha draw_point draw_line draw_line_width draw_rectangle draw_roundrect draw_roundrect_ext draw_triangle draw_circle draw_ellipse draw_set_circle_precision draw_arrow draw_button draw_path draw_healthbar draw_getpixel draw_getpixel_ext draw_set_colour draw_set_color draw_set_alpha draw_get_colour draw_get_color draw_get_alpha merge_colour make_colour_rgb make_colour_hsv colour_get_red colour_get_green colour_get_blue colour_get_hue colour_get_saturation colour_get_value merge_color make_color_rgb make_color_hsv color_get_red color_get_green color_get_blue color_get_hue color_get_saturation color_get_value merge_color screen_save screen_save_part draw_set_font draw_set_halign draw_set_valign draw_text draw_text_ext string_width string_height string_width_ext string_height_ext draw_text_transformed draw_text_ext_transformed draw_text_colour draw_text_ext_colour draw_text_transformed_colour draw_text_ext_transformed_colour draw_text_color draw_text_ext_color draw_text_transformed_color draw_text_ext_transformed_color draw_point_colour draw_line_colour draw_line_width_colour draw_rectangle_colour draw_roundrect_colour draw_roundrect_colour_ext draw_triangle_colour draw_circle_colour draw_ellipse_colour draw_point_color draw_line_color draw_line_width_color draw_rectangle_color draw_roundrect_color draw_roundrect_color_ext draw_triangle_color draw_circle_color draw_ellipse_color draw_primitive_begin draw_vertex draw_vertex_colour draw_vertex_color draw_primitive_end sprite_get_uvs font_get_uvs sprite_get_texture font_get_texture texture_get_width texture_get_height texture_get_uvs draw_primitive_begin_texture draw_vertex_texture draw_vertex_texture_colour draw_vertex_texture_color texture_global_scale surface_create surface_create_ext surface_resize surface_free surface_exists surface_get_width surface_get_height surface_get_texture surface_set_target surface_set_target_ext surface_reset_target surface_depth_disable surface_get_depth_disable draw_surface draw_surface_stretched draw_surface_tiled draw_surface_part draw_surface_ext draw_surface_stretched_ext draw_surface_tiled_ext draw_surface_part_ext draw_surface_general surface_getpixel surface_getpixel_ext surface_save surface_save_part surface_copy surface_copy_part application_surface_draw_enable application_get_position application_surface_enable application_surface_is_enabled display_get_width display_get_height display_get_orientation display_get_gui_width display_get_gui_height display_reset display_mouse_get_x display_mouse_get_y display_mouse_set display_set_ui_visibility window_set_fullscreen window_get_fullscreen window_set_caption window_set_min_width window_set_max_width window_set_min_height window_set_max_height window_get_visible_rects window_get_caption window_set_cursor window_get_cursor window_set_colour window_get_colour window_set_color window_get_color window_set_position window_set_size window_set_rectangle window_center window_get_x window_get_y window_get_width window_get_height window_mouse_get_x window_mouse_get_y window_mouse_set window_view_mouse_get_x window_view_mouse_get_y window_views_mouse_get_x window_views_mouse_get_y audio_listener_position audio_listener_velocity audio_listener_orientation audio_emitter_position audio_emitter_create audio_emitter_free audio_emitter_exists audio_emitter_pitch audio_emitter_velocity audio_emitter_falloff audio_emitter_gain audio_play_sound audio_play_sound_on audio_play_sound_at audio_stop_sound audio_resume_music audio_music_is_playing audio_resume_sound audio_pause_sound audio_pause_music audio_channel_num audio_sound_length audio_get_type audio_falloff_set_model audio_play_music audio_stop_music audio_master_gain audio_music_gain audio_sound_gain audio_sound_pitch audio_stop_all audio_resume_all audio_pause_all audio_is_playing audio_is_paused audio_exists audio_sound_set_track_position audio_sound_get_track_position audio_emitter_get_gain audio_emitter_get_pitch audio_emitter_get_x audio_emitter_get_y audio_emitter_get_z audio_emitter_get_vx audio_emitter_get_vy audio_emitter_get_vz audio_listener_set_position audio_listener_set_velocity audio_listener_set_orientation audio_listener_get_data audio_set_master_gain audio_get_master_gain audio_sound_get_gain audio_sound_get_pitch audio_get_name audio_sound_set_track_position audio_sound_get_track_position audio_create_stream audio_destroy_stream audio_create_sync_group audio_destroy_sync_group audio_play_in_sync_group audio_start_sync_group audio_stop_sync_group audio_pause_sync_group audio_resume_sync_group audio_sync_group_get_track_pos audio_sync_group_debug audio_sync_group_is_playing audio_debug audio_group_load audio_group_unload audio_group_is_loaded audio_group_load_progress audio_group_name audio_group_stop_all audio_group_set_gain audio_create_buffer_sound audio_free_buffer_sound audio_create_play_queue audio_free_play_queue audio_queue_sound audio_get_recorder_count audio_get_recorder_info audio_start_recording audio_stop_recording audio_sound_get_listener_mask audio_emitter_get_listener_mask audio_get_listener_mask audio_sound_set_listener_mask audio_emitter_set_listener_mask audio_set_listener_mask audio_get_listener_count audio_get_listener_info audio_system show_message show_message_async clickable_add clickable_add_ext clickable_change clickable_change_ext clickable_delete clickable_exists clickable_set_style show_question show_question_async get_integer get_string get_integer_async get_string_async get_login_async get_open_filename get_save_filename get_open_filename_ext get_save_filename_ext show_error highscore_clear highscore_add highscore_value highscore_name draw_highscore sprite_exists sprite_get_name sprite_get_number sprite_get_width sprite_get_height sprite_get_xoffset sprite_get_yoffset sprite_get_bbox_left sprite_get_bbox_right sprite_get_bbox_top sprite_get_bbox_bottom sprite_save sprite_save_strip sprite_set_cache_size sprite_set_cache_size_ext sprite_get_tpe sprite_prefetch sprite_prefetch_multi sprite_flush sprite_flush_multi sprite_set_speed sprite_get_speed_type sprite_get_speed font_exists font_get_name font_get_fontname font_get_bold font_get_italic font_get_first font_get_last font_get_size font_set_cache_size path_exists path_get_name path_get_length path_get_time path_get_kind path_get_closed path_get_precision path_get_number path_get_point_x path_get_point_y path_get_point_speed path_get_x path_get_y path_get_speed script_exists script_get_name timeline_add timeline_delete timeline_clear timeline_exists timeline_get_name timeline_moment_clear timeline_moment_add_script timeline_size timeline_max_moment object_exists object_get_name object_get_sprite object_get_solid object_get_visible object_get_persistent object_get_mask object_get_parent object_get_physics object_is_ancestor room_exists room_get_name sprite_set_offset sprite_duplicate sprite_assign sprite_merge sprite_add sprite_replace sprite_create_from_surface sprite_add_from_surface sprite_delete sprite_set_alpha_from_sprite sprite_collision_mask font_add_enable_aa font_add_get_enable_aa font_add font_add_sprite font_add_sprite_ext font_replace font_replace_sprite font_replace_sprite_ext font_delete path_set_kind path_set_closed path_set_precision path_add path_assign path_duplicate path_append path_delete path_add_point path_insert_point path_change_point path_delete_point path_clear_points path_reverse path_mirror path_flip path_rotate path_rescale path_shift script_execute object_set_sprite object_set_solid object_set_visible object_set_persistent object_set_mask room_set_width room_set_height room_set_persistent room_set_background_colour room_set_background_color room_set_view room_set_viewport room_get_viewport room_set_view_enabled room_add room_duplicate room_assign room_instance_add room_instance_clear room_get_camera room_set_camera asset_get_index asset_get_type file_text_open_from_string file_text_open_read file_text_open_write file_text_open_append file_text_close file_text_write_string file_text_write_real file_text_writeln file_text_read_string file_text_read_real file_text_readln file_text_eof file_text_eoln file_exists file_delete file_rename file_copy directory_exists directory_create directory_destroy file_find_first file_find_next file_find_close file_attributes filename_name filename_path filename_dir filename_drive filename_ext filename_change_ext file_bin_open file_bin_rewrite file_bin_close file_bin_position file_bin_size file_bin_seek file_bin_write_byte file_bin_read_byte parameter_count parameter_string environment_get_variable ini_open_from_string ini_open ini_close ini_read_string ini_read_real ini_write_string ini_write_real ini_key_exists ini_section_exists ini_key_delete ini_section_delete ds_set_precision ds_exists ds_stack_create ds_stack_destroy ds_stack_clear ds_stack_copy ds_stack_size ds_stack_empty ds_stack_push ds_stack_pop ds_stack_top ds_stack_write ds_stack_read ds_queue_create ds_queue_destroy ds_queue_clear ds_queue_copy ds_queue_size ds_queue_empty ds_queue_enqueue ds_queue_dequeue ds_queue_head ds_queue_tail ds_queue_write ds_queue_read ds_list_create ds_list_destroy ds_list_clear ds_list_copy ds_list_size ds_list_empty ds_list_add ds_list_insert ds_list_replace ds_list_delete ds_list_find_index ds_list_find_value ds_list_mark_as_list ds_list_mark_as_map ds_list_sort ds_list_shuffle ds_list_write ds_list_read ds_list_set ds_map_create ds_map_destroy ds_map_clear ds_map_copy ds_map_size ds_map_empty ds_map_add ds_map_add_list ds_map_add_map ds_map_replace ds_map_replace_map ds_map_replace_list ds_map_delete ds_map_exists ds_map_find_value ds_map_find_previous ds_map_find_next ds_map_find_first ds_map_find_last ds_map_write ds_map_read ds_map_secure_save ds_map_secure_load ds_map_secure_load_buffer ds_map_secure_save_buffer ds_map_set ds_priority_create ds_priority_destroy ds_priority_clear ds_priority_copy ds_priority_size ds_priority_empty ds_priority_add ds_priority_change_priority ds_priority_find_priority ds_priority_delete_value ds_priority_delete_min ds_priority_find_min ds_priority_delete_max ds_priority_find_max ds_priority_write ds_priority_read ds_grid_create ds_grid_destroy ds_grid_copy ds_grid_resize ds_grid_width ds_grid_height ds_grid_clear ds_grid_set ds_grid_add ds_grid_multiply ds_grid_set_region ds_grid_add_region ds_grid_multiply_region ds_grid_set_disk ds_grid_add_disk ds_grid_multiply_disk ds_grid_set_grid_region ds_grid_add_grid_region ds_grid_multiply_grid_region ds_grid_get ds_grid_get_sum ds_grid_get_max ds_grid_get_min ds_grid_get_mean ds_grid_get_disk_sum ds_grid_get_disk_min ds_grid_get_disk_max ds_grid_get_disk_mean ds_grid_value_exists ds_grid_value_x ds_grid_value_y ds_grid_value_disk_exists ds_grid_value_disk_x ds_grid_value_disk_y ds_grid_shuffle ds_grid_write ds_grid_read ds_grid_sort ds_grid_set ds_grid_get effect_create_below effect_create_above effect_clear part_type_create part_type_destroy part_type_exists part_type_clear part_type_shape part_type_sprite part_type_size part_type_scale part_type_orientation part_type_life part_type_step part_type_death part_type_speed part_type_direction part_type_gravity part_type_colour1 part_type_colour2 part_type_colour3 part_type_colour_mix part_type_colour_rgb part_type_colour_hsv part_type_color1 part_type_color2 part_type_color3 part_type_color_mix part_type_color_rgb part_type_color_hsv part_type_alpha1 part_type_alpha2 part_type_alpha3 part_type_blend part_system_create part_system_create_layer part_system_destroy part_system_exists part_system_clear part_system_draw_order part_system_depth part_system_position part_system_automatic_update part_system_automatic_draw part_system_update part_system_drawit part_system_get_layer part_system_layer part_particles_create part_particles_create_colour part_particles_create_color part_particles_clear part_particles_count part_emitter_create part_emitter_destroy part_emitter_destroy_all part_emitter_exists part_emitter_clear part_emitter_region part_emitter_burst part_emitter_stream external_call external_define external_free window_handle window_device matrix_get matrix_set matrix_build_identity matrix_build matrix_build_lookat matrix_build_projection_ortho matrix_build_projection_perspective matrix_build_projection_perspective_fov matrix_multiply matrix_transform_vertex matrix_stack_push matrix_stack_pop matrix_stack_multiply matrix_stack_set matrix_stack_clear matrix_stack_top matrix_stack_is_empty browser_input_capture os_get_config os_get_info os_get_language os_get_region os_lock_orientation display_get_dpi_x display_get_dpi_y display_set_gui_size display_set_gui_maximise display_set_gui_maximize device_mouse_dbclick_enable display_set_timing_method display_get_timing_method display_set_sleep_margin display_get_sleep_margin virtual_key_add virtual_key_hide virtual_key_delete virtual_key_show draw_enable_drawevent draw_enable_swf_aa draw_set_swf_aa_level draw_get_swf_aa_level draw_texture_flush draw_flush gpu_set_blendenable gpu_set_ztestenable gpu_set_zfunc gpu_set_zwriteenable gpu_set_lightingenable gpu_set_fog gpu_set_cullmode gpu_set_blendmode gpu_set_blendmode_ext gpu_set_blendmode_ext_sepalpha gpu_set_colorwriteenable gpu_set_colourwriteenable gpu_set_alphatestenable gpu_set_alphatestref gpu_set_alphatestfunc gpu_set_texfilter gpu_set_texfilter_ext gpu_set_texrepeat gpu_set_texrepeat_ext gpu_set_tex_filter gpu_set_tex_filter_ext gpu_set_tex_repeat gpu_set_tex_repeat_ext gpu_set_tex_mip_filter gpu_set_tex_mip_filter_ext gpu_set_tex_mip_bias gpu_set_tex_mip_bias_ext gpu_set_tex_min_mip gpu_set_tex_min_mip_ext gpu_set_tex_max_mip gpu_set_tex_max_mip_ext gpu_set_tex_max_aniso gpu_set_tex_max_aniso_ext gpu_set_tex_mip_enable gpu_set_tex_mip_enable_ext gpu_get_blendenable gpu_get_ztestenable gpu_get_zfunc gpu_get_zwriteenable gpu_get_lightingenable gpu_get_fog gpu_get_cullmode gpu_get_blendmode gpu_get_blendmode_ext gpu_get_blendmode_ext_sepalpha gpu_get_blendmode_src gpu_get_blendmode_dest gpu_get_blendmode_srcalpha gpu_get_blendmode_destalpha gpu_get_colorwriteenable gpu_get_colourwriteenable gpu_get_alphatestenable gpu_get_alphatestref gpu_get_alphatestfunc gpu_get_texfilter gpu_get_texfilter_ext gpu_get_texrepeat gpu_get_texrepeat_ext gpu_get_tex_filter gpu_get_tex_filter_ext gpu_get_tex_repeat gpu_get_tex_repeat_ext gpu_get_tex_mip_filter gpu_get_tex_mip_filter_ext gpu_get_tex_mip_bias gpu_get_tex_mip_bias_ext gpu_get_tex_min_mip gpu_get_tex_min_mip_ext gpu_get_tex_max_mip gpu_get_tex_max_mip_ext gpu_get_tex_max_aniso gpu_get_tex_max_aniso_ext gpu_get_tex_mip_enable gpu_get_tex_mip_enable_ext gpu_push_state gpu_pop_state gpu_get_state gpu_set_state draw_light_define_ambient draw_light_define_direction draw_light_define_point draw_light_enable draw_set_lighting draw_light_get_ambient draw_light_get draw_get_lighting shop_leave_rating url_get_domain url_open url_open_ext url_open_full get_timer achievement_login achievement_logout achievement_post achievement_increment achievement_post_score achievement_available achievement_show_achievements achievement_show_leaderboards achievement_load_friends achievement_load_leaderboard achievement_send_challenge achievement_load_progress achievement_reset achievement_login_status achievement_get_pic achievement_show_challenge_notifications achievement_get_challenges achievement_event achievement_show achievement_get_info cloud_file_save cloud_string_save cloud_synchronise ads_enable ads_disable ads_setup ads_engagement_launch ads_engagement_available ads_engagement_active ads_event ads_event_preload ads_set_reward_callback ads_get_display_height ads_get_display_width ads_move ads_interstitial_available ads_interstitial_display device_get_tilt_x device_get_tilt_y device_get_tilt_z device_is_keypad_open device_mouse_check_button device_mouse_check_button_pressed device_mouse_check_button_released device_mouse_x device_mouse_y device_mouse_raw_x device_mouse_raw_y device_mouse_x_to_gui device_mouse_y_to_gui iap_activate iap_status iap_enumerate_products iap_restore_all iap_acquire iap_consume iap_product_details iap_purchase_details facebook_init facebook_login facebook_status facebook_graph_request facebook_dialog facebook_logout facebook_launch_offerwall facebook_post_message facebook_send_invite facebook_user_id facebook_accesstoken facebook_check_permission facebook_request_read_permissions facebook_request_publish_permissions gamepad_is_supported gamepad_get_device_count gamepad_is_connected gamepad_get_description gamepad_get_button_threshold gamepad_set_button_threshold gamepad_get_axis_deadzone gamepad_set_axis_deadzone gamepad_button_count gamepad_button_check gamepad_button_check_pressed gamepad_button_check_released gamepad_button_value gamepad_axis_count gamepad_axis_value gamepad_set_vibration gamepad_set_colour gamepad_set_color os_is_paused window_has_focus code_is_compiled http_get http_get_file http_post_string http_request json_encode json_decode zip_unzip load_csv base64_encode base64_decode md5_string_unicode md5_string_utf8 md5_file os_is_network_connected sha1_string_unicode sha1_string_utf8 sha1_file os_powersave_enable analytics_event analytics_event_ext win8_livetile_tile_notification win8_livetile_tile_clear win8_livetile_badge_notification win8_livetile_badge_clear win8_livetile_queue_enable win8_secondarytile_pin win8_secondarytile_badge_notification win8_secondarytile_delete win8_livetile_notification_begin win8_livetile_notification_secondary_begin win8_livetile_notification_expiry win8_livetile_notification_tag win8_livetile_notification_text_add win8_livetile_notification_image_add win8_livetile_notification_end win8_appbar_enable win8_appbar_add_element win8_appbar_remove_element win8_settingscharm_add_entry win8_settingscharm_add_html_entry win8_settingscharm_add_xaml_entry win8_settingscharm_set_xaml_property win8_settingscharm_get_xaml_property win8_settingscharm_remove_entry win8_share_image win8_share_screenshot win8_share_file win8_share_url win8_share_text win8_search_enable win8_search_disable win8_search_add_suggestions win8_device_touchscreen_available win8_license_initialize_sandbox win8_license_trial_version winphone_license_trial_version winphone_tile_title winphone_tile_count winphone_tile_back_title winphone_tile_back_content winphone_tile_back_content_wide winphone_tile_front_image winphone_tile_front_image_small winphone_tile_front_image_wide winphone_tile_back_image winphone_tile_back_image_wide winphone_tile_background_colour winphone_tile_background_color winphone_tile_icon_image winphone_tile_small_icon_image winphone_tile_wide_content winphone_tile_cycle_images winphone_tile_small_background_image physics_world_create physics_world_gravity physics_world_update_speed physics_world_update_iterations physics_world_draw_debug physics_pause_enable physics_fixture_create physics_fixture_set_kinematic physics_fixture_set_density physics_fixture_set_awake physics_fixture_set_restitution physics_fixture_set_friction physics_fixture_set_collision_group physics_fixture_set_sensor physics_fixture_set_linear_damping physics_fixture_set_angular_damping physics_fixture_set_circle_shape physics_fixture_set_box_shape physics_fixture_set_edge_shape physics_fixture_set_polygon_shape physics_fixture_set_chain_shape physics_fixture_add_point physics_fixture_bind physics_fixture_bind_ext physics_fixture_delete physics_apply_force physics_apply_impulse physics_apply_angular_impulse physics_apply_local_force physics_apply_local_impulse physics_apply_torque physics_mass_properties physics_draw_debug physics_test_overlap physics_remove_fixture physics_set_friction physics_set_density physics_set_restitution physics_get_friction physics_get_density physics_get_restitution physics_joint_distance_create physics_joint_rope_create physics_joint_revolute_create physics_joint_prismatic_create physics_joint_pulley_create physics_joint_wheel_create physics_joint_weld_create physics_joint_friction_create physics_joint_gear_create physics_joint_enable_motor physics_joint_get_value physics_joint_set_value physics_joint_delete physics_particle_create physics_particle_delete physics_particle_delete_region_circle physics_particle_delete_region_box physics_particle_delete_region_poly physics_particle_set_flags physics_particle_set_category_flags physics_particle_draw physics_particle_draw_ext physics_particle_count physics_particle_get_data physics_particle_get_data_particle physics_particle_group_begin physics_particle_group_circle physics_particle_group_box physics_particle_group_polygon physics_particle_group_add_point physics_particle_group_end physics_particle_group_join physics_particle_group_delete physics_particle_group_count physics_particle_group_get_data physics_particle_group_get_mass physics_particle_group_get_inertia physics_particle_group_get_centre_x physics_particle_group_get_centre_y physics_particle_group_get_vel_x physics_particle_group_get_vel_y physics_particle_group_get_ang_vel physics_particle_group_get_x physics_particle_group_get_y physics_particle_group_get_angle physics_particle_set_group_flags physics_particle_get_group_flags physics_particle_get_max_count physics_particle_get_radius physics_particle_get_density physics_particle_get_damping physics_particle_get_gravity_scale physics_particle_set_max_count physics_particle_set_radius physics_particle_set_density physics_particle_set_damping physics_particle_set_gravity_scale network_create_socket network_create_socket_ext network_create_server network_create_server_raw network_connect network_connect_raw network_send_packet network_send_raw network_send_broadcast network_send_udp network_send_udp_raw network_set_timeout network_set_config network_resolve network_destroy buffer_create buffer_write buffer_read buffer_seek buffer_get_surface buffer_set_surface buffer_delete buffer_exists buffer_get_type buffer_get_alignment buffer_poke buffer_peek buffer_save buffer_save_ext buffer_load buffer_load_ext buffer_load_partial buffer_copy buffer_fill buffer_get_size buffer_tell buffer_resize buffer_md5 buffer_sha1 buffer_base64_encode buffer_base64_decode buffer_base64_decode_ext buffer_sizeof buffer_get_address buffer_create_from_vertex_buffer buffer_create_from_vertex_buffer_ext buffer_copy_from_vertex_buffer buffer_async_group_begin buffer_async_group_option buffer_async_group_end buffer_load_async buffer_save_async gml_release_mode gml_pragma steam_activate_overlay steam_is_overlay_enabled steam_is_overlay_activated steam_get_persona_name steam_initialised steam_is_cloud_enabled_for_app steam_is_cloud_enabled_for_account steam_file_persisted steam_get_quota_total steam_get_quota_free steam_file_write steam_file_write_file steam_file_read steam_file_delete steam_file_exists steam_file_size steam_file_share steam_is_screenshot_requested steam_send_screenshot steam_is_user_logged_on steam_get_user_steam_id steam_user_owns_dlc steam_user_installed_dlc steam_set_achievement steam_get_achievement steam_clear_achievement steam_set_stat_int steam_set_stat_float steam_set_stat_avg_rate steam_get_stat_int steam_get_stat_float steam_get_stat_avg_rate steam_reset_all_stats steam_reset_all_stats_achievements steam_stats_ready steam_create_leaderboard steam_upload_score steam_upload_score_ext steam_download_scores_around_user steam_download_scores steam_download_friends_scores steam_upload_score_buffer steam_upload_score_buffer_ext steam_current_game_language steam_available_languages steam_activate_overlay_browser steam_activate_overlay_user steam_activate_overlay_store steam_get_user_persona_name steam_get_app_id steam_get_user_account_id steam_ugc_download steam_ugc_create_item steam_ugc_start_item_update steam_ugc_set_item_title steam_ugc_set_item_description steam_ugc_set_item_visibility steam_ugc_set_item_tags steam_ugc_set_item_content steam_ugc_set_item_preview steam_ugc_submit_item_update steam_ugc_get_item_update_progress steam_ugc_subscribe_item steam_ugc_unsubscribe_item steam_ugc_num_subscribed_items steam_ugc_get_subscribed_items steam_ugc_get_item_install_info steam_ugc_get_item_update_info steam_ugc_request_item_details steam_ugc_create_query_user steam_ugc_create_query_user_ex steam_ugc_create_query_all steam_ugc_create_query_all_ex steam_ugc_query_set_cloud_filename_filter steam_ugc_query_set_match_any_tag steam_ugc_query_set_search_text steam_ugc_query_set_ranked_by_trend_days steam_ugc_query_add_required_tag steam_ugc_query_add_excluded_tag steam_ugc_query_set_return_long_description steam_ugc_query_set_return_total_only steam_ugc_query_set_allow_cached_response steam_ugc_send_query shader_set shader_get_name shader_reset shader_current shader_is_compiled shader_get_sampler_index shader_get_uniform shader_set_uniform_i shader_set_uniform_i_array shader_set_uniform_f shader_set_uniform_f_array shader_set_uniform_matrix shader_set_uniform_matrix_array shader_enable_corner_id texture_set_stage texture_get_texel_width texture_get_texel_height shaders_are_supported vertex_format_begin vertex_format_end vertex_format_delete vertex_format_add_position vertex_format_add_position_3d vertex_format_add_colour vertex_format_add_color vertex_format_add_normal vertex_format_add_texcoord vertex_format_add_textcoord vertex_format_add_custom vertex_create_buffer vertex_create_buffer_ext vertex_delete_buffer vertex_begin vertex_end vertex_position vertex_position_3d vertex_colour vertex_color vertex_argb vertex_texcoord vertex_normal vertex_float1 vertex_float2 vertex_float3 vertex_float4 vertex_ubyte4 vertex_submit vertex_freeze vertex_get_number vertex_get_buffer_size vertex_create_buffer_from_buffer vertex_create_buffer_from_buffer_ext push_local_notification push_get_first_local_notification push_get_next_local_notification push_cancel_local_notification skeleton_animation_set skeleton_animation_get skeleton_animation_mix skeleton_animation_set_ext skeleton_animation_get_ext skeleton_animation_get_duration skeleton_animation_get_frames skeleton_animation_clear skeleton_skin_set skeleton_skin_get skeleton_attachment_set skeleton_attachment_get skeleton_attachment_create skeleton_collision_draw_set skeleton_bone_data_get skeleton_bone_data_set skeleton_bone_state_get skeleton_bone_state_set skeleton_get_minmax skeleton_get_num_bounds skeleton_get_bounds skeleton_animation_get_frame skeleton_animation_set_frame draw_skeleton draw_skeleton_time draw_skeleton_instance draw_skeleton_collision skeleton_animation_list skeleton_skin_list skeleton_slot_data layer_get_id layer_get_id_at_depth layer_get_depth layer_create layer_destroy layer_destroy_instances layer_add_instance layer_has_instance layer_set_visible layer_get_visible layer_exists layer_x layer_y layer_get_x layer_get_y layer_hspeed layer_vspeed layer_get_hspeed layer_get_vspeed layer_script_begin layer_script_end layer_shader layer_get_script_begin layer_get_script_end layer_get_shader layer_set_target_room layer_get_target_room layer_reset_target_room layer_get_all layer_get_all_elements layer_get_name layer_depth layer_get_element_layer layer_get_element_type layer_element_move layer_force_draw_depth layer_is_draw_depth_forced layer_get_forced_depth layer_background_get_id layer_background_exists layer_background_create layer_background_destroy layer_background_visible layer_background_change layer_background_sprite layer_background_htiled layer_background_vtiled layer_background_stretch layer_background_yscale layer_background_xscale layer_background_blend layer_background_alpha layer_background_index layer_background_speed layer_background_get_visible layer_background_get_sprite layer_background_get_htiled layer_background_get_vtiled layer_background_get_stretch layer_background_get_yscale layer_background_get_xscale layer_background_get_blend layer_background_get_alpha layer_background_get_index layer_background_get_speed layer_sprite_get_id layer_sprite_exists layer_sprite_create layer_sprite_destroy layer_sprite_change layer_sprite_index layer_sprite_speed layer_sprite_xscale layer_sprite_yscale layer_sprite_angle layer_sprite_blend layer_sprite_alpha layer_sprite_x layer_sprite_y layer_sprite_get_sprite layer_sprite_get_index layer_sprite_get_speed layer_sprite_get_xscale layer_sprite_get_yscale layer_sprite_get_angle layer_sprite_get_blend layer_sprite_get_alpha layer_sprite_get_x layer_sprite_get_y layer_tilemap_get_id layer_tilemap_exists layer_tilemap_create layer_tilemap_destroy tilemap_tileset tilemap_x tilemap_y tilemap_set tilemap_set_at_pixel tilemap_get_tileset tilemap_get_tile_width tilemap_get_tile_height tilemap_get_width tilemap_get_height tilemap_get_x tilemap_get_y tilemap_get tilemap_get_at_pixel tilemap_get_cell_x_at_pixel tilemap_get_cell_y_at_pixel tilemap_clear draw_tilemap draw_tile tilemap_set_global_mask tilemap_get_global_mask tilemap_set_mask tilemap_get_mask tilemap_get_frame tile_set_empty tile_set_index tile_set_flip tile_set_mirror tile_set_rotate tile_get_empty tile_get_index tile_get_flip tile_get_mirror tile_get_rotate layer_tile_exists layer_tile_create layer_tile_destroy layer_tile_change layer_tile_xscale layer_tile_yscale layer_tile_blend layer_tile_alpha layer_tile_x layer_tile_y layer_tile_region layer_tile_visible layer_tile_get_sprite layer_tile_get_xscale layer_tile_get_yscale layer_tile_get_blend layer_tile_get_alpha layer_tile_get_x layer_tile_get_y layer_tile_get_region layer_tile_get_visible layer_instance_get_instance instance_activate_layer instance_deactivate_layer camera_create camera_create_view camera_destroy camera_apply camera_get_active camera_get_default camera_set_default camera_set_view_mat camera_set_proj_mat camera_set_update_script camera_set_begin_script camera_set_end_script camera_set_view_pos camera_set_view_size camera_set_view_speed camera_set_view_border camera_set_view_angle camera_set_view_target camera_get_view_mat camera_get_proj_mat camera_get_update_script camera_get_begin_script camera_get_end_script camera_get_view_x camera_get_view_y camera_get_view_width camera_get_view_height camera_get_view_speed_x camera_get_view_speed_y camera_get_view_border_x camera_get_view_border_y camera_get_view_angle camera_get_view_target view_get_camera view_get_visible view_get_xport view_get_yport view_get_wport view_get_hport view_get_surface_id view_set_camera view_set_visible view_set_xport view_set_yport view_set_wport view_set_hport view_set_surface_id gesture_drag_time gesture_drag_distance gesture_flick_speed gesture_double_tap_time gesture_double_tap_distance gesture_pinch_distance gesture_pinch_angle_towards gesture_pinch_angle_away gesture_rotate_time gesture_rotate_angle gesture_tap_count gesture_get_drag_time gesture_get_drag_distance gesture_get_flick_speed gesture_get_double_tap_time gesture_get_double_tap_distance gesture_get_pinch_distance gesture_get_pinch_angle_towards gesture_get_pinch_angle_away gesture_get_rotate_time gesture_get_rotate_angle gesture_get_tap_count keyboard_virtual_show keyboard_virtual_hide keyboard_virtual_status keyboard_virtual_height\",literal:\"self other all noone global local undefined pointer_invalid pointer_null path_action_stop path_action_restart path_action_continue path_action_reverse true false pi GM_build_date GM_version GM_runtime_version timezone_local timezone_utc gamespeed_fps gamespeed_microseconds ev_create ev_destroy ev_step ev_alarm ev_keyboard ev_mouse ev_collision ev_other ev_draw ev_draw_begin ev_draw_end ev_draw_pre ev_draw_post ev_keypress ev_keyrelease ev_trigger ev_left_button ev_right_button ev_middle_button ev_no_button ev_left_press ev_right_press ev_middle_press ev_left_release ev_right_release ev_middle_release ev_mouse_enter ev_mouse_leave ev_mouse_wheel_up ev_mouse_wheel_down ev_global_left_button ev_global_right_button ev_global_middle_button ev_global_left_press ev_global_right_press ev_global_middle_press ev_global_left_release ev_global_right_release ev_global_middle_release ev_joystick1_left ev_joystick1_right ev_joystick1_up ev_joystick1_down ev_joystick1_button1 ev_joystick1_button2 ev_joystick1_button3 ev_joystick1_button4 ev_joystick1_button5 ev_joystick1_button6 ev_joystick1_button7 ev_joystick1_button8 ev_joystick2_left ev_joystick2_right ev_joystick2_up ev_joystick2_down ev_joystick2_button1 ev_joystick2_button2 ev_joystick2_button3 ev_joystick2_button4 ev_joystick2_button5 ev_joystick2_button6 ev_joystick2_button7 ev_joystick2_button8 ev_outside ev_boundary ev_game_start ev_game_end ev_room_start ev_room_end ev_no_more_lives ev_animation_end ev_end_of_path ev_no_more_health ev_close_button ev_user0 ev_user1 ev_user2 ev_user3 ev_user4 ev_user5 ev_user6 ev_user7 ev_user8 ev_user9 ev_user10 ev_user11 ev_user12 ev_user13 ev_user14 ev_user15 ev_step_normal ev_step_begin ev_step_end ev_gui ev_gui_begin ev_gui_end ev_cleanup ev_gesture ev_gesture_tap ev_gesture_double_tap ev_gesture_drag_start ev_gesture_dragging ev_gesture_drag_end ev_gesture_flick ev_gesture_pinch_start ev_gesture_pinch_in ev_gesture_pinch_out ev_gesture_pinch_end ev_gesture_rotate_start ev_gesture_rotating ev_gesture_rotate_end ev_global_gesture_tap ev_global_gesture_double_tap ev_global_gesture_drag_start ev_global_gesture_dragging ev_global_gesture_drag_end ev_global_gesture_flick ev_global_gesture_pinch_start ev_global_gesture_pinch_in ev_global_gesture_pinch_out ev_global_gesture_pinch_end ev_global_gesture_rotate_start ev_global_gesture_rotating ev_global_gesture_rotate_end vk_nokey vk_anykey vk_enter vk_return vk_shift vk_control vk_alt vk_escape vk_space vk_backspace vk_tab vk_pause vk_printscreen vk_left vk_right vk_up vk_down vk_home vk_end vk_delete vk_insert vk_pageup vk_pagedown vk_f1 vk_f2 vk_f3 vk_f4 vk_f5 vk_f6 vk_f7 vk_f8 vk_f9 vk_f10 vk_f11 vk_f12 vk_numpad0 vk_numpad1 vk_numpad2 vk_numpad3 vk_numpad4 vk_numpad5 vk_numpad6 vk_numpad7 vk_numpad8 vk_numpad9 vk_divide vk_multiply vk_subtract vk_add vk_decimal vk_lshift vk_lcontrol vk_lalt vk_rshift vk_rcontrol vk_ralt mb_any mb_none mb_left mb_right mb_middle c_aqua c_black c_blue c_dkgray c_fuchsia c_gray c_green c_lime c_ltgray c_maroon c_navy c_olive c_purple c_red c_silver c_teal c_white c_yellow c_orange fa_left fa_center fa_right fa_top fa_middle fa_bottom pr_pointlist pr_linelist pr_linestrip pr_trianglelist pr_trianglestrip pr_trianglefan bm_complex bm_normal bm_add bm_max bm_subtract bm_zero bm_one bm_src_colour bm_inv_src_colour bm_src_color bm_inv_src_color bm_src_alpha bm_inv_src_alpha bm_dest_alpha bm_inv_dest_alpha bm_dest_colour bm_inv_dest_colour bm_dest_color bm_inv_dest_color bm_src_alpha_sat tf_point tf_linear tf_anisotropic mip_off mip_on mip_markedonly audio_falloff_none audio_falloff_inverse_distance audio_falloff_inverse_distance_clamped audio_falloff_linear_distance audio_falloff_linear_distance_clamped audio_falloff_exponent_distance audio_falloff_exponent_distance_clamped audio_old_system audio_new_system audio_mono audio_stereo audio_3d cr_default cr_none cr_arrow cr_cross cr_beam cr_size_nesw cr_size_ns cr_size_nwse cr_size_we cr_uparrow cr_hourglass cr_drag cr_appstart cr_handpoint cr_size_all spritespeed_framespersecond spritespeed_framespergameframe asset_object asset_unknown asset_sprite asset_sound asset_room asset_path asset_script asset_font asset_timeline asset_tiles asset_shader fa_readonly fa_hidden fa_sysfile fa_volumeid fa_directory fa_archive ds_type_map ds_type_list ds_type_stack ds_type_queue ds_type_grid ds_type_priority ef_explosion ef_ring ef_ellipse ef_firework ef_smoke ef_smokeup ef_star ef_spark ef_flare ef_cloud ef_rain ef_snow pt_shape_pixel pt_shape_disk pt_shape_square pt_shape_line pt_shape_star pt_shape_circle pt_shape_ring pt_shape_sphere pt_shape_flare pt_shape_spark pt_shape_explosion pt_shape_cloud pt_shape_smoke pt_shape_snow ps_distr_linear ps_distr_gaussian ps_distr_invgaussian ps_shape_rectangle ps_shape_ellipse ps_shape_diamond ps_shape_line ty_real ty_string dll_cdecl dll_stdcall matrix_view matrix_projection matrix_world os_win32 os_windows os_macosx os_ios os_android os_symbian os_linux os_unknown os_winphone os_tizen os_win8native os_wiiu os_3ds os_psvita os_bb10 os_ps4 os_xboxone os_ps3 os_xbox360 os_uwp os_tvos os_switch browser_not_a_browser browser_unknown browser_ie browser_firefox browser_chrome browser_safari browser_safari_mobile browser_opera browser_tizen browser_edge browser_windows_store browser_ie_mobile device_ios_unknown device_ios_iphone device_ios_iphone_retina device_ios_ipad device_ios_ipad_retina device_ios_iphone5 device_ios_iphone6 device_ios_iphone6plus device_emulator device_tablet display_landscape display_landscape_flipped display_portrait display_portrait_flipped tm_sleep tm_countvsyncs of_challenge_win of_challen ge_lose of_challenge_tie leaderboard_type_number leaderboard_type_time_mins_secs cmpfunc_never cmpfunc_less cmpfunc_equal cmpfunc_lessequal cmpfunc_greater cmpfunc_notequal cmpfunc_greaterequal cmpfunc_always cull_noculling cull_clockwise cull_counterclockwise lighttype_dir lighttype_point iap_ev_storeload iap_ev_product iap_ev_purchase iap_ev_consume iap_ev_restore iap_storeload_ok iap_storeload_failed iap_status_uninitialised iap_status_unavailable iap_status_loading iap_status_available iap_status_processing iap_status_restoring iap_failed iap_unavailable iap_available iap_purchased iap_canceled iap_refunded fb_login_default fb_login_fallback_to_webview fb_login_no_fallback_to_webview fb_login_forcing_webview fb_login_use_system_account fb_login_forcing_safari phy_joint_anchor_1_x phy_joint_anchor_1_y phy_joint_anchor_2_x phy_joint_anchor_2_y phy_joint_reaction_force_x phy_joint_reaction_force_y phy_joint_reaction_torque phy_joint_motor_speed phy_joint_angle phy_joint_motor_torque phy_joint_max_motor_torque phy_joint_translation phy_joint_speed phy_joint_motor_force phy_joint_max_motor_force phy_joint_length_1 phy_joint_length_2 phy_joint_damping_ratio phy_joint_frequency phy_joint_lower_angle_limit phy_joint_upper_angle_limit phy_joint_angle_limits phy_joint_max_length phy_joint_max_torque phy_joint_max_force phy_debug_render_aabb phy_debug_render_collision_pairs phy_debug_render_coms phy_debug_render_core_shapes phy_debug_render_joints phy_debug_render_obb phy_debug_render_shapes phy_particle_flag_water phy_particle_flag_zombie phy_particle_flag_wall phy_particle_flag_spring phy_particle_flag_elastic phy_particle_flag_viscous phy_particle_flag_powder phy_particle_flag_tensile phy_particle_flag_colourmixing phy_particle_flag_colormixing phy_particle_group_flag_solid phy_particle_group_flag_rigid phy_particle_data_flag_typeflags phy_particle_data_flag_position phy_particle_data_flag_velocity phy_particle_data_flag_colour phy_particle_data_flag_color phy_particle_data_flag_category achievement_our_info achievement_friends_info achievement_leaderboard_info achievement_achievement_info achievement_filter_all_players achievement_filter_friends_only achievement_filter_favorites_only achievement_type_achievement_challenge achievement_type_score_challenge achievement_pic_loaded achievement_show_ui achievement_show_profile achievement_show_leaderboard achievement_show_achievement achievement_show_bank achievement_show_friend_picker achievement_show_purchase_prompt network_socket_tcp network_socket_udp network_socket_bluetooth network_type_connect network_type_disconnect network_type_data network_type_non_blocking_connect network_config_connect_timeout network_config_use_non_blocking_socket network_config_enable_reliable_udp network_config_disable_reliable_udp buffer_fixed buffer_grow buffer_wrap buffer_fast buffer_vbuffer buffer_network buffer_u8 buffer_s8 buffer_u16 buffer_s16 buffer_u32 buffer_s32 buffer_u64 buffer_f16 buffer_f32 buffer_f64 buffer_bool buffer_text buffer_string buffer_surface_copy buffer_seek_start buffer_seek_relative buffer_seek_end buffer_generalerror buffer_outofspace buffer_outofbounds buffer_invalidtype text_type button_type input_type ANSI_CHARSET DEFAULT_CHARSET EASTEUROPE_CHARSET RUSSIAN_CHARSET SYMBOL_CHARSET SHIFTJIS_CHARSET HANGEUL_CHARSET GB2312_CHARSET CHINESEBIG5_CHARSET JOHAB_CHARSET HEBREW_CHARSET ARABIC_CHARSET GREEK_CHARSET TURKISH_CHARSET VIETNAMESE_CHARSET THAI_CHARSET MAC_CHARSET BALTIC_CHARSET OEM_CHARSET gp_face1 gp_face2 gp_face3 gp_face4 gp_shoulderl gp_shoulderr gp_shoulderlb gp_shoulderrb gp_select gp_start gp_stickl gp_stickr gp_padu gp_padd gp_padl gp_padr gp_axislh gp_axislv gp_axisrh gp_axisrv ov_friends ov_community ov_players ov_settings ov_gamegroup ov_achievements lb_sort_none lb_sort_ascending lb_sort_descending lb_disp_none lb_disp_numeric lb_disp_time_sec lb_disp_time_ms ugc_result_success ugc_filetype_community ugc_filetype_microtrans ugc_visibility_public ugc_visibility_friends_only ugc_visibility_private ugc_query_RankedByVote ugc_query_RankedByPublicationDate ugc_query_AcceptedForGameRankedByAcceptanceDate ugc_query_RankedByTrend ugc_query_FavoritedByFriendsRankedByPublicationDate ugc_query_CreatedByFriendsRankedByPublicationDate ugc_query_RankedByNumTimesReported ugc_query_CreatedByFollowedUsersRankedByPublicationDate ugc_query_NotYetRated ugc_query_RankedByTotalVotesAsc ugc_query_RankedByVotesUp ugc_query_RankedByTextSearch ugc_sortorder_CreationOrderDesc ugc_sortorder_CreationOrderAsc ugc_sortorder_TitleAsc ugc_sortorder_LastUpdatedDesc ugc_sortorder_SubscriptionDateDesc ugc_sortorder_VoteScoreDesc ugc_sortorder_ForModeration ugc_list_Published ugc_list_VotedOn ugc_list_VotedUp ugc_list_VotedDown ugc_list_WillVoteLater ugc_list_Favorited ugc_list_Subscribed ugc_list_UsedOrPlayed ugc_list_Followed ugc_match_Items ugc_match_Items_Mtx ugc_match_Items_ReadyToUse ugc_match_Collections ugc_match_Artwork ugc_match_Videos ugc_match_Screenshots ugc_match_AllGuides ugc_match_WebGuides ugc_match_IntegratedGuides ugc_match_UsableInGame ugc_match_ControllerBindings vertex_usage_position vertex_usage_colour vertex_usage_color vertex_usage_normal vertex_usage_texcoord vertex_usage_textcoord vertex_usage_blendweight vertex_usage_blendindices vertex_usage_psize vertex_usage_tangent vertex_usage_binormal vertex_usage_fog vertex_usage_depth vertex_usage_sample vertex_type_float1 vertex_type_float2 vertex_type_float3 vertex_type_float4 vertex_type_colour vertex_type_color vertex_type_ubyte4 layerelementtype_undefined layerelementtype_background layerelementtype_instance layerelementtype_oldtilemap layerelementtype_sprite layerelementtype_tilemap layerelementtype_particlesystem layerelementtype_tile tile_rotate tile_flip tile_mirror tile_index_mask kbv_type_default kbv_type_ascii kbv_type_url kbv_type_email kbv_type_numbers kbv_type_phone kbv_type_phone_name kbv_returnkey_default kbv_returnkey_go kbv_returnkey_google kbv_returnkey_join kbv_returnkey_next kbv_returnkey_route kbv_returnkey_search kbv_returnkey_send kbv_returnkey_yahoo kbv_returnkey_done kbv_returnkey_continue kbv_returnkey_emergency kbv_autocapitalize_none kbv_autocapitalize_words kbv_autocapitalize_sentences kbv_autocapitalize_characters\",symbol:\"argument_relative argument argument0 argument1 argument2 argument3 argument4 argument5 argument6 argument7 argument8 argument9 argument10 argument11 argument12 argument13 argument14 argument15 argument_count x y xprevious yprevious xstart ystart hspeed vspeed direction speed friction gravity gravity_direction path_index path_position path_positionprevious path_speed path_scale path_orientation path_endaction object_index id solid persistent mask_index instance_count instance_id room_speed fps fps_real current_time current_year current_month current_day current_weekday current_hour current_minute current_second alarm timeline_index timeline_position timeline_speed timeline_running timeline_loop room room_first room_last room_width room_height room_caption room_persistent score lives health show_score show_lives show_health caption_score caption_lives caption_health event_type event_number event_object event_action application_surface gamemaker_pro gamemaker_registered gamemaker_version error_occurred error_last debug_mode keyboard_key keyboard_lastkey keyboard_lastchar keyboard_string mouse_x mouse_y mouse_button mouse_lastbutton cursor_sprite visible sprite_index sprite_width sprite_height sprite_xoffset sprite_yoffset image_number image_index image_speed depth image_xscale image_yscale image_angle image_alpha image_blend bbox_left bbox_right bbox_top bbox_bottom layer background_colour background_showcolour background_color background_showcolor view_enabled view_current view_visible view_xview view_yview view_wview view_hview view_xport view_yport view_wport view_hport view_angle view_hborder view_vborder view_hspeed view_vspeed view_object view_surface_id view_camera game_id game_display_name game_project_name game_save_id working_directory temp_directory program_directory browser_width browser_height os_type os_device os_browser os_version display_aa async_load delta_time webgl_enabled event_data iap_data phy_rotation phy_position_x phy_position_y phy_angular_velocity phy_linear_velocity_x phy_linear_velocity_y phy_speed_x phy_speed_y phy_speed phy_angular_damping phy_linear_damping phy_bullet phy_fixed_rotation phy_active phy_mass phy_inertia phy_com_x phy_com_y phy_dynamic phy_kinematic phy_sleeping phy_collision_points phy_collision_x phy_collision_y phy_col_normal_x phy_col_normal_y phy_position_xprevious phy_position_yprevious\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}};var oo=function(e){var t={keyword:\"break default func interface select case map struct chan else goto package switch const fallthrough if range type continue for import return var go defer bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 uint16 uint32 uint64 int uint uintptr rune\",literal:\"true false iota nil\",built_in:\"append cap close complex copy imag len make new panic print println real recover delete\"};return{name:\"Go\",aliases:[\"golang\"],keywords:t,illegal:\"</\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"string\",variants:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{begin:\"`\",end:\"`\"}]},{className:\"number\",variants:[{begin:e.C_NUMBER_RE+\"[i]\",relevance:1},e.C_NUMBER_MODE]},{begin:/:=/},{className:\"function\",beginKeywords:\"func\",end:\"\\\\s*(\\\\{|$)\",excludeEnd:!0,contains:[e.TITLE_MODE,{className:\"params\",begin:/\\(/,end:/\\)/,keywords:t,illegal:/[\"']/}]}]}};var so=function(e){return{name:\"Golo\",keywords:{keyword:\"println readln print import module function local return let var while for foreach times in case when match with break continue augment augmentation each find filter reduce if then else otherwise try catch finally raise throw orIfNull DynamicObject|10 DynamicVariable struct Observable map set vector list array\",literal:\"true false null\"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"@[A-Za-z]+\"}]}};var lo=function(e){return{name:\"Gradle\",case_insensitive:!0,keywords:{keyword:\"task project allprojects subprojects artifacts buildscript configurations dependencies repositories sourceSets description delete from into include exclude source classpath destinationDir includes options sourceCompatibility targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant def abstract break case catch continue default do else extends final finally for if implements instanceof native new private protected public return static switch synchronized throw throws transient try volatile while strictfp package import false null super this true antlrtask checkstyle codenarc copy boolean byte char class double float int interface long short void compile runTime file fileTree abs any append asList asWritable call collect compareTo count div dump each eachByte eachFile eachLine every find findAll flatten getAt getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods isCase join leftShift minus multiply newInputStream newOutputStream newPrintWriter newReader newWriter next plus pop power previous print println push putAt read readBytes readLines reverse reverseEach round size sort splitEachLine step subMap times toInteger toList tokenize upto waitForOrKill withPrintWriter withReader withStream withWriter withWriterAppend write writeLine\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.REGEXP_MODE]}};var _o=function(e){return{name:\"Groovy\",keywords:{literal:\"true false null\",keyword:\"byte short char int long boolean float double void def as in assert trait super this abstract static volatile transient public private protected synchronized final class interface enum if else for while switch case break default continue throw throws try catch finally implements extends new import package return instanceof\"},contains:[e.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{begin:/\\w+@/,relevance:0},{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"string\",begin:'\"\"\"',end:'\"\"\"'},{className:\"string\",begin:\"'''\",end:\"'''\"},{className:\"string\",begin:\"\\\\$/\",end:\"/\\\\$\",relevance:10},e.APOS_STRING_MODE,{className:\"regexp\",begin:/~?\\/[^\\/\\n]+\\//,contains:[e.BACKSLASH_ESCAPE]},e.QUOTE_STRING_MODE,{className:\"meta\",begin:\"^#!/usr/bin/env\",end:\"$\",illegal:\"\\n\"},e.BINARY_NUMBER_MODE,{className:\"class\",beginKeywords:\"class interface trait enum\",end:\"{\",illegal:\":\",contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:\"meta\",begin:\"@[A-Za-z]+\"},{className:\"string\",begin:/[^\\?]{0}[A-Za-z0-9_$]+ *:/},{begin:/\\?/,end:/\\:/},{className:\"symbol\",begin:\"^\\\\s*[A-Za-z0-9_$]+:\",relevance:0}],illegal:/#|<\\//}};var co=function(e){return{name:\"HAML\",case_insensitive:!0,contains:[{className:\"meta\",begin:\"^!!!( (5|1\\\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\\\b.*))?$\",relevance:10},e.COMMENT(\"^\\\\s*(!=#|=#|-#|/).*$\",!1,{relevance:0}),{begin:\"^\\\\s*(-|=|!=)(?!#)\",starts:{end:\"\\\\n\",subLanguage:\"ruby\"}},{className:\"tag\",begin:\"^\\\\s*%\",contains:[{className:\"selector-tag\",begin:\"\\\\w+\"},{className:\"selector-id\",begin:\"#[\\\\w-]+\"},{className:\"selector-class\",begin:\"\\\\.[\\\\w-]+\"},{begin:\"{\\\\s*\",end:\"\\\\s*}\",contains:[{begin:\":\\\\w+\\\\s*=>\",end:\",\\\\s+\",returnBegin:!0,endsWithParent:!0,contains:[{className:\"attr\",begin:\":\\\\w+\"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"\\\\w+\",relevance:0}]}]},{begin:\"\\\\(\\\\s*\",end:\"\\\\s*\\\\)\",excludeEnd:!0,contains:[{begin:\"\\\\w+\\\\s*=\",end:\"\\\\s+\",returnBegin:!0,endsWithParent:!0,contains:[{className:\"attr\",begin:\"\\\\w+\",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:\"\\\\w+\",relevance:0}]}]}]},{begin:\"^\\\\s*[=~]\\\\s*\"},{begin:\"#{\",starts:{end:\"}\",subLanguage:\"ruby\"}}]}};var uo=function(e){var t={\"builtin-name\":\"each in with if else unless bindattr action collection debugger log outlet template unbound view yield lookup\"},a={begin:/\".*?\"|'.*?'|\\[.*?\\]|\\w+/},n=e.inherit(a,{keywords:t,starts:{endsWithParent:!0,relevance:0,contains:[e.inherit(a,{relevance:0})]}}),r=e.inherit(n,{className:\"name\"}),i=e.inherit(n,{relevance:0});return{name:\"Handlebars\",aliases:[\"hbs\",\"html.hbs\",\"html.handlebars\"],case_insensitive:!0,subLanguage:\"xml\",contains:[{begin:/\\\\\\{\\{/,skip:!0},{begin:/\\\\\\\\(?=\\{\\{)/,skip:!0},e.COMMENT(/\\{\\{!--/,/--\\}\\}/),e.COMMENT(/\\{\\{!/,/\\}\\}/),{className:\"template-tag\",begin:/\\{\\{\\{\\{(?!\\/)/,end:/\\}\\}\\}\\}/,contains:[r],starts:{end:/\\{\\{\\{\\{\\//,returnEnd:!0,subLanguage:\"xml\"}},{className:\"template-tag\",begin:/\\{\\{\\{\\{\\//,end:/\\}\\}\\}\\}/,contains:[r]},{className:\"template-tag\",begin:/\\{\\{[#\\/]/,end:/\\}\\}/,contains:[r]},{className:\"template-variable\",begin:/\\{\\{\\{/,end:/\\}\\}\\}/,keywords:t,contains:[i]},{className:\"template-variable\",begin:/\\{\\{/,end:/\\}\\}/,keywords:t,contains:[i]}]}};var mo=function(e){var t={variants:[e.COMMENT(\"--\",\"$\"),e.COMMENT(\"{-\",\"-}\",{contains:[\"self\"]})]},a={className:\"meta\",begin:\"{-#\",end:\"#-}\"},n={className:\"meta\",begin:\"^#\",end:\"$\"},r={className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},i={begin:\"\\\\(\",end:\"\\\\)\",illegal:'\"',contains:[a,n,{className:\"type\",begin:\"\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?\"},e.inherit(e.TITLE_MODE,{begin:\"[_a-z][\\\\w']*\"}),t]};return{name:\"Haskell\",aliases:[\"hs\"],keywords:\"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec\",contains:[{beginKeywords:\"module\",end:\"where\",keywords:\"module where\",contains:[i,t],illegal:\"\\\\W\\\\.|;\"},{begin:\"\\\\bimport\\\\b\",end:\"$\",keywords:\"import qualified as hiding\",contains:[i,t],illegal:\"\\\\W\\\\.|;\"},{className:\"class\",begin:\"^(\\\\s*)?(class|instance)\\\\b\",end:\"where\",keywords:\"class family instance where\",contains:[r,i,t]},{className:\"class\",begin:\"\\\\b(data|(new)?type)\\\\b\",end:\"$\",keywords:\"data family type newtype deriving\",contains:[a,r,i,{begin:\"{\",end:\"}\",contains:i.contains},t]},{beginKeywords:\"default\",end:\"$\",contains:[r,i,t]},{beginKeywords:\"infix infixl infixr\",end:\"$\",contains:[e.C_NUMBER_MODE,t]},{begin:\"\\\\bforeign\\\\b\",end:\"$\",keywords:\"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe\",contains:[r,e.QUOTE_STRING_MODE,t]},{className:\"meta\",begin:\"#!\\\\/usr\\\\/bin\\\\/env runhaskell\",end:\"$\"},a,n,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,r,e.inherit(e.TITLE_MODE,{begin:\"^[_a-z][\\\\w']*\"}),t,{begin:\"->|<-\"}]}};var po=function(e){return{name:\"Haxe\",aliases:[\"hx\"],keywords:{keyword:\"break case cast catch continue default do dynamic else enum extern for function here if import in inline never new override package private get set public return static super switch this throw trace try typedef untyped using var while Int Float String Bool Dynamic Void Array \",built_in:\"trace this\",literal:\"true false null _\"},contains:[{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE,{className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\"},{className:\"subst\",begin:\"\\\\$\",end:\"\\\\W}\"}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"@:\",end:\"$\"},{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elseif end error\"}},{className:\"type\",begin:\":[ \\t]*\",end:\"[^A-Za-z0-9_ \\t\\\\->]\",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:\"type\",begin:\":[ \\t]*\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},{className:\"type\",begin:\"new *\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},{className:\"class\",beginKeywords:\"enum\",end:\"\\\\{\",contains:[e.TITLE_MODE]},{className:\"class\",beginKeywords:\"abstract\",end:\"[\\\\{$]\",contains:[{className:\"type\",begin:\"\\\\(\",end:\"\\\\)\",excludeBegin:!0,excludeEnd:!0},{className:\"type\",begin:\"from +\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},{className:\"type\",begin:\"to +\",end:\"\\\\W\",excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:\"abstract from to\"}},{className:\"class\",begin:\"\\\\b(class|interface) +\",end:\"[\\\\{$]\",excludeEnd:!0,keywords:\"class interface\",contains:[{className:\"keyword\",begin:\"\\\\b(extends|implements) +\",keywords:\"extends implements\",contains:[{className:\"type\",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:\"function\",beginKeywords:\"function\",end:\"\\\\(\",excludeEnd:!0,illegal:\"\\\\S\",contains:[e.TITLE_MODE]}],illegal:/<\\//}};var Eo=function(e){return{name:\"HSP\",case_insensitive:!0,lexemes:/[\\w\\._]+/,keywords:\"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:\"string\",begin:'{\"',end:'\"}',contains:[e.BACKSLASH_ESCAPE]},e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib\"},contains:[e.inherit(e.QUOTE_STRING_MODE,{className:\"meta-string\"}),e.NUMBER_MODE,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:\"symbol\",begin:\"^\\\\*(\\\\w+|@)\"},e.NUMBER_MODE,e.C_NUMBER_MODE]}};var go=function(e){e.requireLanguage(\"handlebars\");var t=\"action collection component concat debugger each each-in else get hash if input link-to loc log mut outlet partial query-params render textarea unbound unless with yield view\",a=(e.QUOTE_STRING_MODE,{endsWithParent:!0,relevance:0,keywords:{keyword:\"as\",built_in:t},contains:[e.QUOTE_STRING_MODE,{illegal:/\\}\\}/,begin:/[a-zA-Z0-9_]+=/,returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:/[a-zA-Z0-9_]+/}]},e.NUMBER_MODE]});return{name:\"HTMLBars\",case_insensitive:!0,subLanguage:\"xml\",contains:[e.COMMENT(\"{{!(--)?\",\"(--)?}}\"),{className:\"template-tag\",begin:/\\{\\{[#\\/]/,end:/\\}\\}/,contains:[{className:\"name\",begin:/[a-zA-Z\\.\\-]+/,keywords:{\"builtin-name\":t},starts:a}]},{className:\"template-variable\",begin:/\\{\\{[a-zA-Z][a-zA-Z\\-]+/,end:/\\}\\}/,keywords:{keyword:\"as\",built_in:t},contains:[e.QUOTE_STRING_MODE]}]}};var So=function(e){return{name:\"HTTP\",aliases:[\"https\"],illegal:\"\\\\S\",contains:[{begin:\"^HTTP/[0-9\\\\.]+\",end:\"$\",contains:[{className:\"number\",begin:\"\\\\b\\\\d{3}\\\\b\"}]},{begin:\"^[A-Z]+ (.*?) HTTP/[0-9\\\\.]+$\",returnBegin:!0,end:\"$\",contains:[{className:\"string\",begin:\" \",end:\" \",excludeBegin:!0,excludeEnd:!0},{begin:\"HTTP/[0-9\\\\.]+\"},{className:\"keyword\",begin:\"[A-Z]+\"}]},{className:\"attribute\",begin:\"^\\\\w\",end:\": \",excludeEnd:!0,illegal:\"\\\\n|\\\\s|=\",starts:{end:\"$\",relevance:0}},{begin:\"\\\\n\\\\n\",starts:{subLanguage:[],endsWithParent:!0}}]}};var To=function(e){var t=\"[a-zA-Z_\\\\-!.?+*=<>&#'][a-zA-Z_\\\\-!.?+*=<>&#'0-9/;:]*\",a={begin:t,relevance:0},n={className:\"number\",begin:\"[-+]?\\\\d+(\\\\.\\\\d+)?\",relevance:0},r=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),i=e.COMMENT(\";\",\"$\",{relevance:0}),o={className:\"literal\",begin:/\\b([Tt]rue|[Ff]alse|nil|None)\\b/},s={begin:\"[\\\\[\\\\{]\",end:\"[\\\\]\\\\}]\"},l={className:\"comment\",begin:\"\\\\^\"+t},_=e.COMMENT(\"\\\\^\\\\{\",\"\\\\}\"),c={className:\"symbol\",begin:\"[:]{1,2}\"+t},d={begin:\"\\\\(\",end:\"\\\\)\"},u={endsWithParent:!0,relevance:0},m={keywords:{\"builtin-name\":\"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~\"},lexemes:t,className:\"name\",begin:t,starts:u},p=[d,r,l,_,i,c,s,n,o,a];return d.contains=[e.COMMENT(\"comment\",\"\"),m,u],u.contains=p,s.contains=p,{name:\"Hy\",aliases:[\"hylang\"],illegal:/\\S/,contains:[{className:\"meta\",begin:\"^#!\",end:\"$\"},d,r,l,_,i,c,s,n,o]}};var bo=function(e){return{name:\"Inform 7\",aliases:[\"i7\"],case_insensitive:!0,keywords:{keyword:\"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule\"},contains:[{className:\"string\",begin:'\"',end:'\"',relevance:0,contains:[{className:\"subst\",begin:\"\\\\[\",end:\"\\\\]\"}]},{className:\"section\",begin:/^(Volume|Book|Part|Chapter|Section|Table)\\b/,end:\"$\"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\\b/,end:\":\",contains:[{begin:\"\\\\(This\",end:\"\\\\)\"}]},{className:\"comment\",begin:\"\\\\[\",end:\"\\\\]\",contains:[\"self\"]}]}};var fo=function(e){var t={className:\"number\",relevance:0,variants:[{begin:/([\\+\\-]+)?[\\d]+_[\\d_]+/},{begin:e.NUMBER_RE}]},a=e.COMMENT();a.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];var n={className:\"variable\",variants:[{begin:/\\$[\\w\\d\"][\\w\\d_]*/},{begin:/\\$\\{(.*?)}/}]},r={className:\"literal\",begin:/\\bon|off|true|false|yes|no\\b/},i={className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:\"'''\",end:\"'''\",relevance:10},{begin:'\"\"\"',end:'\"\"\"',relevance:10},{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"}]};return{name:\"TOML, also INI\",aliases:[\"toml\"],case_insensitive:!0,illegal:/\\S/,contains:[a,{className:\"section\",begin:/\\[+/,end:/\\]+/},{begin:/^[a-z0-9\\[\\]_\\.-]+(?=\\s*=\\s*)/,className:\"attr\",starts:{end:/$/,contains:[a,{begin:/\\[/,end:/\\]/,contains:[a,r,n,i,t,\"self\"],relevance:0},r,n,i,t]}}]}};var Co=function(e){return{name:\"IRPF90\",case_insensitive:!0,keywords:{literal:\".False. .True.\",keyword:\"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read\",built_in:\"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here\"},illegal:/\\/\\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:\"string\",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:\"string\",relevance:0}),{className:\"function\",beginKeywords:\"subroutine function program\",illegal:\"[${=\\\\n]\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]},e.COMMENT(\"!\",\"$\",{relevance:0}),e.COMMENT(\"begin_doc\",\"end_doc\",{relevance:10}),{className:\"number\",begin:\"(?=\\\\b|\\\\+|\\\\-|\\\\.)(?:\\\\.|\\\\d+\\\\.?)\\\\d*([de][+-]?\\\\d+)?(_[a-z_\\\\d]+)?\",relevance:0}]}};var Ro=function(e){var t=\"[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*\",a={className:\"number\",begin:e.NUMBER_RE,relevance:0},n={className:\"string\",variants:[{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"}]},r={className:\"doctag\",begin:\"\\\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\\\b\",relevance:0},i={variants:[{className:\"comment\",begin:\"//\",end:\"$\",relevance:0,contains:[e.PHRASAL_WORDS_MODE,r]},{className:\"comment\",begin:\"/\\\\*\",end:\"\\\\*/\",relevance:0,contains:[e.PHRASAL_WORDS_MODE,r]}]},o={keyword:\"and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока \",built_in:\"SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE smHidden smMaximized smMinimized smNormal wmNo wmYes COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID RESULT_VAR_NAME RESULT_VAR_NAME_ENG AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate ISBL_SYNTAX NO_SYNTAX XML_SYNTAX WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP atUser atGroup atRole aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty apBegin apEnd alLeft alRight asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways cirCommon cirRevoked ctSignature ctEncode ctSignatureEncode clbUnchecked clbChecked clbGrayed ceISB ceAlways ceNever ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob cfInternal cfDisplay ciUnspecified ciWrite ciRead ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton cctDate cctInteger cctNumeric cctPick cctReference cctString cctText cltInternal cltPrimary cltGUI dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange dssEdit dssInsert dssBrowse dssInActive dftDate dftShortDate dftDateTime dftTimeStamp dotDays dotHours dotMinutes dotSeconds dtkndLocal dtkndUTC arNone arView arEdit arFull ddaView ddaEdit emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode ecotFile ecotProcess eaGet eaCopy eaCreate eaCreateStandardRoute edltAll edltNothing edltQuery essmText essmCard esvtLast esvtLastActive esvtSpecified edsfExecutive edsfArchive edstSQLServer edstFile edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile vsDefault vsDesign vsActive vsObsolete etNone etCertificate etPassword etCertificatePassword ecException ecWarning ecInformation estAll estApprovingOnly evtLast evtLastActive evtQuery fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch grhAuto grhX1 grhX2 grhX3 hltText hltRTF hltHTML iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG im8bGrayscale im24bRGB im1bMonochrome itBMP itJPEG itWMF itPNG ikhInformation ikhWarning ikhError ikhNoIcon icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler isShow isHide isByUserSettings jkJob jkNotice jkControlJob jtInner jtLeft jtRight jtFull jtCross lbpAbove lbpBelow lbpLeft lbpRight eltPerConnection eltPerUser sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac sfsItalic sfsStrikeout sfsNormal ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom vtEqual vtGreaterOrEqual vtLessOrEqual vtRange rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth rdWindow rdFile rdPrinter rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument reOnChange reOnChangeValues ttGlobal ttLocal ttUser ttSystem ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal smSelect smLike smCard stNone stAuthenticating stApproving sctString sctStream sstAnsiSort sstNaturalSort svtEqual svtContain soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown tarAbortByUser tarAbortByWorkflowException tvtAllWords tvtExactPhrase tvtAnyWord usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected btAnd btDetailAnd btOr btNotOr btOnly vmView vmSelect vmNavigation vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection wfatPrevious wfatNext wfatCancel wfatFinish wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 wfetQueryParameter wfetText wfetDelimiter wfetLabel wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal waAll waPerformers waManual wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection wiLow wiNormal wiHigh wrtSoft wrtHard wsInit wsRunning wsDone wsControlled wsAborted wsContinued wtmFull wtmFromCurrent wtmOnlyCurrent \",class:\"AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач \",literal:\"null true false nil \"},s={begin:\"\\\\.\\\\s*\"+e.UNDERSCORE_IDENT_RE,keywords:o,relevance:0},l={className:\"type\",begin:\":[ \\\\t]*(\"+\"IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto \".trim().replace(/\\s/g,\"|\")+\")\",end:\"[ \\\\t]*=\",excludeEnd:!0},_={className:\"variable\",lexemes:t,keywords:o,begin:t,relevance:0,contains:[l,s]};return{name:\"ISBL\",aliases:[\"isbl\"],case_insensitive:!0,lexemes:t,keywords:o,illegal:\"\\\\$|\\\\?|%|,|;$|~|#|@|</\",contains:[{className:\"function\",begin:\"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\\\\(\",end:\"\\\\)$\",returnBegin:!0,lexemes:t,keywords:o,illegal:\"[\\\\[\\\\]\\\\|\\\\$\\\\?%,~#@]\",contains:[{className:\"title\",lexemes:t,keywords:{built_in:\"AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр \"},begin:\"[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*\\\\(\",end:\"\\\\(\",returnBegin:!0,excludeEnd:!0},s,_,n,a,i]},l,s,_,n,a,i]}};var No=function(e){var t=\"[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*\",a=\"false synchronized int abstract float private char boolean var static null if const for true while long strictfp finally protected import native final void enum else break transient catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private module requires exports do\",n={className:\"meta\",begin:\"@\"+t,contains:[{begin:/\\(/,end:/\\)/,contains:[\"self\"]}]},r={className:\"number\",begin:\"\\\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?|\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))([eE][-+]?\\\\d+)?)[lLfF]?\",relevance:0};return{name:\"Java\",aliases:[\"jsp\"],keywords:a,illegal:/<\\/|#/,contains:[e.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{begin:/\\w+@/,relevance:0},{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"class\",beginKeywords:\"class interface\",end:/[{;=]/,excludeEnd:!0,keywords:\"class interface\",illegal:/[:\"\\[\\]]/,contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"new throw return else\",relevance:0},{className:\"function\",begin:\"([À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(<[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(\\\\s*,\\\\s*[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*)*>)?\\\\s+)+\"+e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:a,contains:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:\"params\",begin:/\\(/,end:/\\)/,keywords:a,relevance:0,contains:[n,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},r,n]}};var Oo=function(e){var t=\"<>\",a=\"</>\",n={begin:/<[A-Za-z0-9\\\\._:-]+/,end:/\\/[A-Za-z0-9\\\\._:-]+>|\\/>/},r=\"[A-Za-z$_][0-9A-Za-z$_]*\",i={keyword:\"in of if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await static import from as\",literal:\"true false null undefined NaN Infinity\",built_in:\"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Promise\"},o={className:\"number\",variants:[{begin:\"\\\\b(0[bB][01]+)n?\"},{begin:\"\\\\b(0[oO][0-7]+)n?\"},{begin:e.C_NUMBER_RE+\"n?\"}],relevance:0},s={className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\",keywords:i,contains:[]},l={begin:\"html`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,s],subLanguage:\"xml\"}},_={begin:\"css`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,s],subLanguage:\"css\"}},c={className:\"string\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE,s]};s.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,l,_,c,o,e.REGEXP_MODE];var d=s.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]),u={className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,contains:d};return{name:\"JavaScript\",aliases:[\"js\",\"jsx\",\"mjs\",\"cjs\"],keywords:i,contains:[{className:\"meta\",relevance:10,begin:/^\\s*['\"]use (strict|asm)['\"]/},{className:\"meta\",begin:/^#!/,end:/$/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,l,_,c,e.C_LINE_COMMENT_MODE,e.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\",contains:[{className:\"type\",begin:\"\\\\{\",end:\"\\\\}\",relevance:0},{className:\"variable\",begin:r+\"(?=\\\\s*(-)|$)\",endsParent:!0,relevance:0},{begin:/(?=[^\\n])\\s/,relevance:0}]}]}),e.C_BLOCK_COMMENT_MODE,o,{begin:/[{,\\n]\\s*/,relevance:0,contains:[{begin:r+\"\\\\s*:\",returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:r,relevance:0}]}]},{begin:\"(\"+e.RE_STARTERS_RE+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",keywords:\"return throw case\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:\"function\",begin:\"(\\\\(.*?\\\\)|\"+r+\")\\\\s*=>\",returnBegin:!0,end:\"\\\\s*=>\",contains:[{className:\"params\",variants:[{begin:r},{begin:/\\(\\s*\\)/},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:i,contains:d}]}]},{begin:/,/,relevance:0},{className:\"\",begin:/\\s/,end:/\\s*/,skip:!0},{variants:[{begin:t,end:a},{begin:n.begin,end:n.end}],subLanguage:\"xml\",contains:[{begin:n.begin,end:n.end,skip:!0,contains:[\"self\"]}]}],relevance:0},{className:\"function\",beginKeywords:\"function\",end:/\\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:r}),u],illegal:/\\[|%/},{begin:/\\$[(.]/},e.METHOD_GUARD,{className:\"class\",beginKeywords:\"class\",end:/[{;=]/,excludeEnd:!0,illegal:/[:\"\\[\\]]/,contains:[{beginKeywords:\"extends\"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"constructor\",end:/\\{/,excludeEnd:!0},{begin:\"(get|set)\\\\s+(?=\"+r+\"\\\\()\",end:/{/,keywords:\"get set\",contains:[e.inherit(e.TITLE_MODE,{begin:r}),{begin:/\\(\\)/},u]}],illegal:/#(?!!)/}};var vo=function(e){var t={className:\"params\",begin:/\\(/,end:/\\)/,contains:[{begin:/[\\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:/[\\w-]+/}]}],relevance:0};return{name:\"JBoss CLI\",aliases:[\"wildfly-cli\"],lexemes:\"[a-z-]+\",keywords:{keyword:\"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source\",literal:\"true false\"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:\"params\",begin:/--[\\w\\-=\\/]+/},{className:\"function\",begin:/:[\\w\\-.]+/,relevance:0},{className:\"string\",begin:/\\B(([\\/.])[\\w\\-.\\/=]+)+/},t]}};var Io=function(e){var t={literal:\"true false null\"},a=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE],n=[e.QUOTE_STRING_MODE,e.C_NUMBER_MODE],r={end:\",\",endsWithParent:!0,excludeEnd:!0,contains:n,keywords:t},i={begin:\"{\",end:\"}\",contains:[{className:\"attr\",begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE],illegal:\"\\\\n\"},e.inherit(r,{begin:/:/})].concat(a),illegal:\"\\\\S\"},o={begin:\"\\\\[\",end:\"\\\\]\",contains:[e.inherit(r)],illegal:\"\\\\S\"};return n.push(i,o),a.forEach((function(e){n.push(e)})),{name:\"JSON\",contains:n,keywords:t,illegal:\"\\\\S\"}};var ho=function(e){var t={keyword:\"in isa where baremodule begin break catch ccall const continue do else elseif end export false finally for function global if import importall let local macro module quote return true try using while type immutable abstract bitstype typealias \",literal:\"true false ARGS C_NULL DevNull ENDIAN_BOM ENV I Inf Inf16 Inf32 Inf64 InsertionSort JULIA_HOME LOAD_PATH MergeSort NaN NaN16 NaN32 NaN64 PROGRAM_FILE QuickSort RoundDown RoundFromZero RoundNearest RoundNearestTiesAway RoundNearestTiesUp RoundToZero RoundUp STDERR STDIN STDOUT VERSION catalan e|0 eu|0 eulergamma golden im nothing pi γ π φ \",built_in:\"ANY AbstractArray AbstractChannel AbstractFloat AbstractMatrix AbstractRNG AbstractSerializer AbstractSet AbstractSparseArray AbstractSparseMatrix AbstractSparseVector AbstractString AbstractUnitRange AbstractVecOrMat AbstractVector Any ArgumentError Array AssertionError Associative Base64DecodePipe Base64EncodePipe Bidiagonal BigFloat BigInt BitArray BitMatrix BitVector Bool BoundsError BufferStream CachingPool CapturedException CartesianIndex CartesianRange Cchar Cdouble Cfloat Channel Char Cint Cintmax_t Clong Clonglong ClusterManager Cmd CodeInfo Colon Complex Complex128 Complex32 Complex64 CompositeException Condition ConjArray ConjMatrix ConjVector Cptrdiff_t Cshort Csize_t Cssize_t Cstring Cuchar Cuint Cuintmax_t Culong Culonglong Cushort Cwchar_t Cwstring DataType Date DateFormat DateTime DenseArray DenseMatrix DenseVecOrMat DenseVector Diagonal Dict DimensionMismatch Dims DirectIndexString Display DivideError DomainError EOFError EachLine Enum Enumerate ErrorException Exception ExponentialBackOff Expr Factorization FileMonitor Float16 Float32 Float64 Function Future GlobalRef GotoNode HTML Hermitian IO IOBuffer IOContext IOStream IPAddr IPv4 IPv6 IndexCartesian IndexLinear IndexStyle InexactError InitError Int Int128 Int16 Int32 Int64 Int8 IntSet Integer InterruptException InvalidStateException Irrational KeyError LabelNode LinSpace LineNumberNode LoadError LowerTriangular MIME Matrix MersenneTwister Method MethodError MethodTable Module NTuple NewvarNode NullException Nullable Number ObjectIdDict OrdinalRange OutOfMemoryError OverflowError Pair ParseError PartialQuickSort PermutedDimsArray Pipe PollingFileWatcher ProcessExitedException Ptr QuoteNode RandomDevice Range RangeIndex Rational RawFD ReadOnlyMemoryError Real ReentrantLock Ref Regex RegexMatch RemoteChannel RemoteException RevString RoundingMode RowVector SSAValue SegmentationFault SerializationState Set SharedArray SharedMatrix SharedVector Signed SimpleVector Slot SlotNumber SparseMatrixCSC SparseVector StackFrame StackOverflowError StackTrace StepRange StepRangeLen StridedArray StridedMatrix StridedVecOrMat StridedVector String SubArray SubString SymTridiagonal Symbol Symmetric SystemError TCPSocket Task Text TextDisplay Timer Tridiagonal Tuple Type TypeError TypeMapEntry TypeMapLevel TypeName TypeVar TypedSlot UDPSocket UInt UInt128 UInt16 UInt32 UInt64 UInt8 UndefRefError UndefVarError UnicodeError UniformScaling Union UnionAll UnitRange Unsigned UpperTriangular Val Vararg VecElement VecOrMat Vector VersionNumber Void WeakKeyDict WeakRef WorkerConfig WorkerPool \"},a=\"[A-Za-z_\\\\u00A1-\\\\uFFFF][A-Za-z_0-9\\\\u00A1-\\\\uFFFF]*\",n={lexemes:a,keywords:t,illegal:/<\\//},r={className:\"subst\",begin:/\\$\\(/,end:/\\)/,keywords:t},i={className:\"variable\",begin:\"\\\\$\"+a},o={className:\"string\",contains:[e.BACKSLASH_ESCAPE,r,i],variants:[{begin:/\\w*\"\"\"/,end:/\"\"\"\\w*/,relevance:10},{begin:/\\w*\"/,end:/\"\\w*/}]},s={className:\"string\",contains:[e.BACKSLASH_ESCAPE,r,i],begin:\"`\",end:\"`\"},l={className:\"meta\",begin:\"@\"+a};return n.name=\"Julia\",n.contains=[{className:\"number\",begin:/(\\b0x[\\d_]*(\\.[\\d_]*)?|0x\\.\\d[\\d_]*)p[-+]?\\d+|\\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\\b\\d[\\d_]*(\\.[\\d_]*)?|\\.\\d[\\d_]*)([eEfF][-+]?\\d+)?/,relevance:0},{className:\"string\",begin:/'(.|\\\\[xXuU][a-zA-Z0-9]+)'/},o,s,l,{className:\"comment\",variants:[{begin:\"#=\",end:\"=#\",relevance:10},{begin:\"#\",end:\"$\"}]},e.HASH_COMMENT_MODE,{className:\"keyword\",begin:\"\\\\b(((abstract|primitive)\\\\s+)type|(mutable\\\\s+)?struct)\\\\b\"},{begin:/<:/}],r.contains=n.contains,n};var Ao=function(e){return{name:\"Julia REPL\",contains:[{className:\"meta\",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:\"julia\"},aliases:[\"jldoctest\"]}]}};var yo=function(e){var t={keyword:\"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual trait volatile transient native default\",built_in:\"Byte Short Char Int Long Boolean Float Double Void Unit Nothing\",literal:\"true false null\"},a={className:\"symbol\",begin:e.UNDERSCORE_IDENT_RE+\"@\"},n={className:\"subst\",begin:\"\\\\${\",end:\"}\",contains:[e.C_NUMBER_MODE]},r={className:\"variable\",begin:\"\\\\$\"+e.UNDERSCORE_IDENT_RE},i={className:\"string\",variants:[{begin:'\"\"\"',end:'\"\"\"(?=[^\"])',contains:[r,n]},{begin:\"'\",end:\"'\",illegal:/\\n/,contains:[e.BACKSLASH_ESCAPE]},{begin:'\"',end:'\"',illegal:/\\n/,contains:[e.BACKSLASH_ESCAPE,r,n]}]};n.contains.push(i);var o={className:\"meta\",begin:\"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\\\s*:(?:\\\\s*\"+e.UNDERSCORE_IDENT_RE+\")?\"},s={className:\"meta\",begin:\"@\"+e.UNDERSCORE_IDENT_RE,contains:[{begin:/\\(/,end:/\\)/,contains:[e.inherit(i,{className:\"meta-string\"})]}]},l={className:\"number\",begin:\"\\\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?|\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))([eE][-+]?\\\\d+)?)[lLfF]?\",relevance:0},_=e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[e.C_BLOCK_COMMENT_MODE]}),c={variants:[{className:\"type\",begin:e.UNDERSCORE_IDENT_RE},{begin:/\\(/,end:/\\)/,contains:[]}]},d=c;return d.variants[1].contains=[c],c.variants[1].contains=[d],{name:\"Kotlin\",aliases:[\"kt\"],keywords:t,contains:[e.COMMENT(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),e.C_LINE_COMMENT_MODE,_,{className:\"keyword\",begin:/\\b(break|continue|return|this)\\b/,starts:{contains:[{className:\"symbol\",begin:/@\\w+/}]}},a,o,s,{className:\"function\",beginKeywords:\"fun\",end:\"[(]|$\",returnBegin:!0,excludeEnd:!0,keywords:t,illegal:/fun\\s+(<.*>)?[^\\s\\(]+(\\s+[^\\s\\(]+)\\s*=/,relevance:5,contains:[{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s*\\\\(\",returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:\"type\",begin:/</,end:/>/,keywords:\"reified\",relevance:0},{className:\"params\",begin:/\\(/,end:/\\)/,endsParent:!0,keywords:t,relevance:0,contains:[{begin:/:/,end:/[=,\\/]/,endsWithParent:!0,contains:[c,e.C_LINE_COMMENT_MODE,_],relevance:0},e.C_LINE_COMMENT_MODE,_,o,s,i,e.C_NUMBER_MODE]},_]},{className:\"class\",beginKeywords:\"class interface trait\",end:/[:\\{(]|$/,excludeEnd:!0,illegal:\"extends implements\",contains:[{beginKeywords:\"public protected internal private constructor\"},e.UNDERSCORE_TITLE_MODE,{className:\"type\",begin:/</,end:/>/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:\"type\",begin:/[,:]\\s*/,end:/[<\\(,]|$/,excludeBegin:!0,returnEnd:!0},o,s]},i,{className:\"meta\",begin:\"^#!/usr/bin/env\",end:\"$\",illegal:\"\\n\"},l]}};var Do=function(e){var t=\"\\\\]|\\\\?>\",a={literal:\"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft\",built_in:\"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock\",keyword:\"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome\"},n=e.COMMENT(\"\\x3c!--\",\"--\\x3e\",{relevance:0}),r={className:\"meta\",begin:\"\\\\[noprocess\\\\]\",starts:{end:\"\\\\[/noprocess\\\\]\",returnEnd:!0,contains:[n]}},i={className:\"meta\",begin:\"\\\\[/noprocess|<\\\\?(lasso(script)?|=)\"},o={className:\"symbol\",begin:\"'[a-zA-Z_][\\\\w.]*'\"},s=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.inherit(e.C_NUMBER_MODE,{begin:e.C_NUMBER_RE+\"|(-?infinity|NaN)\\\\b\"}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"string\",begin:\"`\",end:\"`\"},{variants:[{begin:\"[#$][a-zA-Z_][\\\\w.]*\"},{begin:\"#\",end:\"\\\\d+\",illegal:\"\\\\W\"}]},{className:\"type\",begin:\"::\\\\s*\",end:\"[a-zA-Z_][\\\\w.]*\",illegal:\"\\\\W\"},{className:\"params\",variants:[{begin:\"-(?!infinity)[a-zA-Z_][\\\\w.]*\",relevance:0},{begin:\"(\\\\.\\\\.\\\\.)\"}]},{begin:/(->|\\.)\\s*/,relevance:0,contains:[o]},{className:\"class\",beginKeywords:\"define\",returnEnd:!0,end:\"\\\\(|=>\",contains:[e.inherit(e.TITLE_MODE,{begin:\"[a-zA-Z_][\\\\w.]*(=(?!>))?|[-+*/%](?!>)\"})]}];return{name:\"Lasso\",aliases:[\"ls\",\"lassoscript\"],case_insensitive:!0,lexemes:\"[a-zA-Z_][\\\\w.]*|&[lg]t;\",keywords:a,contains:[{className:\"meta\",begin:t,relevance:0,starts:{end:\"\\\\[|<\\\\?(lasso(script)?|=)\",returnEnd:!0,relevance:0,contains:[n]}},r,i,{className:\"meta\",begin:\"\\\\[no_square_brackets\",starts:{end:\"\\\\[/no_square_brackets\\\\]\",lexemes:\"[a-zA-Z_][\\\\w.]*|&[lg]t;\",keywords:a,contains:[{className:\"meta\",begin:t,relevance:0,starts:{end:\"\\\\[noprocess\\\\]|<\\\\?(lasso(script)?|=)\",returnEnd:!0,contains:[n]}},r,i].concat(s)}},{className:\"meta\",begin:\"\\\\[\",relevance:0},{className:\"meta\",begin:\"^#!\",end:\"lasso9$\",relevance:10}].concat(s)}};var Mo=function(e){var t={className:\"tag\",begin:/\\\\/,relevance:0,contains:[{className:\"name\",variants:[{begin:/[a-zA-Z\\u0430-\\u044f\\u0410-\\u042f]+[*]?/},{begin:/[^a-zA-Z\\u0430-\\u044f\\u0410-\\u042f0-9]/}],starts:{endsWithParent:!0,relevance:0,contains:[{className:\"string\",variants:[{begin:/\\[/,end:/\\]/},{begin:/\\{/,end:/\\}/}]},{begin:/\\s*=\\s*/,endsWithParent:!0,relevance:0,contains:[{className:\"number\",begin:/-?\\d*\\.?\\d+(pt|pc|mm|cm|in|dd|cc|ex|em)?/}]}]}}]};return{name:\"LaTeX\",aliases:[\"tex\"],contains:[t,{className:\"formula\",contains:[t],relevance:0,variants:[{begin:/\\$\\$/,end:/\\$\\$/},{begin:/\\$/,end:/\\$/}]},e.COMMENT(\"%\",\"$\",{relevance:0})]}};var Lo=function(e){return{name:\"LDIF\",contains:[{className:\"attribute\",begin:\"^dn\",end:\": \",excludeEnd:!0,starts:{end:\"$\",relevance:0},relevance:10},{className:\"attribute\",begin:\"^\\\\w\",end:\": \",excludeEnd:!0,starts:{end:\"$\",relevance:0}},{className:\"literal\",begin:\"^-\",end:\"$\"},e.HASH_COMMENT_MODE]}};var xo=function(e){return{name:\"Leaf\",contains:[{className:\"function\",begin:\"#+[A-Za-z_0-9]*\\\\(\",end:\" {\",returnBegin:!0,excludeEnd:!0,contains:[{className:\"keyword\",begin:\"#+\"},{className:\"title\",begin:\"[A-Za-z_][A-Za-z_0-9]*\"},{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",endsParent:!0,contains:[{className:\"string\",begin:'\"',end:'\"'},{className:\"variable\",begin:\"[A-Za-z_][A-Za-z_0-9]*\"}]}]}]}};var wo=function(e){var t=[],a=[],n=function(e){return{className:\"string\",begin:\"~?\"+e+\".*?\"+e}},r=function(e,t,a){return{className:e,begin:t,relevance:a}},i={begin:\"\\\\(\",end:\"\\\\)\",contains:a,relevance:0};a.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n(\"'\"),n('\"'),e.CSS_NUMBER_MODE,{begin:\"(url|data-uri)\\\\(\",starts:{className:\"string\",end:\"[\\\\)\\\\n]\",excludeEnd:!0}},r(\"number\",\"#[0-9A-Fa-f]+\\\\b\"),i,r(\"variable\",\"@@?[\\\\w-]+\",10),r(\"variable\",\"@{[\\\\w-]+}\"),r(\"built_in\",\"~?`[^`]*?`\"),{className:\"attribute\",begin:\"[\\\\w-]+\\\\s*:\",end:\":\",returnBegin:!0,excludeEnd:!0},{className:\"meta\",begin:\"!important\"});var o=a.concat({begin:\"{\",end:\"}\",contains:t}),s={beginKeywords:\"when\",endsWithParent:!0,contains:[{beginKeywords:\"and not\"}].concat(a)},l={begin:\"([\\\\w-]+|@{[\\\\w-]+})\\\\s*:\",returnBegin:!0,end:\"[;}]\",relevance:0,contains:[{className:\"attribute\",begin:\"([\\\\w-]+|@{[\\\\w-]+})\",end:\":\",excludeEnd:!0,starts:{endsWithParent:!0,illegal:\"[<=$]\",relevance:0,contains:a}}]},_={className:\"keyword\",begin:\"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\\\b\",starts:{end:\"[;{}]\",returnEnd:!0,contains:a,relevance:0}},c={className:\"variable\",variants:[{begin:\"@[\\\\w-]+\\\\s*:\",relevance:15},{begin:\"@[\\\\w-]+\"}],starts:{end:\"[;}]\",returnEnd:!0,contains:o}},d={variants:[{begin:\"[\\\\.#:&\\\\[>]\",end:\"[;{}]\"},{begin:\"([\\\\w-]+|@{[\\\\w-]+})\",end:\"{\"}],returnBegin:!0,returnEnd:!0,illegal:\"[<='$\\\"]\",relevance:0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,r(\"keyword\",\"all\\\\b\"),r(\"variable\",\"@{[\\\\w-]+}\"),r(\"selector-tag\",\"([\\\\w-]+|@{[\\\\w-]+})%?\",0),r(\"selector-id\",\"#([\\\\w-]+|@{[\\\\w-]+})\"),r(\"selector-class\",\"\\\\.([\\\\w-]+|@{[\\\\w-]+})\",0),r(\"selector-tag\",\"&\",0),{className:\"selector-attr\",begin:\"\\\\[\",end:\"\\\\]\"},{className:\"selector-pseudo\",begin:/:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/},{begin:\"\\\\(\",end:\"\\\\)\",contains:o},{begin:\"!important\"}]};return t.push(e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,_,c,l,d),{name:\"Less\",case_insensitive:!0,illegal:\"[=>'/<($\\\"]\",contains:t}};var Po=function(e){var t=\"[a-zA-Z_\\\\-\\\\+\\\\*\\\\/\\\\<\\\\=\\\\>\\\\&\\\\#][a-zA-Z0-9_\\\\-\\\\+\\\\*\\\\/\\\\<\\\\=\\\\>\\\\&\\\\#!]*\",a=\"(\\\\-|\\\\+)?\\\\d+(\\\\.\\\\d+|\\\\/\\\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\\\+|\\\\-)?\\\\d+)?\",n={className:\"literal\",begin:\"\\\\b(t{1}|nil)\\\\b\"},r={className:\"number\",variants:[{begin:a,relevance:0},{begin:\"#(b|B)[0-1]+(/[0-1]+)?\"},{begin:\"#(o|O)[0-7]+(/[0-7]+)?\"},{begin:\"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?\"},{begin:\"#(c|C)\\\\(\"+a+\" +\"+a,end:\"\\\\)\"}]},i=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),o=e.COMMENT(\";\",\"$\",{relevance:0}),s={begin:\"\\\\*\",end:\"\\\\*\"},l={className:\"symbol\",begin:\"[:&]\"+t},_={begin:t,relevance:0},c={begin:\"\\\\|[^]*?\\\\|\"},d={contains:[r,i,s,l,{begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",n,i,r,_]},_],variants:[{begin:\"['`]\\\\(\",end:\"\\\\)\"},{begin:\"\\\\(quote \",end:\"\\\\)\",keywords:{name:\"quote\"}},{begin:\"'\\\\|[^]*?\\\\|\"}]},u={variants:[{begin:\"'\"+t},{begin:\"#'\"+t+\"(::\"+t+\")*\"}]},m={begin:\"\\\\(\\\\s*\",end:\"\\\\)\"},p={endsWithParent:!0,relevance:0};return m.contains=[{className:\"name\",variants:[{begin:t},{begin:\"\\\\|[^]*?\\\\|\"}]},p],p.contains=[d,u,m,n,r,i,o,s,l,c,_],{name:\"Lisp\",illegal:/\\S/,contains:[r,{className:\"meta\",begin:\"^#!\",end:\"$\"},n,i,o,d,u,m,_]}};var ko=function(e){var t={className:\"variable\",variants:[{begin:\"\\\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\\\[.+\\\\])?(?:\\\\s*?)\"},{begin:\"\\\\$_[A-Z]+\"}],relevance:0},a=[e.C_BLOCK_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT(\"--\",\"$\"),e.COMMENT(\"[^:]//\",\"$\")],n=e.inherit(e.TITLE_MODE,{variants:[{begin:\"\\\\b_*rig[A-Z]+[A-Za-z0-9_\\\\-]*\"},{begin:\"\\\\b_[a-z0-9\\\\-]+\"}]}),r=e.inherit(e.TITLE_MODE,{begin:\"\\\\b([A-Za-z0-9_\\\\-]+)\\\\b\"});return{name:\"LiveCode\",case_insensitive:!1,keywords:{keyword:\"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys\",literal:\"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK\",built_in:\"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write\"},contains:[t,{className:\"keyword\",begin:\"\\\\bend\\\\sif\\\\b\"},{className:\"function\",beginKeywords:\"function\",end:\"$\",contains:[t,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,n]},{className:\"function\",begin:\"\\\\bend\\\\s+\",end:\"$\",keywords:\"end\",contains:[r,n],relevance:0},{beginKeywords:\"command on\",end:\"$\",contains:[t,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,n]},{className:\"meta\",variants:[{begin:\"<\\\\?(rev|lc|livecode)\",relevance:10},{begin:\"<\\\\?\"},{begin:\"\\\\?>\"}]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,n].concat(a),illegal:\";$|^\\\\[|^=|&|{\"}};var Uo=function(e){var t={keyword:\"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger case default function var with then unless until loop of by when and or is isnt not it that otherwise from to til fallthrough super case default function var void const let enum export import native list map __hasProp __extends __slice __bind __indexOf\",literal:\"true false null undefined yes no on off it that void\",built_in:\"npm require console print module global window document\"},a=\"[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*\",n=e.inherit(e.TITLE_MODE,{begin:a}),r={className:\"subst\",begin:/#\\{/,end:/}/,keywords:t},i={className:\"subst\",begin:/#[A-Za-z$_]/,end:/(?:\\-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:t},o=[e.BINARY_NUMBER_MODE,{className:\"number\",begin:\"(\\\\b0[xX][a-fA-F0-9_]+)|(\\\\b\\\\d(\\\\d|_\\\\d)*(\\\\.(\\\\d(\\\\d|_\\\\d)*)?)?(_*[eE]([-+]\\\\d(_\\\\d|\\\\d)*)?)?[_a-z]*)\",relevance:0,starts:{end:\"(\\\\s*/)?\",relevance:0}},{className:\"string\",variants:[{begin:/'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/\"\"\"/,end:/\"\"\"/,contains:[e.BACKSLASH_ESCAPE,r,i]},{begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,r,i]},{begin:/\\\\/,end:/(\\s|$)/,excludeEnd:!0}]},{className:\"regexp\",variants:[{begin:\"//\",end:\"//[gim]*\",contains:[r,e.HASH_COMMENT_MODE]},{begin:/\\/(?![ *])(\\\\\\/|.)*?\\/[gim]*(?=\\W)/}]},{begin:\"@\"+a},{begin:\"``\",end:\"``\",excludeBegin:!0,excludeEnd:!0,subLanguage:\"javascript\"}];r.contains=o;var s={className:\"params\",begin:\"\\\\(\",returnBegin:!0,contains:[{begin:/\\(/,end:/\\)/,keywords:t,contains:[\"self\"].concat(o)}]};return{name:\"LiveScript\",aliases:[\"ls\"],keywords:t,illegal:/\\/\\*/,contains:o.concat([e.COMMENT(\"\\\\/\\\\*\",\"\\\\*\\\\/\"),e.HASH_COMMENT_MODE,{begin:\"(#=>|=>|\\\\|>>|-?->|\\\\!->)\"},{className:\"function\",contains:[n,s],returnBegin:!0,variants:[{begin:\"(\"+a+\"\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\))?\\\\s*\\\\B\\\\->\\\\*?\",end:\"\\\\->\\\\*?\"},{begin:\"(\"+a+\"\\\\s*(?:=|:=)\\\\s*)?!?(\\\\(.*\\\\))?\\\\s*\\\\B[-~]{1,2}>\\\\*?\",end:\"[-~]{1,2}>\\\\*?\"},{begin:\"(\"+a+\"\\\\s*(?:=|:=)\\\\s*)?(\\\\(.*\\\\))?\\\\s*\\\\B!?[-~]{1,2}>\\\\*?\",end:\"!?[-~]{1,2}>\\\\*?\"}]},{className:\"class\",beginKeywords:\"class\",end:\"$\",illegal:/[:=\"\\[\\]]/,contains:[{beginKeywords:\"extends\",endsWithParent:!0,illegal:/[:=\"\\[\\]]/,contains:[n]},n]},{begin:a+\":\",end:\":\",returnBegin:!0,returnEnd:!0,relevance:0}])}};var Fo=function(e){var t=\"([-a-zA-Z$._][\\\\w\\\\-$.]*)\";return{name:\"LLVM IR\",keywords:\"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly double\",contains:[{className:\"keyword\",begin:\"i\\\\d+\"},e.COMMENT(\";\",\"\\\\n\",{relevance:0}),e.QUOTE_STRING_MODE,{className:\"string\",variants:[{begin:'\"',end:'[^\\\\\\\\]\"'}],relevance:0},{className:\"title\",variants:[{begin:\"@\"+t},{begin:\"@\\\\d+\"},{begin:\"!\"+t},{begin:\"!\\\\d+\"+t}]},{className:\"symbol\",variants:[{begin:\"%\"+t},{begin:\"%\\\\d+\"},{begin:\"#\\\\d+\"}]},{className:\"number\",variants:[{begin:\"0[xX][a-fA-F0-9]+\"},{begin:\"-?\\\\d+(?:[.]\\\\d+)?(?:[eE][-+]?\\\\d+(?:[.]\\\\d+)?)?\"}],relevance:0}]}};var Bo=function(e){var t={className:\"string\",begin:'\"',end:'\"',contains:[{className:\"subst\",begin:/\\\\[tn\"\\\\]/}]},a={className:\"number\",begin:e.C_NUMBER_RE};return{name:\"LSL (Linden Scripting Language)\",illegal:\":\",contains:[t,{className:\"comment\",variants:[e.COMMENT(\"//\",\"$\"),e.COMMENT(\"/\\\\*\",\"\\\\*/\")],relevance:0},a,{className:\"section\",variants:[{begin:\"\\\\b(?:state|default)\\\\b\"},{begin:\"\\\\b(?:state_(?:entry|exit)|touch(?:_(?:start|end))?|(?:land_)?collision(?:_(?:start|end))?|timer|listen|(?:no_)?sensor|control|(?:not_)?at_(?:rot_)?target|money|email|experience_permissions(?:_denied)?|run_time_permissions|changed|attach|dataserver|moving_(?:start|end)|link_message|(?:on|object)_rez|remote_data|http_re(?:sponse|quest)|path_update|transaction_result)\\\\b\"}]},{className:\"built_in\",begin:\"\\\\b(?:ll(?:AgentInExperience|(?:Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(?:Details|ErrorMessage)|ReturnObjectsBy(?:ID|Owner)|Json(?:2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(?:Mag|Norm|Dist)|Rot(?:Between|2(?:Euler|Fwd|Left|Up))|(?:Euler|Axes)2Rot|Whisper|(?:Region|Owner)?Say|Shout|Listen(?:Control|Remove)?|Sensor(?:Repeat|Remove)?|Detected(?:Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|(?:[GS]et)(?:AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(?:Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(?:Scale|Offset|Rotate)Texture|(?:Rot)?Target(?:Remove)?|(?:Stop)?MoveToTarget|Apply(?:Rotational)?Impulse|Set(?:KeyframedMotion|ContentType|RegionPos|(?:Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(?:Queueing|Radius)|Vehicle(?:Type|(?:Float|Vector|Rotation)Param)|(?:Touch|Sit)?Text|Camera(?:Eye|At)Offset|PrimitiveParams|ClickAction|Link(?:Alpha|Color|PrimitiveParams(?:Fast)?|Texture(?:Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get(?:(?:Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(?:PrimitiveParams|Number(?:OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(?:Details|PermMask|PrimCount)|Parcel(?:MaxPrims|Details|Prim(?:Count|Owners))|Attached(?:List)?|(?:SPMax|Free|Used)Memory|Region(?:Name|TimeDilation|FPS|Corner|AgentCount)|Root(?:Position|Rotation)|UnixTime|(?:Parcel|Region)Flags|(?:Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(?:Prims|NotecardLines|Sides)|Animation(?:List)?|(?:Camera|Local)(?:Pos|Rot)|Vel|Accel|Omega|Time(?:stamp|OfDay)|(?:Object|CenterOf)?Mass|MassMKS|Energy|Owner|(?:Owner)?Key|SunDirection|Texture(?:Offset|Scale|Rot)|Inventory(?:Number|Name|Key|Type|Creator|PermMask)|Permissions(?:Key)?|StartParameter|List(?:Length|EntryType)|Date|Agent(?:Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(?:Name|State))|(?:Get|Reset|GetAndReset)Time|PlaySound(?:Slave)?|LoopSound(?:Master|Slave)?|(?:Trigger|Stop|Preload)Sound|(?:(?:Get|Delete)Sub|Insert)String|To(?:Upper|Lower)|Give(?:InventoryList|Money)|RezObject|(?:Stop)?LookAt|Sleep|CollisionFilter|(?:Take|Release)Controls|DetachFromAvatar|AttachToAvatar(?:Temp)?|InstantMessage|(?:GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(?:Length|Trim)|(?:Start|Stop)Animation|TargetOmega|Request(?:Experience)?Permissions|(?:Create|Break)Link|BreakAllLinks|(?:Give|Remove)Inventory|Water|PassTouches|Request(?:Agent|Inventory)Data|TeleportAgent(?:Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(?:Axis|Angle)|A(?:cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(?:CSV|Integer|Json|Float|String|Key|Vector|Rot|List(?:Strided)?)|DeleteSubList|List(?:Statistics|Sort|Randomize|(?:Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(?:CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(?:Slope|Normal|Contour)|GroundRepel|(?:Set|Remove)VehicleFlags|SitOnLink|(?:AvatarOn)?(?:Link)?SitTarget|Script(?:Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(?:Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(?:Integer|String)ToBase64|XorBase64|Log(?:10)?|Base64To(?:String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(?:Load|Release|(?:E|Une)scape)URL|ParcelMedia(?:CommandList|Query)|ModPow|MapDestination|(?:RemoveFrom|AddTo|Reset)Land(?:Pass|Ban)List|(?:Set|Clear)CameraParams|HTTP(?:Request|Response)|TextBox|DetectedTouch(?:UV|Face|Pos|(?:N|Bin)ormal|ST)|(?:MD5|SHA1|DumpList2)String|Request(?:Secure)?URL|Clear(?:Prim|Link)Media|(?:Link)?ParticleSystem|(?:Get|Request)(?:Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(?:Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\\\b\"},{className:\"literal\",variants:[{begin:\"\\\\b(?:PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\\\b\"},{begin:\"\\\\b(?:XP_ERROR_(?:EXPERIENCES_DISABLED|EXPERIENCE_(?:DISABLED|SUSPENDED)|INVALID_(?:EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(?:FOUND|PERMITTED(?:_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(?:PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(?:_OBJECT)?|(?:DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(?:FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(?:_(?:BY_(?:LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(?:PARCEL(?:_OWNER)?|REGION)))?|CAMERA_(?:PITCH|DISTANCE|BEHINDNESS_(?:ANGLE|LAG)|(?:FOCUS|POSITION)(?:_(?:THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(?:ROOT|SET|ALL_(?:OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(?:IVE|_(?:ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(?:FWD|BACK|(?:ROT_)?(?:LEFT|RIGHT)|UP|DOWN|(?:ML_)?LBUTTON)|PERMISSION_(?:RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(?:CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(?:TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(?:INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(?:_START)?|TELEPORT|MEDIA)|OBJECT_(?:CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(?:PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(?:ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(?:COUNT|EQUIVALENCE)|RETURN_(?:PARCEL(?:_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(?:_TAG)?|CREATOR|ATTACHED_(?:POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(?:BODY_SHAPE|PATHFINDING)_TYPE|(?:RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(?:MEMORY|TIME))|TYPE_(?:INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(?:DEBUG|PUBLIC)_CHANNEL|ATTACH_(?:AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](?:SHOULDER|HAND|FOOT|EAR|EYE|[UL](?:ARM|LEG)|HIP)|(?:LEFT|RIGHT)_PEC|HUD_(?:CENTER_[12]|TOP_(?:RIGHT|CENTER|LEFT)|BOTTOM(?:_(?:RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(?:BASE|TIP)|[LR]WING|FACE_(?:JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(?:LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(?:ONLINE|NAME|BORN|SIM_(?:POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(?:ON_FILE|USED)|REMOTE_DATA_(?:CHANNEL|REQUEST|REPLY)|PSYS_(?:PART_(?:BF_(?:ZERO|ONE(?:_MINUS_(?:DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(?:START|END)_(?:COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(?:RIBBON|WIND|INTERP_(?:COLOR|SCALE)|BOUNCE|FOLLOW_(?:SRC|VELOCITY)|TARGET_(?:POS|LINEAR)|EMISSIVE)_MASK)|SRC_(?:MAX_AGE|PATTERN|ANGLE_(?:BEGIN|END)|BURST_(?:RATE|PART_COUNT|RADIUS|SPEED_(?:MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(?:DROP|EXPLODE|ANGLE(?:_CONE(?:_EMPTY)?)?)))|VEHICLE_(?:REFERENCE_FRAME|TYPE_(?:NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(?:LINEAR|ANGULAR)_(?:FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(?:HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(?:LINEAR|ANGULAR)_(?:DEFLECTION_(?:EFFICIENCY|TIMESCALE)|MOTOR_(?:DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(?:EFFICIENCY|TIMESCALE)|BANKING_(?:EFFICIENCY|MIX|TIMESCALE)|FLAG_(?:NO_DEFLECTION_UP|LIMIT_(?:ROLL_ONLY|MOTOR_UP)|HOVER_(?:(?:WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(?:STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(?:ALLOW_UNSIT|ALPHA_MODE(?:_(?:BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(?:_(?:BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(?:DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(?:_(?:STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(?:NONE|LOW|MEDIUM|HIGH)|BUMP_(?:NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(?:DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(?:TYPE_(?:SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(?:MIRROR|INVERT))|PHYSICS(?:_(?:SHAPE_(?:CONVEX|NONE|PRIM|TYPE)))?|(?:POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(?:ALT_IMAGE_ENABLE|CONTROLS|(?:CURRENT|HOME)_URL|AUTO_(?:LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(?:WIDTH|HEIGHT)_PIXELS|WHITELIST(?:_ENABLE)?|PERMS_(?:INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(?:STANDARD|MINI)|PERM_(?:NONE|OWNER|GROUP|ANYONE)|MAX_(?:URL_LENGTH|WHITELIST_(?:SIZE|COUNT)|(?:WIDTH|HEIGHT)_PIXELS)))|MASK_(?:BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(?:TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(?:MEDIA_COMMAND_(?:STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(?:ALLOW_(?:FLY|(?:GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(?:GROUP_)?OBJECTS)|USE_(?:ACCESS_(?:GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(?:GROUP|ALL)_OBJECT_ENTRY)|COUNT_(?:TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(?:NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(?:MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(?:_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(?:HIDE|DEFAULT)|REGION_FLAG_(?:ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(?:COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(?:METHOD|MIMETYPE|BODY_(?:MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(?:INVALID_(?:AGENT|LINK_OBJECT)|NO(?:T_EXPERIENCE|_(?:ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(?:TRIM(?:_(?:HEAD|TAIL))?)|CLICK_ACTION_(?:NONE|TOUCH|SIT|BUY|PAY|OPEN(?:_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(?:NONE|SCRIPT_MEMORY)|RC_(?:DATA_FLAGS|DETECT_PHANTOM|GET_(?:LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(?:TYPES|AGENTS|(?:NON)?PHYSICAL|LAND))|RCERR_(?:CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(?:ALLOWED_(?:AGENT|GROUP)_(?:ADD|REMOVE)|BANNED_AGENT_(?:ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(?:COMMAND|CMD_(?:PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(?:GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(?:CMD_(?:(?:SMOOTH_)?STOP|JUMP)|DESIRED_(?:TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(?:_(?:[ABCD]|NONE))?|MAX_(?:DECEL|TURN_RADIUS|(?:ACCEL|SPEED)))|PURSUIT_(?:OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(?:CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(?:EVADE_(?:HIDDEN|SPOTTED)|FAILURE_(?:DYNAMIC_PATHFINDING_DISABLED|INVALID_(?:GOAL|START)|NO_(?:NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(?:PARCEL_)?UNREACHABLE)|(?:GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(?:_(?:FAST|NONE|SLOW))?|CONTENT_TYPE_(?:ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(?:RADIUS|STATIC)|(?:PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(?:AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\\\b\"},{begin:\"\\\\b(?:FALSE|TRUE)\\\\b\"},{begin:\"\\\\b(?:ZERO_ROTATION)\\\\b\"},{begin:\"\\\\b(?:EOF|JSON_(?:ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(?:BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(?:GRANTED|DENIED))\\\\b\"},{begin:\"\\\\b(?:ZERO_VECTOR|TOUCH_INVALID_(?:TEXCOORD|VECTOR))\\\\b\"}]},{className:\"type\",begin:\"\\\\b(?:integer|float|string|key|vector|quaternion|rotation|list)\\\\b\"}]}};var Go=function(e){var t={begin:\"\\\\[=*\\\\[\",end:\"\\\\]=*\\\\]\",contains:[\"self\"]},a=[e.COMMENT(\"--(?!\\\\[=*\\\\[)\",\"$\"),e.COMMENT(\"--\\\\[=*\\\\[\",\"\\\\]=*\\\\]\",{contains:[t],relevance:10})];return{name:\"Lua\",lexemes:e.UNDERSCORE_IDENT_RE,keywords:{literal:\"true false nil\",keyword:\"and break do else elseif end for goto if in local not or repeat return then until while\",built_in:\"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove\"},contains:a.concat([{className:\"function\",beginKeywords:\"function\",end:\"\\\\)\",contains:[e.inherit(e.TITLE_MODE,{begin:\"([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*\"}),{className:\"params\",begin:\"\\\\(\",endsWithParent:!0,contains:a}].concat(a)},e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"\\\\[=*\\\\[\",end:\"\\\\]=*\\\\]\",contains:[t],relevance:5}])}};var Yo=function(e){var t={className:\"variable\",variants:[{begin:\"\\\\$\\\\(\"+e.UNDERSCORE_IDENT_RE+\"\\\\)\",contains:[e.BACKSLASH_ESCAPE]},{begin:/\\$[@%<?\\^\\+\\*]/}]},a={className:\"string\",begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,t]},n={className:\"variable\",begin:/\\$\\([\\w-]+\\s/,end:/\\)/,keywords:{built_in:\"subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value\"},contains:[t]},r={begin:\"^\"+e.UNDERSCORE_IDENT_RE+\"\\\\s*(?=[:+?]?=)\"},i={className:\"section\",begin:/^[^\\s]+:/,end:/$/,contains:[t]};return{name:\"Makefile\",aliases:[\"mk\",\"mak\"],keywords:\"define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath\",lexemes:/[\\w-]+/,contains:[e.HASH_COMMENT_MODE,t,a,n,r,{className:\"meta\",begin:/^\\.PHONY:/,end:/$/,keywords:{\"meta-keyword\":\".PHONY\"},lexemes:/[\\.\\w]+/},i]}};var Ho=function(e){return{name:\"Mathematica\",aliases:[\"mma\",\"wl\"],lexemes:\"(\\\\$|\\\\b)\"+e.IDENT_RE+\"\\\\b\",keywords:\"AASTriangle AbelianGroup Abort AbortKernels AbortProtect AbortScheduledTask Above Abs AbsArg AbsArgPlot Absolute AbsoluteCorrelation AbsoluteCorrelationFunction AbsoluteCurrentValue AbsoluteDashing AbsoluteFileName AbsoluteOptions AbsolutePointSize AbsoluteThickness AbsoluteTime AbsoluteTiming AcceptanceThreshold AccountingForm Accumulate Accuracy AccuracyGoal ActionDelay ActionMenu ActionMenuBox ActionMenuBoxOptions Activate Active ActiveClassification ActiveClassificationObject ActiveItem ActivePrediction ActivePredictionObject ActiveStyle AcyclicGraphQ AddOnHelpPath AddSides AddTo AddToSearchIndex AddUsers AdjacencyGraph AdjacencyList AdjacencyMatrix AdjustmentBox AdjustmentBoxOptions AdjustTimeSeriesForecast AdministrativeDivisionData AffineHalfSpace AffineSpace AffineStateSpaceModel AffineTransform After AggregatedEntityClass AggregationLayer AircraftData AirportData AirPressureData AirTemperatureData AiryAi AiryAiPrime AiryAiZero AiryBi AiryBiPrime AiryBiZero AlgebraicIntegerQ AlgebraicNumber AlgebraicNumberDenominator AlgebraicNumberNorm AlgebraicNumberPolynomial AlgebraicNumberTrace AlgebraicRules AlgebraicRulesData Algebraics AlgebraicUnitQ Alignment AlignmentMarker AlignmentPoint All AllowAdultContent AllowedCloudExtraParameters AllowedCloudParameterExtensions AllowedDimensions AllowedFrequencyRange AllowedHeads AllowGroupClose AllowIncomplete AllowInlineCells AllowKernelInitialization AllowLooseGrammar AllowReverseGroupClose AllowScriptLevelChange AllTrue Alphabet AlphabeticOrder AlphabeticSort AlphaChannel AlternateImage AlternatingFactorial AlternatingGroup AlternativeHypothesis Alternatives AltitudeMethod AmbientLight AmbiguityFunction AmbiguityList Analytic AnatomyData AnatomyForm AnatomyPlot3D AnatomySkinStyle AnatomyStyling AnchoredSearch And AndersonDarlingTest AngerJ AngleBisector AngleBracket AnglePath AnglePath3D AngleVector AngularGauge Animate AnimationCycleOffset AnimationCycleRepetitions AnimationDirection AnimationDisplayTime AnimationRate AnimationRepetitions AnimationRunning AnimationRunTime AnimationTimeIndex Animator AnimatorBox AnimatorBoxOptions AnimatorElements Annotate Annotation AnnotationDelete AnnotationNames AnnotationRules AnnotationValue Annuity AnnuityDue Annulus AnomalyDetection AnomalyDetectorFunction Anonymous Antialiasing AntihermitianMatrixQ Antisymmetric AntisymmetricMatrixQ Antonyms AnyOrder AnySubset AnyTrue Apart ApartSquareFree APIFunction Appearance AppearanceElements AppearanceRules AppellF1 Append AppendCheck AppendLayer AppendTo ApplicationIdentificationKey Apply ApplySides ArcCos ArcCosh ArcCot ArcCoth ArcCsc ArcCsch ArcCurvature ARCHProcess ArcLength ArcSec ArcSech ArcSin ArcSinDistribution ArcSinh ArcTan ArcTanh Area Arg ArgMax ArgMin ArgumentCountQ ARIMAProcess ArithmeticGeometricMean ARMAProcess Around AroundReplace ARProcess Array ArrayComponents ArrayDepth ArrayFilter ArrayFlatten ArrayMesh ArrayPad ArrayPlot ArrayQ ArrayResample ArrayReshape ArrayRules Arrays Arrow Arrow3DBox ArrowBox Arrowheads ASATriangle Ask AskAppend AskConfirm AskDisplay AskedQ AskedValue AskFunction AskState AskTemplateDisplay AspectRatio AspectRatioFixed Assert AssociateTo Association AssociationFormat AssociationMap AssociationQ AssociationThread AssumeDeterministic Assuming Assumptions AstronomicalData AsymptoticDSolveValue AsymptoticEqual AsymptoticEquivalent AsymptoticGreater AsymptoticGreaterEqual AsymptoticIntegrate AsymptoticLess AsymptoticLessEqual AsymptoticOutputTracker AsymptoticRSolveValue AsymptoticSolve AsymptoticSum Asynchronous AsynchronousTaskObject AsynchronousTasks Atom AtomCoordinates AtomCount AtomDiagramCoordinates AtomList AtomQ AttentionLayer Attributes Audio AudioAmplify AudioAnnotate AudioAnnotationLookup AudioBlockMap AudioCapture AudioChannelAssignment AudioChannelCombine AudioChannelMix AudioChannels AudioChannelSeparate AudioData AudioDelay AudioDelete AudioDevice AudioDistance AudioFade AudioFrequencyShift AudioGenerator AudioIdentify AudioInputDevice AudioInsert AudioIntervals AudioJoin AudioLabel AudioLength AudioLocalMeasurements AudioLooping AudioLoudness AudioMeasurements AudioNormalize AudioOutputDevice AudioOverlay AudioPad AudioPan AudioPartition AudioPause AudioPitchShift AudioPlay AudioPlot AudioQ AudioRecord AudioReplace AudioResample AudioReverb AudioSampleRate AudioSpectralMap AudioSpectralTransformation AudioSplit AudioStop AudioStream AudioStreams AudioTimeStretch AudioTrim AudioType AugmentedPolyhedron AugmentedSymmetricPolynomial Authenticate Authentication AuthenticationDialog AutoAction Autocomplete AutocompletionFunction AutoCopy AutocorrelationTest AutoDelete AutoEvaluateEvents AutoGeneratedPackage AutoIndent AutoIndentSpacings AutoItalicWords AutoloadPath AutoMatch Automatic AutomaticImageSize AutoMultiplicationSymbol AutoNumberFormatting AutoOpenNotebooks AutoOpenPalettes AutoQuoteCharacters AutoRefreshed AutoRemove AutorunSequencing AutoScaling AutoScroll AutoSpacing AutoStyleOptions AutoStyleWords AutoSubmitting Axes AxesEdge AxesLabel AxesOrigin AxesStyle AxiomaticTheory Axis BabyMonsterGroupB Back Background BackgroundAppearance BackgroundTasksSettings Backslash Backsubstitution Backward Ball Band BandpassFilter BandstopFilter BarabasiAlbertGraphDistribution BarChart BarChart3D BarcodeImage BarcodeRecognize BaringhausHenzeTest BarLegend BarlowProschanImportance BarnesG BarOrigin BarSpacing BartlettHannWindow BartlettWindow BaseDecode BaseEncode BaseForm Baseline BaselinePosition BaseStyle BasicRecurrentLayer BatchNormalizationLayer BatchSize BatesDistribution BattleLemarieWavelet BayesianMaximization BayesianMaximizationObject BayesianMinimization BayesianMinimizationObject Because BeckmannDistribution Beep Before Begin BeginDialogPacket BeginFrontEndInteractionPacket BeginPackage BellB BellY Below BenfordDistribution BeniniDistribution BenktanderGibratDistribution BenktanderWeibullDistribution BernoulliB BernoulliDistribution BernoulliGraphDistribution BernoulliProcess BernsteinBasis BesselFilterModel BesselI BesselJ BesselJZero BesselK BesselY BesselYZero Beta BetaBinomialDistribution BetaDistribution BetaNegativeBinomialDistribution BetaPrimeDistribution BetaRegularized Between BetweennessCentrality BeveledPolyhedron BezierCurve BezierCurve3DBox BezierCurve3DBoxOptions BezierCurveBox BezierCurveBoxOptions BezierFunction BilateralFilter Binarize BinaryDeserialize BinaryDistance BinaryFormat BinaryImageQ BinaryRead BinaryReadList BinarySerialize BinaryWrite BinCounts BinLists Binomial BinomialDistribution BinomialProcess BinormalDistribution BiorthogonalSplineWavelet BipartiteGraphQ BiquadraticFilterModel BirnbaumImportance BirnbaumSaundersDistribution BitAnd BitClear BitGet BitLength BitNot BitOr BitSet BitShiftLeft BitShiftRight BitXor BiweightLocation BiweightMidvariance Black BlackmanHarrisWindow BlackmanNuttallWindow BlackmanWindow Blank BlankForm BlankNullSequence BlankSequence Blend Block BlockchainAddressData BlockchainBase BlockchainBlockData BlockchainContractValue BlockchainData BlockchainGet BlockchainKeyEncode BlockchainPut BlockchainTokenData BlockchainTransaction BlockchainTransactionData BlockchainTransactionSign BlockchainTransactionSubmit BlockMap BlockRandom BlomqvistBeta BlomqvistBetaTest Blue Blur BodePlot BohmanWindow Bold Bond BondCount BondList BondQ Bookmarks Boole BooleanConsecutiveFunction BooleanConvert BooleanCountingFunction BooleanFunction BooleanGraph BooleanMaxterms BooleanMinimize BooleanMinterms BooleanQ BooleanRegion Booleans BooleanStrings BooleanTable BooleanVariables BorderDimensions BorelTannerDistribution Bottom BottomHatTransform BoundaryDiscretizeGraphics BoundaryDiscretizeRegion BoundaryMesh BoundaryMeshRegion BoundaryMeshRegionQ BoundaryStyle BoundedRegionQ BoundingRegion Bounds Box BoxBaselineShift BoxData BoxDimensions Boxed Boxes BoxForm BoxFormFormatTypes BoxFrame BoxID BoxMargins BoxMatrix BoxObject BoxRatios BoxRotation BoxRotationPoint BoxStyle BoxWhiskerChart Bra BracketingBar BraKet BrayCurtisDistance BreadthFirstScan Break BridgeData BrightnessEqualize BroadcastStationData Brown BrownForsytheTest BrownianBridgeProcess BrowserCategory BSplineBasis BSplineCurve BSplineCurve3DBox BSplineCurve3DBoxOptions BSplineCurveBox BSplineCurveBoxOptions BSplineFunction BSplineSurface BSplineSurface3DBox BSplineSurface3DBoxOptions BubbleChart BubbleChart3D BubbleScale BubbleSizes BuildingData BulletGauge BusinessDayQ ButterflyGraph ButterworthFilterModel Button ButtonBar ButtonBox ButtonBoxOptions ButtonCell ButtonContents ButtonData ButtonEvaluator ButtonExpandable ButtonFrame ButtonFunction ButtonMargins ButtonMinHeight ButtonNote ButtonNotebook ButtonSource ButtonStyle ButtonStyleMenuListing Byte ByteArray ByteArrayFormat ByteArrayQ ByteArrayToString ByteCount ByteOrdering C CachedValue CacheGraphics CachePersistence CalendarConvert CalendarData CalendarType Callout CalloutMarker CalloutStyle CallPacket CanberraDistance Cancel CancelButton CandlestickChart CanonicalGraph CanonicalizePolygon CanonicalizePolyhedron CanonicalName CanonicalWarpingCorrespondence CanonicalWarpingDistance CantorMesh CantorStaircase Cap CapForm CapitalDifferentialD Capitalize CapsuleShape CaptureRunning CardinalBSplineBasis CarlemanLinearize CarmichaelLambda CaseOrdering Cases CaseSensitive Cashflow Casoratian Catalan CatalanNumber Catch Catenate CatenateLayer CauchyDistribution CauchyWindow CayleyGraph CDF CDFDeploy CDFInformation CDFWavelet Ceiling CelestialSystem Cell CellAutoOverwrite CellBaseline CellBoundingBox CellBracketOptions CellChangeTimes CellContents CellContext CellDingbat CellDynamicExpression CellEditDuplicate CellElementsBoundingBox CellElementSpacings CellEpilog CellEvaluationDuplicate CellEvaluationFunction CellEvaluationLanguage CellEventActions CellFrame CellFrameColor CellFrameLabelMargins CellFrameLabels CellFrameMargins CellGroup CellGroupData CellGrouping CellGroupingRules CellHorizontalScrolling CellID CellLabel CellLabelAutoDelete CellLabelMargins CellLabelPositioning CellLabelStyle CellLabelTemplate CellMargins CellObject CellOpen CellPrint CellProlog Cells CellSize CellStyle CellTags CellularAutomaton CensoredDistribution Censoring Center CenterArray CenterDot CentralFeature CentralMoment CentralMomentGeneratingFunction Cepstrogram CepstrogramArray CepstrumArray CForm ChampernowneNumber ChangeOptions ChannelBase ChannelBrokerAction ChannelDatabin ChannelHistoryLength ChannelListen ChannelListener ChannelListeners ChannelListenerWait ChannelObject ChannelPreSendFunction ChannelReceiverFunction ChannelSend ChannelSubscribers ChanVeseBinarize Character CharacterCounts CharacterEncoding CharacterEncodingsPath CharacteristicFunction CharacteristicPolynomial CharacterName CharacterRange Characters ChartBaseStyle ChartElementData ChartElementDataFunction ChartElementFunction ChartElements ChartLabels ChartLayout ChartLegends ChartStyle Chebyshev1FilterModel Chebyshev2FilterModel ChebyshevDistance ChebyshevT ChebyshevU Check CheckAbort CheckAll Checkbox CheckboxBar CheckboxBox CheckboxBoxOptions ChemicalData ChessboardDistance ChiDistribution ChineseRemainder ChiSquareDistribution ChoiceButtons ChoiceDialog CholeskyDecomposition Chop ChromaticityPlot ChromaticityPlot3D ChromaticPolynomial Circle CircleBox CircleDot CircleMinus CirclePlus CirclePoints CircleThrough CircleTimes CirculantGraph CircularOrthogonalMatrixDistribution CircularQuaternionMatrixDistribution CircularRealMatrixDistribution CircularSymplecticMatrixDistribution CircularUnitaryMatrixDistribution Circumsphere CityData ClassifierFunction ClassifierInformation ClassifierMeasurements ClassifierMeasurementsObject Classify ClassPriors Clear ClearAll ClearAttributes ClearCookies ClearPermissions ClearSystemCache ClebschGordan ClickPane Clip ClipboardNotebook ClipFill ClippingStyle ClipPlanes ClipPlanesStyle ClipRange Clock ClockGauge ClockwiseContourIntegral Close Closed CloseKernels ClosenessCentrality Closing ClosingAutoSave ClosingEvent CloudAccountData CloudBase CloudConnect CloudDeploy CloudDirectory CloudDisconnect CloudEvaluate CloudExport CloudExpression CloudExpressions CloudFunction CloudGet CloudImport CloudLoggingData CloudObject CloudObjectInformation CloudObjectInformationData CloudObjectNameFormat CloudObjects CloudObjectURLType CloudPublish CloudPut CloudRenderingMethod CloudSave CloudShare CloudSubmit CloudSymbol CloudUnshare ClusterClassify ClusterDissimilarityFunction ClusteringComponents ClusteringTree CMYKColor Coarse CodeAssistOptions Coefficient CoefficientArrays CoefficientDomain CoefficientList CoefficientRules CoifletWavelet Collect Colon ColonForm ColorBalance ColorCombine ColorConvert ColorCoverage ColorData ColorDataFunction ColorDetect ColorDistance ColorFunction ColorFunctionScaling Colorize ColorNegate ColorOutput ColorProfileData ColorQ ColorQuantize ColorReplace ColorRules ColorSelectorSettings ColorSeparate ColorSetter ColorSetterBox ColorSetterBoxOptions ColorSlider ColorsNear ColorSpace ColorToneMapping Column ColumnAlignments ColumnBackgrounds ColumnForm ColumnLines ColumnsEqual ColumnSpacings ColumnWidths CombinedEntityClass CombinerFunction CometData CommonDefaultFormatTypes Commonest CommonestFilter CommonName CommonUnits CommunityBoundaryStyle CommunityGraphPlot CommunityLabels CommunityRegionStyle CompanyData CompatibleUnitQ CompilationOptions CompilationTarget Compile Compiled CompiledCodeFunction CompiledFunction CompilerOptions Complement CompleteGraph CompleteGraphQ CompleteKaryTree CompletionsListPacket Complex Complexes ComplexExpand ComplexInfinity ComplexityFunction ComplexListPlot ComplexPlot ComplexPlot3D ComponentMeasurements ComponentwiseContextMenu Compose ComposeList ComposeSeries CompositeQ Composition CompoundElement CompoundExpression CompoundPoissonDistribution CompoundPoissonProcess CompoundRenewalProcess Compress CompressedData ComputeUncertainty Condition ConditionalExpression Conditioned Cone ConeBox ConfidenceLevel ConfidenceRange ConfidenceTransform ConfigurationPath ConformAudio ConformImages Congruent ConicHullRegion ConicHullRegion3DBox ConicHullRegionBox ConicOptimization Conjugate ConjugateTranspose Conjunction Connect ConnectedComponents ConnectedGraphComponents ConnectedGraphQ ConnectedMeshComponents ConnectedMoleculeComponents ConnectedMoleculeQ ConnectionSettings ConnectLibraryCallbackFunction ConnectSystemModelComponents ConnesWindow ConoverTest ConsoleMessage ConsoleMessagePacket ConsolePrint Constant ConstantArray ConstantArrayLayer ConstantImage ConstantPlusLayer ConstantRegionQ Constants ConstantTimesLayer ConstellationData ConstrainedMax ConstrainedMin Construct Containing ContainsAll ContainsAny ContainsExactly ContainsNone ContainsOnly ContentFieldOptions ContentLocationFunction ContentObject ContentPadding ContentsBoundingBox ContentSelectable ContentSize Context ContextMenu Contexts ContextToFileName Continuation Continue ContinuedFraction ContinuedFractionK ContinuousAction ContinuousMarkovProcess ContinuousTask ContinuousTimeModelQ ContinuousWaveletData ContinuousWaveletTransform ContourDetect ContourGraphics ContourIntegral ContourLabels ContourLines ContourPlot ContourPlot3D Contours ContourShading ContourSmoothing ContourStyle ContraharmonicMean ContrastiveLossLayer Control ControlActive ControlAlignment ControlGroupContentsBox ControllabilityGramian ControllabilityMatrix ControllableDecomposition ControllableModelQ ControllerDuration ControllerInformation ControllerInformationData ControllerLinking ControllerManipulate ControllerMethod ControllerPath ControllerState ControlPlacement ControlsRendering ControlType Convergents ConversionOptions ConversionRules ConvertToBitmapPacket ConvertToPostScript ConvertToPostScriptPacket ConvexHullMesh ConvexPolygonQ ConvexPolyhedronQ ConvolutionLayer Convolve ConwayGroupCo1 ConwayGroupCo2 ConwayGroupCo3 CookieFunction Cookies CoordinateBoundingBox CoordinateBoundingBoxArray CoordinateBounds CoordinateBoundsArray CoordinateChartData CoordinatesToolOptions CoordinateTransform CoordinateTransformData CoprimeQ Coproduct CopulaDistribution Copyable CopyDatabin CopyDirectory CopyFile CopyTag CopyToClipboard CornerFilter CornerNeighbors Correlation CorrelationDistance CorrelationFunction CorrelationTest Cos Cosh CoshIntegral CosineDistance CosineWindow CosIntegral Cot Coth Count CountDistinct CountDistinctBy CounterAssignments CounterBox CounterBoxOptions CounterClockwiseContourIntegral CounterEvaluator CounterFunction CounterIncrements CounterStyle CounterStyleMenuListing CountRoots CountryData Counts CountsBy Covariance CovarianceEstimatorFunction CovarianceFunction CoxianDistribution CoxIngersollRossProcess CoxModel CoxModelFit CramerVonMisesTest CreateArchive CreateCellID CreateChannel CreateCloudExpression CreateDatabin CreateDataSystemModel CreateDialog CreateDirectory CreateDocument CreateFile CreateIntermediateDirectories CreateManagedLibraryExpression CreateNotebook CreatePalette CreatePalettePacket CreatePermissionsGroup CreateScheduledTask CreateSearchIndex CreateSystemModel CreateTemporary CreateUUID CreateWindow CriterionFunction CriticalityFailureImportance CriticalitySuccessImportance CriticalSection Cross CrossEntropyLossLayer CrossingCount CrossingDetect CrossingPolygon CrossMatrix Csc Csch CTCLossLayer Cube CubeRoot Cubics Cuboid CuboidBox Cumulant CumulantGeneratingFunction Cup CupCap Curl CurlyDoubleQuote CurlyQuote CurrencyConvert CurrentDate CurrentImage CurrentlySpeakingPacket CurrentNotebookImage CurrentScreenImage CurrentValue Curry CurvatureFlowFilter CurveClosed Cyan CycleGraph CycleIndexPolynomial Cycles CyclicGroup Cyclotomic Cylinder CylinderBox CylindricalDecomposition D DagumDistribution DamData DamerauLevenshteinDistance DampingFactor Darker Dashed Dashing DatabaseConnect DatabaseDisconnect DatabaseReference Databin DatabinAdd DatabinRemove Databins DatabinUpload DataCompression DataDistribution DataRange DataReversed Dataset Date DateBounds Dated DateDelimiters DateDifference DatedUnit DateFormat DateFunction DateHistogram DateList DateListLogPlot DateListPlot DateListStepPlot DateObject DateObjectQ DateOverlapsQ DatePattern DatePlus DateRange DateReduction DateString DateTicksFormat DateValue DateWithinQ DaubechiesWavelet DavisDistribution DawsonF DayCount DayCountConvention DayHemisphere DaylightQ DayMatchQ DayName DayNightTerminator DayPlus DayRange DayRound DeBruijnGraph DeBruijnSequence Debug DebugTag Decapitalize Decimal DecimalForm DeclareKnownSymbols DeclarePackage Decompose DeconvolutionLayer Decrement Decrypt DecryptFile DedekindEta DeepSpaceProbeData Default DefaultAxesStyle DefaultBaseStyle DefaultBoxStyle DefaultButton DefaultColor DefaultControlPlacement DefaultDuplicateCellStyle DefaultDuration DefaultElement DefaultFaceGridsStyle DefaultFieldHintStyle DefaultFont DefaultFontProperties DefaultFormatType DefaultFormatTypeForStyle DefaultFrameStyle DefaultFrameTicksStyle DefaultGridLinesStyle DefaultInlineFormatType DefaultInputFormatType DefaultLabelStyle DefaultMenuStyle DefaultNaturalLanguage DefaultNewCellStyle DefaultNewInlineCellStyle DefaultNotebook DefaultOptions DefaultOutputFormatType DefaultPrintPrecision DefaultStyle DefaultStyleDefinitions DefaultTextFormatType DefaultTextInlineFormatType DefaultTicksStyle DefaultTooltipStyle DefaultValue DefaultValues Defer DefineExternal DefineInputStreamMethod DefineOutputStreamMethod DefineResourceFunction Definition Degree DegreeCentrality DegreeGraphDistribution DegreeLexicographic DegreeReverseLexicographic DEigensystem DEigenvalues Deinitialization Del DelaunayMesh Delayed Deletable Delete DeleteAnomalies DeleteBorderComponents DeleteCases DeleteChannel DeleteCloudExpression DeleteContents DeleteDirectory DeleteDuplicates DeleteDuplicatesBy DeleteFile DeleteMissing DeleteObject DeletePermissionsKey DeleteSearchIndex DeleteSmallComponents DeleteStopwords DeleteWithContents DeletionWarning DelimitedArray DelimitedSequence Delimiter DelimiterFlashTime DelimiterMatching Delimiters DeliveryFunction Dendrogram Denominator DensityGraphics DensityHistogram DensityPlot DensityPlot3D DependentVariables Deploy Deployed Depth DepthFirstScan Derivative DerivativeFilter DerivedKey DescriptorStateSpace DesignMatrix DestroyAfterEvaluation Det DeviceClose DeviceConfigure DeviceExecute DeviceExecuteAsynchronous DeviceObject DeviceOpen DeviceOpenQ DeviceRead DeviceReadBuffer DeviceReadLatest DeviceReadList DeviceReadTimeSeries Devices DeviceStreams DeviceWrite DeviceWriteBuffer DGaussianWavelet DiacriticalPositioning Diagonal DiagonalizableMatrixQ DiagonalMatrix DiagonalMatrixQ Dialog DialogIndent DialogInput DialogLevel DialogNotebook DialogProlog DialogReturn DialogSymbols Diamond DiamondMatrix DiceDissimilarity DictionaryLookup DictionaryWordQ DifferenceDelta DifferenceOrder DifferenceQuotient DifferenceRoot DifferenceRootReduce Differences DifferentialD DifferentialRoot DifferentialRootReduce DifferentiatorFilter DigitalSignature DigitBlock DigitBlockMinimum DigitCharacter DigitCount DigitQ DihedralAngle DihedralGroup Dilation DimensionalCombinations DimensionalMeshComponents DimensionReduce DimensionReducerFunction DimensionReduction Dimensions DiracComb DiracDelta DirectedEdge DirectedEdges DirectedGraph DirectedGraphQ DirectedInfinity Direction Directive Directory DirectoryName DirectoryQ DirectoryStack DirichletBeta DirichletCharacter DirichletCondition DirichletConvolve DirichletDistribution DirichletEta DirichletL DirichletLambda DirichletTransform DirichletWindow DisableConsolePrintPacket DisableFormatting DiscreteChirpZTransform DiscreteConvolve DiscreteDelta DiscreteHadamardTransform DiscreteIndicator DiscreteLimit DiscreteLQEstimatorGains DiscreteLQRegulatorGains DiscreteLyapunovSolve DiscreteMarkovProcess DiscreteMaxLimit DiscreteMinLimit DiscretePlot DiscretePlot3D DiscreteRatio DiscreteRiccatiSolve DiscreteShift DiscreteTimeModelQ DiscreteUniformDistribution DiscreteVariables DiscreteWaveletData DiscreteWaveletPacketTransform DiscreteWaveletTransform DiscretizeGraphics DiscretizeRegion Discriminant DisjointQ Disjunction Disk DiskBox DiskMatrix DiskSegment Dispatch DispatchQ DispersionEstimatorFunction Display DisplayAllSteps DisplayEndPacket DisplayFlushImagePacket DisplayForm DisplayFunction DisplayPacket DisplayRules DisplaySetSizePacket DisplayString DisplayTemporary DisplayWith DisplayWithRef DisplayWithVariable DistanceFunction DistanceMatrix DistanceTransform Distribute Distributed DistributedContexts DistributeDefinitions DistributionChart DistributionDomain DistributionFitTest DistributionParameterAssumptions DistributionParameterQ Dithering Div Divergence Divide DivideBy Dividers DivideSides Divisible Divisors DivisorSigma DivisorSum DMSList DMSString Do DockedCells DocumentGenerator DocumentGeneratorInformation DocumentGeneratorInformationData DocumentGenerators DocumentNotebook DocumentWeightingRules Dodecahedron DomainRegistrationInformation DominantColors DOSTextFormat Dot DotDashed DotEqual DotLayer DotPlusLayer Dotted DoubleBracketingBar DoubleContourIntegral DoubleDownArrow DoubleLeftArrow DoubleLeftRightArrow DoubleLeftTee DoubleLongLeftArrow DoubleLongLeftRightArrow DoubleLongRightArrow DoubleRightArrow DoubleRightTee DoubleUpArrow DoubleUpDownArrow DoubleVerticalBar DoublyInfinite Down DownArrow DownArrowBar DownArrowUpArrow DownLeftRightVector DownLeftTeeVector DownLeftVector DownLeftVectorBar DownRightTeeVector DownRightVector DownRightVectorBar Downsample DownTee DownTeeArrow DownValues DragAndDrop DrawEdges DrawFrontFaces DrawHighlighted Drop DropoutLayer DSolve DSolveValue Dt DualLinearProgramming DualPolyhedron DualSystemsModel DumpGet DumpSave DuplicateFreeQ Duration Dynamic DynamicBox DynamicBoxOptions DynamicEvaluationTimeout DynamicGeoGraphics DynamicImage DynamicLocation DynamicModule DynamicModuleBox DynamicModuleBoxOptions DynamicModuleParent DynamicModuleValues DynamicName DynamicNamespace DynamicReference DynamicSetting DynamicUpdating DynamicWrapper DynamicWrapperBox DynamicWrapperBoxOptions E EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistribution FaceForm FaceGrids FaceGridsStyle FacialFeatures Factor FactorComplete Factorial Factorial2 FactorialMoment FactorialMomentGeneratingFunction FactorialPower FactorInteger FactorList FactorSquareFree FactorSquareFreeList FactorTerms FactorTermsList Fail Failure FailureAction FailureDistribution FailureQ False FareySequence FARIMAProcess FeatureDistance FeatureExtract FeatureExtraction FeatureExtractor FeatureExtractorFunction FeatureNames FeatureNearest FeatureSpacePlot FeatureSpacePlot3D FeatureTypes FEDisableConsolePrintPacket FeedbackLinearize FeedbackSector FeedbackSectorStyle FeedbackType FEEnableConsolePrintPacket FetalGrowthData Fibonacci Fibonorial FieldCompletionFunction FieldHint FieldHintStyle FieldMasked FieldSize File FileBaseName FileByteCount FileConvert FileDate FileExistsQ FileExtension FileFormat FileHandler FileHash FileInformation FileName FileNameDepth FileNameDialogSettings FileNameDrop FileNameForms FileNameJoin FileNames FileNameSetter FileNameSplit FileNameTake FilePrint FileSize FileSystemMap FileSystemScan FileTemplate FileTemplateApply FileType FilledCurve FilledCurveBox FilledCurveBoxOptions Filling FillingStyle FillingTransform FilteredEntityClass FilterRules FinancialBond FinancialData FinancialDerivative FinancialIndicator Find FindAnomalies FindArgMax FindArgMin FindChannels FindClique FindClusters FindCookies FindCurvePath FindCycle FindDevices FindDistribution FindDistributionParameters FindDivisions FindEdgeCover FindEdgeCut FindEdgeIndependentPaths FindEquationalProof FindEulerianCycle FindExternalEvaluators FindFaces FindFile FindFit FindFormula FindFundamentalCycles FindGeneratingFunction FindGeoLocation FindGeometricConjectures FindGeometricTransform FindGraphCommunities FindGraphIsomorphism FindGraphPartition FindHamiltonianCycle FindHamiltonianPath FindHiddenMarkovStates FindIndependentEdgeSet FindIndependentVertexSet FindInstance FindIntegerNullVector FindKClan FindKClique FindKClub FindKPlex FindLibrary FindLinearRecurrence FindList FindMatchingColor FindMaximum FindMaximumFlow FindMaxValue FindMeshDefects FindMinimum FindMinimumCostFlow FindMinimumCut FindMinValue FindMoleculeSubstructure FindPath FindPeaks FindPermutation FindPostmanTour FindProcessParameters FindRepeat FindRoot FindSequenceFunction FindSettings FindShortestPath FindShortestTour FindSpanningTree FindSystemModelEquilibrium FindTextualAnswer FindThreshold FindTransientRepeat FindVertexCover FindVertexCut FindVertexIndependentPaths Fine FinishDynamic FiniteAbelianGroupCount FiniteGroupCount FiniteGroupData First FirstCase FirstPassageTimeDistribution FirstPosition FischerGroupFi22 FischerGroupFi23 FischerGroupFi24Prime FisherHypergeometricDistribution FisherRatioTest FisherZDistribution Fit FitAll FitRegularization FittedModel FixedOrder FixedPoint FixedPointList FlashSelection Flat Flatten FlattenAt FlattenLayer FlatTopWindow FlipView Floor FlowPolynomial FlushPrintOutputPacket Fold FoldList FoldPair FoldPairList FollowRedirects Font FontColor FontFamily FontForm FontName FontOpacity FontPostScriptName FontProperties FontReencoding FontSize FontSlant FontSubstitutions FontTracking FontVariations FontWeight For ForAll Format FormatRules FormatType FormatTypeAutoConvert FormatValues FormBox FormBoxOptions FormControl FormFunction FormLayoutFunction FormObject FormPage FormTheme FormulaData FormulaLookup FortranForm Forward ForwardBackward Fourier FourierCoefficient FourierCosCoefficient FourierCosSeries FourierCosTransform FourierDCT FourierDCTFilter FourierDCTMatrix FourierDST FourierDSTMatrix FourierMatrix FourierParameters FourierSequenceTransform FourierSeries FourierSinCoefficient FourierSinSeries FourierSinTransform FourierTransform FourierTrigSeries FractionalBrownianMotionProcess FractionalGaussianNoiseProcess FractionalPart FractionBox FractionBoxOptions FractionLine Frame FrameBox FrameBoxOptions Framed FrameInset FrameLabel Frameless FrameMargins FrameRate FrameStyle FrameTicks FrameTicksStyle FRatioDistribution FrechetDistribution FreeQ FrenetSerretSystem FrequencySamplingFilterKernel FresnelC FresnelF FresnelG FresnelS Friday FrobeniusNumber FrobeniusSolve FromAbsoluteTime FromCharacterCode FromCoefficientRules FromContinuedFraction FromDate FromDigits FromDMS FromEntity FromJulianDate FromLetterNumber FromPolarCoordinates FromRomanNumeral FromSphericalCoordinates FromUnixTime Front FrontEndDynamicExpression FrontEndEventActions FrontEndExecute FrontEndObject FrontEndResource FrontEndResourceString FrontEndStackSize FrontEndToken FrontEndTokenExecute FrontEndValueCache FrontEndVersion FrontFaceColor FrontFaceOpacity Full FullAxes FullDefinition FullForm FullGraphics FullInformationOutputRegulator FullOptions FullRegion FullSimplify Function FunctionCompile FunctionCompileExport FunctionCompileExportByteArray FunctionCompileExportLibrary FunctionCompileExportString FunctionDomain FunctionExpand FunctionInterpolation FunctionPeriod FunctionRange FunctionSpace FussellVeselyImportanceGaborFilter GaborMatrix GaborWavelet GainMargins GainPhaseMargins GalaxyData GalleryView Gamma GammaDistribution GammaRegularized GapPenalty GARCHProcess GatedRecurrentLayer Gather GatherBy GaugeFaceElementFunction GaugeFaceStyle GaugeFrameElementFunction GaugeFrameSize GaugeFrameStyle GaugeLabels GaugeMarkers GaugeStyle GaussianFilter GaussianIntegers GaussianMatrix GaussianOrthogonalMatrixDistribution GaussianSymplecticMatrixDistribution GaussianUnitaryMatrixDistribution GaussianWindow GCD GegenbauerC General GeneralizedLinearModelFit GenerateAsymmetricKeyPair GenerateConditions GeneratedCell GeneratedDocumentBinding GenerateDerivedKey GenerateDigitalSignature GenerateDocument GeneratedParameters GeneratedQuantityMagnitudes GenerateHTTPResponse GenerateSecuredAuthenticationKey GenerateSymmetricKey GeneratingFunction GeneratorDescription GeneratorHistoryLength GeneratorOutputType Generic GenericCylindricalDecomposition GenomeData GenomeLookup GeoAntipode GeoArea GeoArraySize GeoBackground GeoBoundingBox GeoBounds GeoBoundsRegion GeoBubbleChart GeoCenter GeoCircle GeodesicClosing GeodesicDilation GeodesicErosion GeodesicOpening GeoDestination GeodesyData GeoDirection GeoDisk GeoDisplacement GeoDistance GeoDistanceList GeoElevationData GeoEntities GeoGraphics GeogravityModelData GeoGridDirectionDifference GeoGridLines GeoGridLinesStyle GeoGridPosition GeoGridRange GeoGridRangePadding GeoGridUnitArea GeoGridUnitDistance GeoGridVector GeoGroup GeoHemisphere GeoHemisphereBoundary GeoHistogram GeoIdentify GeoImage GeoLabels GeoLength GeoListPlot GeoLocation GeologicalPeriodData GeomagneticModelData GeoMarker GeometricAssertion GeometricBrownianMotionProcess GeometricDistribution GeometricMean GeometricMeanFilter GeometricScene GeometricTransformation GeometricTransformation3DBox GeometricTransformation3DBoxOptions GeometricTransformationBox GeometricTransformationBoxOptions GeoModel GeoNearest GeoPath GeoPosition GeoPositionENU GeoPositionXYZ GeoProjection GeoProjectionData GeoRange GeoRangePadding GeoRegionValuePlot GeoResolution GeoScaleBar GeoServer GeoSmoothHistogram GeoStreamPlot GeoStyling GeoStylingImageFunction GeoVariant GeoVector GeoVectorENU GeoVectorPlot GeoVectorXYZ GeoVisibleRegion GeoVisibleRegionBoundary GeoWithinQ GeoZoomLevel GestureHandler GestureHandlerTag Get GetBoundingBoxSizePacket GetContext GetEnvironment GetFileName GetFrontEndOptionsDataPacket GetLinebreakInformationPacket GetMenusPacket GetPageBreakInformationPacket Glaisher GlobalClusteringCoefficient GlobalPreferences GlobalSession Glow GoldenAngle GoldenRatio GompertzMakehamDistribution GoodmanKruskalGamma GoodmanKruskalGammaTest Goto Grad Gradient GradientFilter GradientOrientationFilter GrammarApply GrammarRules GrammarToken Graph Graph3D GraphAssortativity GraphAutomorphismGroup GraphCenter GraphComplement GraphData GraphDensity GraphDiameter GraphDifference GraphDisjointUnion GraphDistance GraphDistanceMatrix GraphElementData GraphEmbedding GraphHighlight GraphHighlightStyle GraphHub Graphics Graphics3D Graphics3DBox Graphics3DBoxOptions GraphicsArray GraphicsBaseline GraphicsBox GraphicsBoxOptions GraphicsColor GraphicsColumn GraphicsComplex GraphicsComplex3DBox GraphicsComplex3DBoxOptions GraphicsComplexBox GraphicsComplexBoxOptions GraphicsContents GraphicsData GraphicsGrid GraphicsGridBox GraphicsGroup GraphicsGroup3DBox GraphicsGroup3DBoxOptions GraphicsGroupBox GraphicsGroupBoxOptions GraphicsGrouping GraphicsHighlightColor GraphicsRow GraphicsSpacing GraphicsStyle GraphIntersection GraphLayout GraphLinkEfficiency GraphPeriphery GraphPlot GraphPlot3D GraphPower GraphPropertyDistribution GraphQ GraphRadius GraphReciprocity GraphRoot GraphStyle GraphUnion Gray GrayLevel Greater GreaterEqual GreaterEqualLess GreaterEqualThan GreaterFullEqual GreaterGreater GreaterLess GreaterSlantEqual GreaterThan GreaterTilde Green GreenFunction Grid GridBaseline GridBox GridBoxAlignment GridBoxBackground GridBoxDividers GridBoxFrame GridBoxItemSize GridBoxItemStyle GridBoxOptions GridBoxSpacings GridCreationSettings GridDefaultElement GridElementStyleOptions GridFrame GridFrameMargins GridGraph GridLines GridLinesStyle GroebnerBasis GroupActionBase GroupBy GroupCentralizer GroupElementFromWord GroupElementPosition GroupElementQ GroupElements GroupElementToWord GroupGenerators Groupings GroupMultiplicationTable GroupOrbits GroupOrder GroupPageBreakWithin GroupSetwiseStabilizer GroupStabilizer GroupStabilizerChain GroupTogetherGrouping GroupTogetherNestedGrouping GrowCutComponents Gudermannian GuidedFilter GumbelDistribution HaarWavelet HadamardMatrix HalfLine HalfNormalDistribution HalfPlane HalfSpace HamiltonianGraphQ HammingDistance HammingWindow HandlerFunctions HandlerFunctionsKeys HankelH1 HankelH2 HankelMatrix HankelTransform HannPoissonWindow HannWindow HaradaNortonGroupHN HararyGraph HarmonicMean HarmonicMeanFilter HarmonicNumber Hash Haversine HazardFunction Head HeadCompose HeaderLines Heads HeavisideLambda HeavisidePi HeavisideTheta HeldGroupHe HeldPart HelpBrowserLookup HelpBrowserNotebook HelpBrowserSettings Here HermiteDecomposition HermiteH HermitianMatrixQ HessenbergDecomposition Hessian HexadecimalCharacter Hexahedron HexahedronBox HexahedronBoxOptions HiddenMarkovProcess HiddenSurface Highlighted HighlightGraph HighlightImage HighlightMesh HighpassFilter HigmanSimsGroupHS HilbertCurve HilbertFilter HilbertMatrix Histogram Histogram3D HistogramDistribution HistogramList HistogramTransform HistogramTransformInterpolation HistoricalPeriodData HitMissTransform HITSCentrality HjorthDistribution HodgeDual HoeffdingD HoeffdingDTest Hold HoldAll HoldAllComplete HoldComplete HoldFirst HoldForm HoldPattern HoldRest HolidayCalendar HomeDirectory HomePage Horizontal HorizontalForm HorizontalGauge HorizontalScrollPosition HornerForm HostLookup HotellingTSquareDistribution HoytDistribution HTMLSave HTTPErrorResponse HTTPRedirect HTTPRequest HTTPRequestData HTTPResponse Hue HumanGrowthData HumpDownHump HumpEqual HurwitzLerchPhi HurwitzZeta HyperbolicDistribution HypercubeGraph HyperexponentialDistribution Hyperfactorial Hypergeometric0F1 Hypergeometric0F1Regularized Hypergeometric1F1 Hypergeometric1F1Regularized Hypergeometric2F1 Hypergeometric2F1Regularized HypergeometricDistribution HypergeometricPFQ HypergeometricPFQRegularized HypergeometricU Hyperlink HyperlinkCreationSettings Hyperplane Hyphenation HyphenationOptions HypoexponentialDistribution HypothesisTestData I IconData Iconize IconizedObject IconRules Icosahedron Identity IdentityMatrix If IgnoreCase IgnoreDiacritics IgnorePunctuation IgnoreSpellCheck IgnoringInactive Im Image Image3D Image3DProjection Image3DSlices ImageAccumulate ImageAdd ImageAdjust ImageAlign ImageApply ImageApplyIndexed ImageAspectRatio ImageAssemble ImageAugmentationLayer ImageBoundingBoxes ImageCache ImageCacheValid ImageCapture ImageCaptureFunction ImageCases ImageChannels ImageClip ImageCollage ImageColorSpace ImageCompose ImageContainsQ ImageContents ImageConvolve ImageCooccurrence ImageCorners ImageCorrelate ImageCorrespondingPoints ImageCrop ImageData ImageDeconvolve ImageDemosaic ImageDifference ImageDimensions ImageDisplacements ImageDistance ImageEffect ImageExposureCombine ImageFeatureTrack ImageFileApply ImageFileFilter ImageFileScan ImageFilter ImageFocusCombine ImageForestingComponents ImageFormattingWidth ImageForwardTransformation ImageGraphics ImageHistogram ImageIdentify ImageInstanceQ ImageKeypoints ImageLevels ImageLines ImageMargins ImageMarker ImageMarkers ImageMeasurements ImageMesh ImageMultiply ImageOffset ImagePad ImagePadding ImagePartition ImagePeriodogram ImagePerspectiveTransformation ImagePosition ImagePreviewFunction ImagePyramid ImagePyramidApply ImageQ ImageRangeCache ImageRecolor ImageReflect ImageRegion ImageResize ImageResolution ImageRestyle ImageRotate ImageRotated ImageSaliencyFilter ImageScaled ImageScan ImageSize ImageSizeAction ImageSizeCache ImageSizeMultipliers ImageSizeRaw ImageSubtract ImageTake ImageTransformation ImageTrim ImageType ImageValue ImageValuePositions ImagingDevice ImplicitRegion Implies Import ImportAutoReplacements ImportByteArray ImportOptions ImportString ImprovementImportance In Inactivate Inactive IncidenceGraph IncidenceList IncidenceMatrix IncludeAromaticBonds IncludeConstantBasis IncludeDefinitions IncludeDirectories IncludeFileExtension IncludeGeneratorTasks IncludeHydrogens IncludeInflections IncludeMetaInformation IncludePods IncludeQuantities IncludeRelatedTables IncludeSingularTerm IncludeWindowTimes Increment IndefiniteMatrixQ Indent IndentingNewlineSpacings IndentMaxFraction IndependenceTest IndependentEdgeSetQ IndependentPhysicalQuantity IndependentUnit IndependentUnitDimension IndependentVertexSetQ Indeterminate IndeterminateThreshold IndexCreationOptions Indexed IndexGraph IndexTag Inequality InexactNumberQ InexactNumbers InfiniteLine InfinitePlane Infinity Infix InflationAdjust InflationMethod Information InformationData InformationDataGrid Inherited InheritScope InhomogeneousPoissonProcess InitialEvaluationHistory Initialization InitializationCell InitializationCellEvaluation InitializationCellWarning InitializationObjects InitializationValue Initialize InitialSeeding InlineCounterAssignments InlineCounterIncrements InlineRules Inner InnerPolygon InnerPolyhedron Inpaint Input InputAliases InputAssumptions InputAutoReplacements InputField InputFieldBox InputFieldBoxOptions InputForm InputGrouping InputNamePacket InputNotebook InputPacket InputSettings InputStream InputString InputStringPacket InputToBoxFormPacket Insert InsertionFunction InsertionPointObject InsertLinebreaks InsertResults Inset Inset3DBox Inset3DBoxOptions InsetBox InsetBoxOptions Insphere Install InstallService InstanceNormalizationLayer InString Integer IntegerDigits IntegerExponent IntegerLength IntegerName IntegerPart IntegerPartitions IntegerQ IntegerReverse Integers IntegerString Integral Integrate Interactive InteractiveTradingChart Interlaced Interleaving InternallyBalancedDecomposition InterpolatingFunction InterpolatingPolynomial Interpolation InterpolationOrder InterpolationPoints InterpolationPrecision Interpretation InterpretationBox InterpretationBoxOptions InterpretationFunction Interpreter InterpretTemplate InterquartileRange Interrupt InterruptSettings IntersectingQ Intersection Interval IntervalIntersection IntervalMarkers IntervalMarkersStyle IntervalMemberQ IntervalSlider IntervalUnion Into Inverse InverseBetaRegularized InverseCDF InverseChiSquareDistribution InverseContinuousWaveletTransform InverseDistanceTransform InverseEllipticNomeQ InverseErf InverseErfc InverseFourier InverseFourierCosTransform InverseFourierSequenceTransform InverseFourierSinTransform InverseFourierTransform InverseFunction InverseFunctions InverseGammaDistribution InverseGammaRegularized InverseGaussianDistribution InverseGudermannian InverseHankelTransform InverseHaversine InverseImagePyramid InverseJacobiCD InverseJacobiCN InverseJacobiCS InverseJacobiDC InverseJacobiDN InverseJacobiDS InverseJacobiNC InverseJacobiND InverseJacobiNS InverseJacobiSC InverseJacobiSD InverseJacobiSN InverseLaplaceTransform InverseMellinTransform InversePermutation InverseRadon InverseRadonTransform InverseSeries InverseShortTimeFourier InverseSpectrogram InverseSurvivalFunction InverseTransformedRegion InverseWaveletTransform InverseWeierstrassP InverseWishartMatrixDistribution InverseZTransform Invisible InvisibleApplication InvisibleTimes IPAddress IrreduciblePolynomialQ IslandData IsolatingInterval IsomorphicGraphQ IsotopeData Italic Item ItemAspectRatio ItemBox ItemBoxOptions ItemSize ItemStyle ItoProcess JaccardDissimilarity JacobiAmplitude Jacobian JacobiCD JacobiCN JacobiCS JacobiDC JacobiDN JacobiDS JacobiNC JacobiND JacobiNS JacobiP JacobiSC JacobiSD JacobiSN JacobiSymbol JacobiZeta JankoGroupJ1 JankoGroupJ2 JankoGroupJ3 JankoGroupJ4 JarqueBeraALMTest JohnsonDistribution Join JoinAcross Joined JoinedCurve JoinedCurveBox JoinedCurveBoxOptions JoinForm JordanDecomposition JordanModelDecomposition JulianDate JuliaSetBoettcher JuliaSetIterationCount JuliaSetPlot JuliaSetPoints K KagiChart KaiserBesselWindow KaiserWindow KalmanEstimator KalmanFilter KarhunenLoeveDecomposition KaryTree KatzCentrality KCoreComponents KDistribution KEdgeConnectedComponents KEdgeConnectedGraphQ KelvinBei KelvinBer KelvinKei KelvinKer KendallTau KendallTauTest KernelExecute KernelFunction KernelMixtureDistribution Kernels Ket Key KeyCollisionFunction KeyComplement KeyDrop KeyDropFrom KeyExistsQ KeyFreeQ KeyIntersection KeyMap KeyMemberQ KeypointStrength Keys KeySelect KeySort KeySortBy KeyTake KeyUnion KeyValueMap KeyValuePattern Khinchin KillProcess KirchhoffGraph KirchhoffMatrix KleinInvariantJ KnapsackSolve KnightTourGraph KnotData KnownUnitQ KochCurve KolmogorovSmirnovTest KroneckerDelta KroneckerModelDecomposition KroneckerProduct KroneckerSymbol KuiperTest KumaraswamyDistribution Kurtosis KuwaharaFilter KVertexConnectedComponents KVertexConnectedGraphQ LABColor Label Labeled LabeledSlider LabelingFunction LabelingSize LabelStyle LabelVisibility LaguerreL LakeData LambdaComponents LambertW LaminaData LanczosWindow LandauDistribution Language LanguageCategory LanguageData LanguageIdentify LanguageOptions LaplaceDistribution LaplaceTransform Laplacian LaplacianFilter LaplacianGaussianFilter Large Larger Last Latitude LatitudeLongitude LatticeData LatticeReduce Launch LaunchKernels LayeredGraphPlot LayerSizeFunction LayoutInformation LCHColor LCM LeaderSize LeafCount LeapYearQ LearnDistribution LearnedDistribution LearningRate LearningRateMultipliers LeastSquares LeastSquaresFilterKernel Left LeftArrow LeftArrowBar LeftArrowRightArrow LeftDownTeeVector LeftDownVector LeftDownVectorBar LeftRightArrow LeftRightVector LeftTee LeftTeeArrow LeftTeeVector LeftTriangle LeftTriangleBar LeftTriangleEqual LeftUpDownVector LeftUpTeeVector LeftUpVector LeftUpVectorBar LeftVector LeftVectorBar LegendAppearance Legended LegendFunction LegendLabel LegendLayout LegendMargins LegendMarkers LegendMarkerSize LegendreP LegendreQ LegendreType Length LengthWhile LerchPhi Less LessEqual LessEqualGreater LessEqualThan LessFullEqual LessGreater LessLess LessSlantEqual LessThan LessTilde LetterCharacter LetterCounts LetterNumber LetterQ Level LeveneTest LeviCivitaTensor LevyDistribution Lexicographic LibraryDataType LibraryFunction LibraryFunctionError LibraryFunctionInformation LibraryFunctionLoad LibraryFunctionUnload LibraryLoad LibraryUnload LicenseID LiftingFilterData LiftingWaveletTransform LightBlue LightBrown LightCyan Lighter LightGray LightGreen Lighting LightingAngle LightMagenta LightOrange LightPink LightPurple LightRed LightSources LightYellow Likelihood Limit LimitsPositioning LimitsPositioningTokens LindleyDistribution Line Line3DBox Line3DBoxOptions LinearFilter LinearFractionalOptimization LinearFractionalTransform LinearGradientImage LinearizingTransformationData LinearLayer LinearModelFit LinearOffsetFunction LinearOptimization LinearProgramming LinearRecurrence LinearSolve LinearSolveFunction LineBox LineBoxOptions LineBreak LinebreakAdjustments LineBreakChart LinebreakSemicolonWeighting LineBreakWithin LineColor LineGraph LineIndent LineIndentMaxFraction LineIntegralConvolutionPlot LineIntegralConvolutionScale LineLegend LineOpacity LineSpacing LineWrapParts LinkActivate LinkClose LinkConnect LinkConnectedQ LinkCreate LinkError LinkFlush LinkFunction LinkHost LinkInterrupt LinkLaunch LinkMode LinkObject LinkOpen LinkOptions LinkPatterns LinkProtocol LinkRankCentrality LinkRead LinkReadHeld LinkReadyQ Links LinkService LinkWrite LinkWriteHeld LiouvilleLambda List Listable ListAnimate ListContourPlot ListContourPlot3D ListConvolve ListCorrelate ListCurvePathPlot ListDeconvolve ListDensityPlot ListDensityPlot3D Listen ListFormat ListFourierSequenceTransform ListInterpolation ListLineIntegralConvolutionPlot ListLinePlot ListLogLinearPlot ListLogLogPlot ListLogPlot ListPicker ListPickerBox ListPickerBoxBackground ListPickerBoxOptions ListPlay ListPlot ListPlot3D ListPointPlot3D ListPolarPlot ListQ ListSliceContourPlot3D ListSliceDensityPlot3D ListSliceVectorPlot3D ListStepPlot ListStreamDensityPlot ListStreamPlot ListSurfacePlot3D ListVectorDensityPlot ListVectorPlot ListVectorPlot3D ListZTransform Literal LiteralSearch LocalAdaptiveBinarize LocalCache LocalClusteringCoefficient LocalizeDefinitions LocalizeVariables LocalObject LocalObjects LocalResponseNormalizationLayer LocalSubmit LocalSymbol LocalTime LocalTimeZone LocationEquivalenceTest LocationTest Locator LocatorAutoCreate LocatorBox LocatorBoxOptions LocatorCentering LocatorPane LocatorPaneBox LocatorPaneBoxOptions LocatorRegion Locked Log Log10 Log2 LogBarnesG LogGamma LogGammaDistribution LogicalExpand LogIntegral LogisticDistribution LogisticSigmoid LogitModelFit LogLikelihood LogLinearPlot LogLogisticDistribution LogLogPlot LogMultinormalDistribution LogNormalDistribution LogPlot LogRankTest LogSeriesDistribution LongEqual Longest LongestCommonSequence LongestCommonSequencePositions LongestCommonSubsequence LongestCommonSubsequencePositions LongestMatch LongestOrderedSequence LongForm Longitude LongLeftArrow LongLeftRightArrow LongRightArrow LongShortTermMemoryLayer Lookup Loopback LoopFreeGraphQ LossFunction LowerCaseQ LowerLeftArrow LowerRightArrow LowerTriangularize LowerTriangularMatrixQ LowpassFilter LQEstimatorGains LQGRegulator LQOutputRegulatorGains LQRegulatorGains LUBackSubstitution LucasL LuccioSamiComponents LUDecomposition LunarEclipse LUVColor LyapunovSolve LyonsGroupLy MachineID MachineName MachineNumberQ MachinePrecision MacintoshSystemPageSetup Magenta Magnification Magnify MailAddressValidation MailExecute MailFolder MailItem MailReceiverFunction MailResponseFunction MailSearch MailServerConnect MailServerConnection MailSettings MainSolve MaintainDynamicCaches Majority MakeBoxes MakeExpression MakeRules ManagedLibraryExpressionID ManagedLibraryExpressionQ MandelbrotSetBoettcher MandelbrotSetDistance MandelbrotSetIterationCount MandelbrotSetMemberQ MandelbrotSetPlot MangoldtLambda ManhattanDistance Manipulate Manipulator MannedSpaceMissionData MannWhitneyTest MantissaExponent Manual Map MapAll MapAt MapIndexed MAProcess MapThread MarchenkoPasturDistribution MarcumQ MardiaCombinedTest MardiaKurtosisTest MardiaSkewnessTest MarginalDistribution MarkovProcessProperties Masking MatchingDissimilarity MatchLocalNameQ MatchLocalNames MatchQ Material MathematicalFunctionData MathematicaNotation MathieuC MathieuCharacteristicA MathieuCharacteristicB MathieuCharacteristicExponent MathieuCPrime MathieuGroupM11 MathieuGroupM12 MathieuGroupM22 MathieuGroupM23 MathieuGroupM24 MathieuS MathieuSPrime MathMLForm MathMLText Matrices MatrixExp MatrixForm MatrixFunction MatrixLog MatrixNormalDistribution MatrixPlot MatrixPower MatrixPropertyDistribution MatrixQ MatrixRank MatrixTDistribution Max MaxBend MaxCellMeasure MaxColorDistance MaxDetect MaxDuration MaxExtraBandwidths MaxExtraConditions MaxFeatureDisplacement MaxFeatures MaxFilter MaximalBy Maximize MaxItems MaxIterations MaxLimit MaxMemoryUsed MaxMixtureKernels MaxOverlapFraction MaxPlotPoints MaxPoints MaxRecursion MaxStableDistribution MaxStepFraction MaxSteps MaxStepSize MaxTrainingRounds MaxValue MaxwellDistribution MaxWordGap McLaughlinGroupMcL Mean MeanAbsoluteLossLayer MeanAround MeanClusteringCoefficient MeanDegreeConnectivity MeanDeviation MeanFilter MeanGraphDistance MeanNeighborDegree MeanShift MeanShiftFilter MeanSquaredLossLayer Median MedianDeviation MedianFilter MedicalTestData Medium MeijerG MeijerGReduce MeixnerDistribution MellinConvolve MellinTransform MemberQ MemoryAvailable MemoryConstrained MemoryConstraint MemoryInUse MengerMesh Menu MenuAppearance MenuCommandKey MenuEvaluator MenuItem MenuList MenuPacket MenuSortingValue MenuStyle MenuView Merge MergeDifferences MergingFunction MersennePrimeExponent MersennePrimeExponentQ Mesh MeshCellCentroid MeshCellCount MeshCellHighlight MeshCellIndex MeshCellLabel MeshCellMarker MeshCellMeasure MeshCellQuality MeshCells MeshCellShapeFunction MeshCellStyle MeshCoordinates MeshFunctions MeshPrimitives MeshQualityGoal MeshRange MeshRefinementFunction MeshRegion MeshRegionQ MeshShading MeshStyle Message MessageDialog MessageList MessageName MessageObject MessageOptions MessagePacket Messages MessagesNotebook MetaCharacters MetaInformation MeteorShowerData Method MethodOptions MexicanHatWavelet MeyerWavelet Midpoint Min MinColorDistance MinDetect MineralData MinFilter MinimalBy MinimalPolynomial MinimalStateSpaceModel Minimize MinimumTimeIncrement MinIntervalSize MinkowskiQuestionMark MinLimit MinMax MinorPlanetData Minors MinRecursion MinSize MinStableDistribution Minus MinusPlus MinValue Missing MissingBehavior MissingDataMethod MissingDataRules MissingQ MissingString MissingStyle MissingValuePattern MittagLefflerE MixedFractionParts MixedGraphQ MixedMagnitude MixedRadix MixedRadixQuantity MixedUnit MixtureDistribution Mod Modal Mode Modular ModularInverse ModularLambda Module Modulus MoebiusMu Molecule MoleculeContainsQ MoleculeEquivalentQ MoleculeGraph MoleculeModify MoleculePattern MoleculePlot MoleculePlot3D MoleculeProperty MoleculeQ MoleculeValue Moment Momentary MomentConvert MomentEvaluate MomentGeneratingFunction MomentOfInertia Monday Monitor MonomialList MonomialOrder MonsterGroupM MoonPhase MoonPosition MorletWavelet MorphologicalBinarize MorphologicalBranchPoints MorphologicalComponents MorphologicalEulerNumber MorphologicalGraph MorphologicalPerimeter MorphologicalTransform MortalityData Most MountainData MouseAnnotation MouseAppearance MouseAppearanceTag MouseButtons Mouseover MousePointerNote MousePosition MovieData MovingAverage MovingMap MovingMedian MoyalDistribution Multicolumn MultiedgeStyle MultigraphQ MultilaunchWarning MultiLetterItalics MultiLetterStyle MultilineFunction Multinomial MultinomialDistribution MultinormalDistribution MultiplicativeOrder Multiplicity MultiplySides Multiselection MultivariateHypergeometricDistribution MultivariatePoissonDistribution MultivariateTDistribution N NakagamiDistribution NameQ Names NamespaceBox NamespaceBoxOptions Nand NArgMax NArgMin NBernoulliB NBodySimulation NBodySimulationData NCache NDEigensystem NDEigenvalues NDSolve NDSolveValue Nearest NearestFunction NearestNeighborGraph NearestTo NebulaData NeedCurrentFrontEndPackagePacket NeedCurrentFrontEndSymbolsPacket NeedlemanWunschSimilarity Needs Negative NegativeBinomialDistribution NegativeDefiniteMatrixQ NegativeIntegers NegativeMultinomialDistribution NegativeRationals NegativeReals NegativeSemidefiniteMatrixQ NeighborhoodData NeighborhoodGraph Nest NestedGreaterGreater NestedLessLess NestedScriptRules NestGraph NestList NestWhile NestWhileList NetAppend NetBidirectionalOperator NetChain NetDecoder NetDelete NetDrop NetEncoder NetEvaluationMode NetExtract NetFlatten NetFoldOperator NetGraph NetInformation NetInitialize NetInsert NetInsertSharedArrays NetJoin NetMapOperator NetMapThreadOperator NetMeasurements NetModel NetNestOperator NetPairEmbeddingOperator NetPort NetPortGradient NetPrepend NetRename NetReplace NetReplacePart NetSharedArray NetStateObject NetTake NetTrain NetTrainResultsObject NetworkPacketCapture NetworkPacketRecording NetworkPacketRecordingDuring NetworkPacketTrace NeumannValue NevilleThetaC NevilleThetaD NevilleThetaN NevilleThetaS NewPrimitiveStyle NExpectation Next NextCell NextDate NextPrime NextScheduledTaskTime NHoldAll NHoldFirst NHoldRest NicholsGridLines NicholsPlot NightHemisphere NIntegrate NMaximize NMaxValue NMinimize NMinValue NominalVariables NonAssociative NoncentralBetaDistribution NoncentralChiSquareDistribution NoncentralFRatioDistribution NoncentralStudentTDistribution NonCommutativeMultiply NonConstants NondimensionalizationTransform None NoneTrue NonlinearModelFit NonlinearStateSpaceModel NonlocalMeansFilter NonNegative NonNegativeIntegers NonNegativeRationals NonNegativeReals NonPositive NonPositiveIntegers NonPositiveRationals NonPositiveReals Nor NorlundB Norm Normal NormalDistribution NormalGrouping NormalizationLayer Normalize Normalized NormalizedSquaredEuclideanDistance NormalMatrixQ NormalsFunction NormFunction Not NotCongruent NotCupCap NotDoubleVerticalBar Notebook NotebookApply NotebookAutoSave NotebookClose NotebookConvertSettings NotebookCreate NotebookCreateReturnObject NotebookDefault NotebookDelete NotebookDirectory NotebookDynamicExpression NotebookEvaluate NotebookEventActions NotebookFileName NotebookFind NotebookFindReturnObject NotebookGet NotebookGetLayoutInformationPacket NotebookGetMisspellingsPacket NotebookImport NotebookInformation NotebookInterfaceObject NotebookLocate NotebookObject NotebookOpen NotebookOpenReturnObject NotebookPath NotebookPrint NotebookPut NotebookPutReturnObject NotebookRead NotebookResetGeneratedCells Notebooks NotebookSave NotebookSaveAs NotebookSelection NotebookSetupLayoutInformationPacket NotebooksMenu NotebookTemplate NotebookWrite NotElement NotEqualTilde NotExists NotGreater NotGreaterEqual NotGreaterFullEqual NotGreaterGreater NotGreaterLess NotGreaterSlantEqual NotGreaterTilde Nothing NotHumpDownHump NotHumpEqual NotificationFunction NotLeftTriangle NotLeftTriangleBar NotLeftTriangleEqual NotLess NotLessEqual NotLessFullEqual NotLessGreater NotLessLess NotLessSlantEqual NotLessTilde NotNestedGreaterGreater NotNestedLessLess NotPrecedes NotPrecedesEqual NotPrecedesSlantEqual NotPrecedesTilde NotReverseElement NotRightTriangle NotRightTriangleBar NotRightTriangleEqual NotSquareSubset NotSquareSubsetEqual NotSquareSuperset NotSquareSupersetEqual NotSubset NotSubsetEqual NotSucceeds NotSucceedsEqual NotSucceedsSlantEqual NotSucceedsTilde NotSuperset NotSupersetEqual NotTilde NotTildeEqual NotTildeFullEqual NotTildeTilde NotVerticalBar Now NoWhitespace NProbability NProduct NProductFactors NRoots NSolve NSum NSumTerms NuclearExplosionData NuclearReactorData Null NullRecords NullSpace NullWords Number NumberCompose NumberDecompose NumberExpand NumberFieldClassNumber NumberFieldDiscriminant NumberFieldFundamentalUnits NumberFieldIntegralBasis NumberFieldNormRepresentatives NumberFieldRegulator NumberFieldRootsOfUnity NumberFieldSignature NumberForm NumberFormat NumberLinePlot NumberMarks NumberMultiplier NumberPadding NumberPoint NumberQ NumberSeparator NumberSigns NumberString Numerator NumeratorDenominator NumericalOrder NumericalSort NumericArray NumericArrayQ NumericArrayType NumericFunction NumericQ NuttallWindow NValues NyquistGridLines NyquistPlot O ObservabilityGramian ObservabilityMatrix ObservableDecomposition ObservableModelQ OceanData Octahedron OddQ Off Offset OLEData On ONanGroupON Once OneIdentity Opacity OpacityFunction OpacityFunctionScaling Open OpenAppend Opener OpenerBox OpenerBoxOptions OpenerView OpenFunctionInspectorPacket Opening OpenRead OpenSpecialOptions OpenTemporary OpenWrite Operate OperatingSystem OptimumFlowData Optional OptionalElement OptionInspectorSettings OptionQ Options OptionsPacket OptionsPattern OptionValue OptionValueBox OptionValueBoxOptions Or Orange Order OrderDistribution OrderedQ Ordering OrderingBy OrderingLayer Orderless OrderlessPatternSequence OrnsteinUhlenbeckProcess Orthogonalize OrthogonalMatrixQ Out Outer OuterPolygon OuterPolyhedron OutputAutoOverwrite OutputControllabilityMatrix OutputControllableModelQ OutputForm OutputFormData OutputGrouping OutputMathEditExpression OutputNamePacket OutputResponse OutputSizeLimit OutputStream Over OverBar OverDot Overflow OverHat Overlaps Overlay OverlayBox OverlayBoxOptions Overscript OverscriptBox OverscriptBoxOptions OverTilde OverVector OverwriteTarget OwenT OwnValues Package PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptions QBinomial QFactorial QGamma QHypergeometricPFQ QnDispersion QPochhammer QPolyGamma QRDecomposition QuadraticIrrationalQ QuadraticOptimization Quantile QuantilePlot Quantity QuantityArray QuantityDistribution QuantityForm QuantityMagnitude QuantityQ QuantityUnit QuantityVariable QuantityVariableCanonicalUnit QuantityVariableDimensions QuantityVariableIdentifier QuantityVariablePhysicalQuantity Quartics QuartileDeviation Quartiles QuartileSkewness Query QueueingNetworkProcess QueueingProcess QueueProperties Quiet Quit Quotient QuotientRemainder RadialGradientImage RadialityCentrality RadicalBox RadicalBoxOptions RadioButton RadioButtonBar RadioButtonBox RadioButtonBoxOptions Radon RadonTransform RamanujanTau RamanujanTauL RamanujanTauTheta RamanujanTauZ Ramp Random RandomChoice RandomColor RandomComplex RandomEntity RandomFunction RandomGeoPosition RandomGraph RandomImage RandomInstance RandomInteger RandomPermutation RandomPoint RandomPolygon RandomPolyhedron RandomPrime RandomReal RandomSample RandomSeed RandomSeeding RandomVariate RandomWalkProcess RandomWord Range RangeFilter RangeSpecification RankedMax RankedMin RarerProbability Raster Raster3D Raster3DBox Raster3DBoxOptions RasterArray RasterBox RasterBoxOptions Rasterize RasterSize Rational RationalFunctions Rationalize Rationals Ratios RawArray RawBoxes RawData RawMedium RayleighDistribution Re Read ReadByteArray ReadLine ReadList ReadProtected ReadString Real RealAbs RealBlockDiagonalForm RealDigits RealExponent Reals RealSign Reap RecognitionPrior RecognitionThreshold Record RecordLists RecordSeparators Rectangle RectangleBox RectangleBoxOptions RectangleChart RectangleChart3D RectangularRepeatingElement RecurrenceFilter RecurrenceTable RecurringDigitsForm Red Reduce RefBox ReferenceLineStyle ReferenceMarkers ReferenceMarkerStyle Refine ReflectionMatrix ReflectionTransform Refresh RefreshRate Region RegionBinarize RegionBoundary RegionBounds RegionCentroid RegionDifference RegionDimension RegionDisjoint RegionDistance RegionDistanceFunction RegionEmbeddingDimension RegionEqual RegionFunction RegionImage RegionIntersection RegionMeasure RegionMember RegionMemberFunction RegionMoment RegionNearest RegionNearestFunction RegionPlot RegionPlot3D RegionProduct RegionQ RegionResize RegionSize RegionSymmetricDifference RegionUnion RegionWithin RegisterExternalEvaluator RegularExpression Regularization RegularlySampledQ RegularPolygon ReIm ReImLabels ReImPlot ReImStyle Reinstall RelationalDatabase RelationGraph Release ReleaseHold ReliabilityDistribution ReliefImage ReliefPlot RemoteAuthorizationCaching RemoteConnect RemoteConnectionObject RemoteFile RemoteRun RemoteRunProcess Remove RemoveAlphaChannel RemoveAsynchronousTask RemoveAudioStream RemoveBackground RemoveChannelListener RemoveChannelSubscribers Removed RemoveDiacritics RemoveInputStreamMethod RemoveOutputStreamMethod RemoveProperty RemoveScheduledTask RemoveUsers RenameDirectory RenameFile RenderAll RenderingOptions RenewalProcess RenkoChart RepairMesh Repeated RepeatedNull RepeatedString RepeatedTiming RepeatingElement Replace ReplaceAll ReplaceHeldPart ReplaceImageValue ReplaceList ReplacePart ReplacePixelValue ReplaceRepeated ReplicateLayer RequiredPhysicalQuantities Resampling ResamplingAlgorithmData ResamplingMethod Rescale RescalingTransform ResetDirectory ResetMenusPacket ResetScheduledTask ReshapeLayer Residue ResizeLayer Resolve ResourceAcquire ResourceData ResourceFunction ResourceObject ResourceRegister ResourceRemove ResourceSearch ResourceSubmissionObject ResourceSubmit ResourceSystemBase ResourceUpdate ResponseForm Rest RestartInterval Restricted Resultant ResumePacket Return ReturnEntersInput ReturnExpressionPacket ReturnInputFormPacket ReturnPacket ReturnReceiptFunction ReturnTextPacket Reverse ReverseBiorthogonalSplineWavelet ReverseElement ReverseEquilibrium ReverseGraph ReverseSort ReverseSortBy ReverseUpEquilibrium RevolutionAxis RevolutionPlot3D RGBColor RiccatiSolve RiceDistribution RidgeFilter RiemannR RiemannSiegelTheta RiemannSiegelZ RiemannXi Riffle Right RightArrow RightArrowBar RightArrowLeftArrow RightComposition RightCosetRepresentative RightDownTeeVector RightDownVector RightDownVectorBar RightTee RightTeeArrow RightTeeVector RightTriangle RightTriangleBar RightTriangleEqual RightUpDownVector RightUpTeeVector RightUpVector RightUpVectorBar RightVector RightVectorBar RiskAchievementImportance RiskReductionImportance RogersTanimotoDissimilarity RollPitchYawAngles RollPitchYawMatrix RomanNumeral Root RootApproximant RootIntervals RootLocusPlot RootMeanSquare RootOfUnityQ RootReduce Roots RootSum Rotate RotateLabel RotateLeft RotateRight RotationAction RotationBox RotationBoxOptions RotationMatrix RotationTransform Round RoundImplies RoundingRadius Row RowAlignments RowBackgrounds RowBox RowHeights RowLines RowMinHeight RowReduce RowsEqual RowSpacings RSolve RSolveValue RudinShapiro RudvalisGroupRu Rule RuleCondition RuleDelayed RuleForm RulePlot RulerUnits Run RunProcess RunScheduledTask RunThrough RuntimeAttributes RuntimeOptions RussellRaoDissimilarity SameQ SameTest SampledEntityClass SampleDepth SampledSoundFunction SampledSoundList SampleRate SamplingPeriod SARIMAProcess SARMAProcess SASTriangle SatelliteData SatisfiabilityCount SatisfiabilityInstances SatisfiableQ Saturday Save Saveable SaveAutoDelete SaveConnection SaveDefinitions SavitzkyGolayMatrix SawtoothWave Scale Scaled ScaleDivisions ScaledMousePosition ScaleOrigin ScalePadding ScaleRanges ScaleRangeStyle ScalingFunctions ScalingMatrix ScalingTransform Scan ScheduledTask ScheduledTaskActiveQ ScheduledTaskInformation ScheduledTaskInformationData ScheduledTaskObject ScheduledTasks SchurDecomposition ScientificForm ScientificNotationThreshold ScorerGi ScorerGiPrime ScorerHi ScorerHiPrime ScreenRectangle ScreenStyleEnvironment ScriptBaselineShifts ScriptForm ScriptLevel ScriptMinSize ScriptRules ScriptSizeMultipliers Scrollbars ScrollingOptions ScrollPosition SearchAdjustment SearchIndexObject SearchIndices SearchQueryString SearchResultObject Sec Sech SechDistribution SecondOrderConeOptimization SectionGrouping SectorChart SectorChart3D SectorOrigin SectorSpacing SecuredAuthenticationKey SecuredAuthenticationKeys SeedRandom Select Selectable SelectComponents SelectedCells SelectedNotebook SelectFirst Selection SelectionAnimate SelectionCell SelectionCellCreateCell SelectionCellDefaultStyle SelectionCellParentStyle SelectionCreateCell SelectionDebuggerTag SelectionDuplicateCell SelectionEvaluate SelectionEvaluateCreateCell SelectionMove SelectionPlaceholder SelectionSetStyle SelectWithContents SelfLoops SelfLoopStyle SemanticImport SemanticImportString SemanticInterpretation SemialgebraicComponentInstances SemidefiniteOptimization SendMail SendMessage Sequence SequenceAlignment SequenceAttentionLayer SequenceCases SequenceCount SequenceFold SequenceFoldList SequenceForm SequenceHold SequenceLastLayer SequenceMostLayer SequencePosition SequencePredict SequencePredictorFunction SequenceReplace SequenceRestLayer SequenceReverseLayer SequenceSplit Series SeriesCoefficient SeriesData ServiceConnect ServiceDisconnect ServiceExecute ServiceObject ServiceRequest ServiceResponse ServiceSubmit SessionSubmit SessionTime Set SetAccuracy SetAlphaChannel SetAttributes Setbacks SetBoxFormNamesPacket SetCloudDirectory SetCookies SetDelayed SetDirectory SetEnvironment SetEvaluationNotebook SetFileDate SetFileLoadingContext SetNotebookStatusLine SetOptions SetOptionsPacket SetPermissions SetPrecision SetProperty SetSecuredAuthenticationKey SetSelectedNotebook SetSharedFunction SetSharedVariable SetSpeechParametersPacket SetStreamPosition SetSystemModel SetSystemOptions Setter SetterBar SetterBox SetterBoxOptions Setting SetUsers SetValue Shading Shallow ShannonWavelet ShapiroWilkTest Share SharingList Sharpen ShearingMatrix ShearingTransform ShellRegion ShenCastanMatrix ShiftedGompertzDistribution ShiftRegisterSequence Short ShortDownArrow Shortest ShortestMatch ShortestPathFunction ShortLeftArrow ShortRightArrow ShortTimeFourier ShortTimeFourierData ShortUpArrow Show ShowAutoConvert ShowAutoSpellCheck ShowAutoStyles ShowCellBracket ShowCellLabel ShowCellTags ShowClosedCellArea ShowCodeAssist ShowContents ShowControls ShowCursorTracker ShowGroupOpenCloseIcon ShowGroupOpener ShowInvisibleCharacters ShowPageBreaks ShowPredictiveInterface ShowSelection ShowShortBoxForm ShowSpecialCharacters ShowStringCharacters ShowSyntaxStyles ShrinkingDelay ShrinkWrapBoundingBox SiderealTime SiegelTheta SiegelTukeyTest SierpinskiCurve SierpinskiMesh Sign Signature SignedRankTest SignedRegionDistance SignificanceLevel SignPadding SignTest SimilarityRules SimpleGraph SimpleGraphQ SimplePolygonQ SimplePolyhedronQ Simplex Simplify Sin Sinc SinghMaddalaDistribution SingleEvaluation SingleLetterItalics SingleLetterStyle SingularValueDecomposition SingularValueList SingularValuePlot SingularValues Sinh SinhIntegral SinIntegral SixJSymbol Skeleton SkeletonTransform SkellamDistribution Skewness SkewNormalDistribution SkinStyle Skip SliceContourPlot3D SliceDensityPlot3D SliceDistribution SliceVectorPlot3D Slider Slider2D Slider2DBox Slider2DBoxOptions SliderBox SliderBoxOptions SlideView Slot SlotSequence Small SmallCircle Smaller SmithDecomposition SmithDelayCompensator SmithWatermanSimilarity SmoothDensityHistogram SmoothHistogram SmoothHistogram3D SmoothKernelDistribution SnDispersion Snippet SnubPolyhedron SocialMediaData Socket SocketConnect SocketListen SocketListener SocketObject SocketOpen SocketReadMessage SocketReadyQ Sockets SocketWaitAll SocketWaitNext SoftmaxLayer SokalSneathDissimilarity SolarEclipse SolarSystemFeatureData SolidAngle SolidData SolidRegionQ Solve SolveAlways SolveDelayed Sort SortBy SortedBy SortedEntityClass Sound SoundAndGraphics SoundNote SoundVolume SourceLink Sow Space SpaceCurveData SpaceForm Spacer Spacings Span SpanAdjustments SpanCharacterRounding SpanFromAbove SpanFromBoth SpanFromLeft SpanLineThickness SpanMaxSize SpanMinSize SpanningCharacters SpanSymmetric SparseArray SpatialGraphDistribution SpatialMedian SpatialTransformationLayer Speak SpeakTextPacket SpearmanRankTest SpearmanRho SpeciesData SpecificityGoal SpectralLineData Spectrogram SpectrogramArray Specularity SpeechRecognize SpeechSynthesize SpellingCorrection SpellingCorrectionList SpellingDictionaries SpellingDictionariesPath SpellingOptions SpellingSuggestionsPacket Sphere SphereBox SpherePoints SphericalBesselJ SphericalBesselY SphericalHankelH1 SphericalHankelH2 SphericalHarmonicY SphericalPlot3D SphericalRegion SphericalShell SpheroidalEigenvalue SpheroidalJoiningFactor SpheroidalPS SpheroidalPSPrime SpheroidalQS SpheroidalQSPrime SpheroidalRadialFactor SpheroidalS1 SpheroidalS1Prime SpheroidalS2 SpheroidalS2Prime Splice SplicedDistribution SplineClosed SplineDegree SplineKnots SplineWeights Split SplitBy SpokenString Sqrt SqrtBox SqrtBoxOptions Square SquaredEuclideanDistance SquareFreeQ SquareIntersection SquareMatrixQ SquareRepeatingElement SquaresR SquareSubset SquareSubsetEqual SquareSuperset SquareSupersetEqual SquareUnion SquareWave SSSTriangle StabilityMargins StabilityMarginsStyle StableDistribution Stack StackBegin StackComplete StackedDateListPlot StackedListPlot StackInhibit StadiumShape StandardAtmosphereData StandardDeviation StandardDeviationFilter StandardForm Standardize Standardized StandardOceanData StandbyDistribution Star StarClusterData StarData StarGraph StartAsynchronousTask StartExternalSession StartingStepSize StartOfLine StartOfString StartProcess StartScheduledTask StartupSound StartWebSession StateDimensions StateFeedbackGains StateOutputEstimator StateResponse StateSpaceModel StateSpaceRealization StateSpaceTransform StateTransformationLinearize StationaryDistribution StationaryWaveletPacketTransform StationaryWaveletTransform StatusArea StatusCentrality StepMonitor StereochemistryElements StieltjesGamma StirlingS1 StirlingS2 StopAsynchronousTask StoppingPowerData StopScheduledTask StrataVariables StratonovichProcess StreamColorFunction StreamColorFunctionScaling StreamDensityPlot StreamMarkers StreamPlot StreamPoints StreamPosition Streams StreamScale StreamStyle String StringBreak StringByteCount StringCases StringContainsQ StringCount StringDelete StringDrop StringEndsQ StringExpression StringExtract StringForm StringFormat StringFreeQ StringInsert StringJoin StringLength StringMatchQ StringPadLeft StringPadRight StringPart StringPartition StringPosition StringQ StringRepeat StringReplace StringReplaceList StringReplacePart StringReverse StringRiffle StringRotateLeft StringRotateRight StringSkeleton StringSplit StringStartsQ StringTake StringTemplate StringToByteArray StringToStream StringTrim StripBoxes StripOnInput StripWrapperBoxes StrokeForm StructuralImportance StructuredArray StructuredSelection StruveH StruveL Stub StudentTDistribution Style StyleBox StyleBoxAutoDelete StyleData StyleDefinitions StyleForm StyleHints StyleKeyMapping StyleMenuListing StyleNameDialogSettings StyleNames StylePrint StyleSheetPath Subdivide Subfactorial Subgraph SubMinus SubPlus SubresultantPolynomialRemainders SubresultantPolynomials Subresultants Subscript SubscriptBox SubscriptBoxOptions Subscripted Subsequences Subset SubsetEqual SubsetMap SubsetQ Subsets SubStar SubstitutionSystem Subsuperscript SubsuperscriptBox SubsuperscriptBoxOptions Subtract SubtractFrom SubtractSides SubValues Succeeds SucceedsEqual SucceedsSlantEqual SucceedsTilde Success SuchThat Sum SumConvergence SummationLayer Sunday SunPosition Sunrise Sunset SuperDagger SuperMinus SupernovaData SuperPlus Superscript SuperscriptBox SuperscriptBoxOptions Superset SupersetEqual SuperStar Surd SurdForm SurfaceArea SurfaceColor SurfaceData SurfaceGraphics SurvivalDistribution SurvivalFunction SurvivalModel SurvivalModelFit SuspendPacket SuzukiDistribution SuzukiGroupSuz SwatchLegend Switch Symbol SymbolName SymletWavelet Symmetric SymmetricGroup SymmetricKey SymmetricMatrixQ SymmetricPolynomial SymmetricReduction Symmetrize SymmetrizedArray SymmetrizedArrayRules SymmetrizedDependentComponents SymmetrizedIndependentComponents SymmetrizedReplacePart SynchronousInitialization SynchronousUpdating Synonyms Syntax SyntaxForm SyntaxInformation SyntaxLength SyntaxPacket SyntaxQ SynthesizeMissingValues SystemDialogInput SystemException SystemGet SystemHelpPath SystemInformation SystemInformationData SystemInstall SystemModel SystemModeler SystemModelExamples SystemModelLinearize SystemModelParametricSimulate SystemModelPlot SystemModelProgressReporting SystemModelReliability SystemModels SystemModelSimulate SystemModelSimulateSensitivity SystemModelSimulationData SystemOpen SystemOptions SystemProcessData SystemProcesses SystemsConnectionsModel SystemsModelDelay SystemsModelDelayApproximate SystemsModelDelete SystemsModelDimensions SystemsModelExtract SystemsModelFeedbackConnect SystemsModelLabels SystemsModelLinearity SystemsModelMerge SystemsModelOrder SystemsModelParallelConnect SystemsModelSeriesConnect SystemsModelStateFeedbackConnect SystemsModelVectorRelativeOrders SystemStub SystemTest Tab TabFilling Table TableAlignments TableDepth TableDirections TableForm TableHeadings TableSpacing TableView TableViewBox TableViewBoxBackground TableViewBoxOptions TabSpacings TabView TabViewBox TabViewBoxOptions TagBox TagBoxNote TagBoxOptions TaggingRules TagSet TagSetDelayed TagStyle TagUnset Take TakeDrop TakeLargest TakeLargestBy TakeList TakeSmallest TakeSmallestBy TakeWhile Tally Tan Tanh TargetDevice TargetFunctions TargetSystem TargetUnits TaskAbort TaskExecute TaskObject TaskRemove TaskResume Tasks TaskSuspend TaskWait TautologyQ TelegraphProcess TemplateApply TemplateArgBox TemplateBox TemplateBoxOptions TemplateEvaluate TemplateExpression TemplateIf TemplateObject TemplateSequence TemplateSlot TemplateSlotSequence TemplateUnevaluated TemplateVerbatim TemplateWith TemporalData TemporalRegularity Temporary TemporaryVariable TensorContract TensorDimensions TensorExpand TensorProduct TensorQ TensorRank TensorReduce TensorSymmetry TensorTranspose TensorWedge TestID TestReport TestReportObject TestResultObject Tetrahedron TetrahedronBox TetrahedronBoxOptions TeXForm TeXSave Text Text3DBox Text3DBoxOptions TextAlignment TextBand TextBoundingBox TextBox TextCases TextCell TextClipboardType TextContents TextData TextElement TextForm TextGrid TextJustification TextLine TextPacket TextParagraph TextPosition TextRecognize TextSearch TextSearchReport TextSentences TextString TextStructure TextStyle TextTranslation Texture TextureCoordinateFunction TextureCoordinateScaling TextWords Therefore ThermodynamicData ThermometerGauge Thick Thickness Thin Thinning ThisLink ThompsonGroupTh Thread ThreadingLayer ThreeJSymbol Threshold Through Throw ThueMorse Thumbnail Thursday Ticks TicksStyle TideData Tilde TildeEqual TildeFullEqual TildeTilde TimeConstrained TimeConstraint TimeDirection TimeFormat TimeGoal TimelinePlot TimeObject TimeObjectQ Times TimesBy TimeSeries TimeSeriesAggregate TimeSeriesForecast TimeSeriesInsert TimeSeriesInvertibility TimeSeriesMap TimeSeriesMapThread TimeSeriesModel TimeSeriesModelFit TimeSeriesResample TimeSeriesRescale TimeSeriesShift TimeSeriesThread TimeSeriesWindow TimeUsed TimeValue TimeWarpingCorrespondence TimeWarpingDistance TimeZone TimeZoneConvert TimeZoneOffset Timing Tiny TitleGrouping TitsGroupT ToBoxes ToCharacterCode ToColor ToContinuousTimeModel ToDate Today ToDiscreteTimeModel ToEntity ToeplitzMatrix ToExpression ToFileName Together Toggle ToggleFalse Toggler TogglerBar TogglerBox TogglerBoxOptions ToHeldExpression ToInvertibleTimeSeries TokenWords Tolerance ToLowerCase Tomorrow ToNumberField TooBig Tooltip TooltipBox TooltipBoxOptions TooltipDelay TooltipStyle Top TopHatTransform ToPolarCoordinates TopologicalSort ToRadicals ToRules ToSphericalCoordinates ToString Total TotalHeight TotalLayer TotalVariationFilter TotalWidth TouchPosition TouchscreenAutoZoom TouchscreenControlPlacement ToUpperCase Tr Trace TraceAbove TraceAction TraceBackward TraceDepth TraceDialog TraceForward TraceInternal TraceLevel TraceOff TraceOn TraceOriginal TracePrint TraceScan TrackedSymbols TrackingFunction TracyWidomDistribution TradingChart TraditionalForm TraditionalFunctionNotation TraditionalNotation TraditionalOrder TrainingProgressCheckpointing TrainingProgressFunction TrainingProgressMeasurements TrainingProgressReporting TrainingStoppingCriterion TransferFunctionCancel TransferFunctionExpand TransferFunctionFactor TransferFunctionModel TransferFunctionPoles TransferFunctionTransform TransferFunctionZeros TransformationClass TransformationFunction TransformationFunctions TransformationMatrix TransformedDistribution TransformedField TransformedProcess TransformedRegion TransitionDirection TransitionDuration TransitionEffect TransitiveClosureGraph TransitiveReductionGraph Translate TranslationOptions TranslationTransform Transliterate Transparent TransparentColor Transpose TransposeLayer TrapSelection TravelDirections TravelDirectionsData TravelDistance TravelDistanceList TravelMethod TravelTime TreeForm TreeGraph TreeGraphQ TreePlot TrendStyle Triangle TriangleCenter TriangleConstruct TriangleMeasurement TriangleWave TriangularDistribution TriangulateMesh Trig TrigExpand TrigFactor TrigFactorList Trigger TrigReduce TrigToExp TrimmedMean TrimmedVariance TropicalStormData True TrueQ TruncatedDistribution TruncatedPolyhedron TsallisQExponentialDistribution TsallisQGaussianDistribution TTest Tube TubeBezierCurveBox TubeBezierCurveBoxOptions TubeBox TubeBoxOptions TubeBSplineCurveBox TubeBSplineCurveBoxOptions Tuesday TukeyLambdaDistribution TukeyWindow TunnelData Tuples TuranGraph TuringMachine TuttePolynomial TwoWayRule Typed TypeSpecifier UnateQ Uncompress UnconstrainedParameters Undefined UnderBar Underflow Underlined Underoverscript UnderoverscriptBox UnderoverscriptBoxOptions Underscript UnderscriptBox UnderscriptBoxOptions UnderseaFeatureData UndirectedEdge UndirectedGraph UndirectedGraphQ UndoOptions UndoTrackedVariables Unequal UnequalTo Unevaluated UniformDistribution UniformGraphDistribution UniformPolyhedron UniformSumDistribution Uninstall Union UnionPlus Unique UnitaryMatrixQ UnitBox UnitConvert UnitDimensions Unitize UnitRootTest UnitSimplify UnitStep UnitSystem UnitTriangle UnitVector UnitVectorLayer UnityDimensions UniverseModelData UniversityData UnixTime Unprotect UnregisterExternalEvaluator UnsameQ UnsavedVariables Unset UnsetShared UntrackedVariables Up UpArrow UpArrowBar UpArrowDownArrow Update UpdateDynamicObjects UpdateDynamicObjectsSynchronous UpdateInterval UpdateSearchIndex UpDownArrow UpEquilibrium UpperCaseQ UpperLeftArrow UpperRightArrow UpperTriangularize UpperTriangularMatrixQ Upsample UpSet UpSetDelayed UpTee UpTeeArrow UpTo UpValues URL URLBuild URLDecode URLDispatcher URLDownload URLDownloadSubmit URLEncode URLExecute URLExpand URLFetch URLFetchAsynchronous URLParse URLQueryDecode URLQueryEncode URLRead URLResponseTime URLSave URLSaveAsynchronous URLShorten URLSubmit UseGraphicsRange UserDefinedWavelet Using UsingFrontEnd UtilityFunction V2Get ValenceErrorHandling ValidationLength ValidationSet Value ValueBox ValueBoxOptions ValueDimensions ValueForm ValuePreprocessingFunction ValueQ Values ValuesData Variables Variance VarianceEquivalenceTest VarianceEstimatorFunction VarianceGammaDistribution VarianceTest VectorAngle VectorAround VectorColorFunction VectorColorFunctionScaling VectorDensityPlot VectorGlyphData VectorGreater VectorGreaterEqual VectorLess VectorLessEqual VectorMarkers VectorPlot VectorPlot3D VectorPoints VectorQ Vectors VectorScale VectorStyle Vee Verbatim Verbose VerboseConvertToPostScriptPacket VerificationTest VerifyConvergence VerifyDerivedKey VerifyDigitalSignature VerifyInterpretation VerifySecurityCertificates VerifySolutions VerifyTestAssumptions Version VersionNumber VertexAdd VertexCapacity VertexColors VertexComponent VertexConnectivity VertexContract VertexCoordinateRules VertexCoordinates VertexCorrelationSimilarity VertexCosineSimilarity VertexCount VertexCoverQ VertexDataCoordinates VertexDegree VertexDelete VertexDiceSimilarity VertexEccentricity VertexInComponent VertexInDegree VertexIndex VertexJaccardSimilarity VertexLabeling VertexLabels VertexLabelStyle VertexList VertexNormals VertexOutComponent VertexOutDegree VertexQ VertexRenderingFunction VertexReplace VertexShape VertexShapeFunction VertexSize VertexStyle VertexTextureCoordinates VertexWeight VertexWeightedGraphQ Vertical VerticalBar VerticalForm VerticalGauge VerticalSeparator VerticalSlider VerticalTilde ViewAngle ViewCenter ViewMatrix ViewPoint ViewPointSelectorSettings ViewPort ViewProjection ViewRange ViewVector ViewVertical VirtualGroupData Visible VisibleCell VoiceStyleData VoigtDistribution VolcanoData Volume VonMisesDistribution VoronoiMesh WaitAll WaitAsynchronousTask WaitNext WaitUntil WakebyDistribution WalleniusHypergeometricDistribution WaringYuleDistribution WarpingCorrespondence WarpingDistance WatershedComponents WatsonUSquareTest WattsStrogatzGraphDistribution WaveletBestBasis WaveletFilterCoefficients WaveletImagePlot WaveletListPlot WaveletMapIndexed WaveletMatrixPlot WaveletPhi WaveletPsi WaveletScale WaveletScalogram WaveletThreshold WeaklyConnectedComponents WeaklyConnectedGraphComponents WeaklyConnectedGraphQ WeakStationarity WeatherData WeatherForecastData WebAudioSearch WebElementObject WeberE WebExecute WebImage WebImageSearch WebSearch WebSessionObject WebSessions WebWindowObject Wedge Wednesday WeibullDistribution WeierstrassE1 WeierstrassE2 WeierstrassE3 WeierstrassEta1 WeierstrassEta2 WeierstrassEta3 WeierstrassHalfPeriods WeierstrassHalfPeriodW1 WeierstrassHalfPeriodW2 WeierstrassHalfPeriodW3 WeierstrassInvariantG2 WeierstrassInvariantG3 WeierstrassInvariants WeierstrassP WeierstrassPPrime WeierstrassSigma WeierstrassZeta WeightedAdjacencyGraph WeightedAdjacencyMatrix WeightedData WeightedGraphQ Weights WelchWindow WheelGraph WhenEvent Which While White WhiteNoiseProcess WhitePoint Whitespace WhitespaceCharacter WhittakerM WhittakerW WienerFilter WienerProcess WignerD WignerSemicircleDistribution WikipediaData WikipediaSearch WilksW WilksWTest WindDirectionData WindingCount WindingPolygon WindowClickSelect WindowElements WindowFloating WindowFrame WindowFrameElements WindowMargins WindowMovable WindowOpacity WindowPersistentStyles WindowSelected WindowSize WindowStatusArea WindowTitle WindowToolbars WindowWidth WindSpeedData WindVectorData WinsorizedMean WinsorizedVariance WishartMatrixDistribution With WolframAlpha WolframAlphaDate WolframAlphaQuantity WolframAlphaResult WolframLanguageData Word WordBoundary WordCharacter WordCloud WordCount WordCounts WordData WordDefinition WordFrequency WordFrequencyData WordList WordOrientation WordSearch WordSelectionFunction WordSeparators WordSpacings WordStem WordTranslation WorkingPrecision WrapAround Write WriteLine WriteString Wronskian XMLElement XMLObject XMLTemplate Xnor Xor XYZColor Yellow Yesterday YuleDissimilarity ZernikeR ZeroSymmetric ZeroTest ZeroWidthTimes Zeta ZetaZero ZIPCodeData ZipfDistribution ZoomCenter ZoomFactor ZTest ZTransform $Aborted $ActivationGroupID $ActivationKey $ActivationUserRegistered $AddOnsDirectory $AllowExternalChannelFunctions $AssertFunction $Assumptions $AsynchronousTask $AudioInputDevices $AudioOutputDevices $BaseDirectory $BatchInput $BatchOutput $BlockchainBase $BoxForms $ByteOrdering $CacheBaseDirectory $Canceled $ChannelBase $CharacterEncoding $CharacterEncodings $CloudBase $CloudConnected $CloudCreditsAvailable $CloudEvaluation $CloudExpressionBase $CloudObjectNameFormat $CloudObjectURLType $CloudRootDirectory $CloudSymbolBase $CloudUserID $CloudUserUUID $CloudVersion $CloudVersionNumber $CloudWolframEngineVersionNumber $CommandLine $CompilationTarget $ConditionHold $ConfiguredKernels $Context $ContextPath $ControlActiveSetting $Cookies $CookieStore $CreationDate $CurrentLink $CurrentTask $CurrentWebSession $DateStringFormat $DefaultAudioInputDevice $DefaultAudioOutputDevice $DefaultFont $DefaultFrontEnd $DefaultImagingDevice $DefaultLocalBase $DefaultMailbox $DefaultNetworkInterface $DefaultPath $Display $DisplayFunction $DistributedContexts $DynamicEvaluation $Echo $EmbedCodeEnvironments $EmbeddableServices $EntityStores $Epilog $EvaluationCloudBase $EvaluationCloudObject $EvaluationEnvironment $ExportFormats $Failed $FinancialDataSource $FontFamilies $FormatType $FrontEnd $FrontEndSession $GeoEntityTypes $GeoLocation $GeoLocationCity $GeoLocationCountry $GeoLocationPrecision $GeoLocationSource $HistoryLength $HomeDirectory $HTMLExportRules $HTTPCookies $HTTPRequest $IgnoreEOF $ImageFormattingWidth $ImagingDevice $ImagingDevices $ImportFormats $IncomingMailSettings $InitialDirectory $Initialization $InitializationContexts $Input $InputFileName $InputStreamMethods $Inspector $InstallationDate $InstallationDirectory $InterfaceEnvironment $InterpreterTypes $IterationLimit $KernelCount $KernelID $Language $LaunchDirectory $LibraryPath $LicenseExpirationDate $LicenseID $LicenseProcesses $LicenseServer $LicenseSubprocesses $LicenseType $Line $Linked $LinkSupported $LoadedFiles $LocalBase $LocalSymbolBase $MachineAddresses $MachineDomain $MachineDomains $MachineEpsilon $MachineID $MachineName $MachinePrecision $MachineType $MaxExtraPrecision $MaxLicenseProcesses $MaxLicenseSubprocesses $MaxMachineNumber $MaxNumber $MaxPiecewiseCases $MaxPrecision $MaxRootDegree $MessageGroups $MessageList $MessagePrePrint $Messages $MinMachineNumber $MinNumber $MinorReleaseNumber $MinPrecision $MobilePhone $ModuleNumber $NetworkConnected $NetworkInterfaces $NetworkLicense $NewMessage $NewSymbol $Notebooks $NoValue $NumberMarks $Off $OperatingSystem $Output $OutputForms $OutputSizeLimit $OutputStreamMethods $Packages $ParentLink $ParentProcessID $PasswordFile $PatchLevelID $Path $PathnameSeparator $PerformanceGoal $Permissions $PermissionsGroupBase $PersistenceBase $PersistencePath $PipeSupported $PlotTheme $Post $Pre $PreferencesDirectory $PreInitialization $PrePrint $PreRead $PrintForms $PrintLiteral $Printout3DPreviewer $ProcessID $ProcessorCount $ProcessorType $ProductInformation $ProgramName $PublisherID $RandomState $RecursionLimit $RegisteredDeviceClasses $RegisteredUserName $ReleaseNumber $RequesterAddress $RequesterWolframID $RequesterWolframUUID $ResourceSystemBase $RootDirectory $ScheduledTask $ScriptCommandLine $ScriptInputString $SecuredAuthenticationKeyTokens $ServiceCreditsAvailable $Services $SessionID $SetParentLink $SharedFunctions $SharedVariables $SoundDisplay $SoundDisplayFunction $SourceLink $SSHAuthentication $SummaryBoxDataSizeLimit $SuppressInputFormHeads $SynchronousEvaluation $SyntaxHandler $System $SystemCharacterEncoding $SystemID $SystemMemory $SystemShell $SystemTimeZone $SystemWordLength $TemplatePath $TemporaryDirectory $TemporaryPrefix $TestFileName $TextStyle $TimedOut $TimeUnit $TimeZone $TimeZoneEntity $TopDirectory $TraceOff $TraceOn $TracePattern $TracePostAction $TracePreAction $UnitSystem $Urgent $UserAddOnsDirectory $UserAgentLanguages $UserAgentMachine $UserAgentName $UserAgentOperatingSystem $UserAgentString $UserAgentVersion $UserBaseDirectory $UserDocumentsDirectory $Username $UserName $UserURLBase $Version $VersionNumber $VoiceStyles $WolframID $WolframUUID\",contains:[e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{contains:[\"self\"]}),e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}};var Vo=function(e){var t={relevance:0,contains:[{begin:\"('|\\\\.')+\"}]};return{name:\"Matlab\",keywords:{keyword:\"break case catch classdef continue else elseif end enumerated events for function global if methods otherwise parfor persistent properties return spmd switch try while\",built_in:\"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i inf nan isnan isinf isfinite j why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell \"},illegal:'(//|\"|#|/\\\\*|\\\\s+/\\\\w+)',contains:[{className:\"function\",beginKeywords:\"function\",end:\"$\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",variants:[{begin:\"\\\\(\",end:\"\\\\)\"},{begin:\"\\\\[\",end:\"\\\\]\"}]}]},{className:\"built_in\",begin:/true|false/,relevance:0,starts:t},{begin:\"[a-zA-Z][a-zA-Z_0-9]*('|\\\\.')+\",relevance:0},{className:\"number\",begin:e.C_NUMBER_RE,relevance:0,starts:t},{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE,{begin:\"''\"}]},{begin:/\\]|}|\\)/,relevance:0,starts:t},{className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE,{begin:'\"\"'}],starts:t},e.COMMENT(\"^\\\\s*\\\\%\\\\{\\\\s*$\",\"^\\\\s*\\\\%\\\\}\\\\s*$\"),e.COMMENT(\"\\\\%\",\"$\")]}};var qo=function(e){return{name:\"Maxima\",lexemes:\"[A-Za-z_%][0-9A-Za-z_%]*\",keywords:{keyword:\"if then else elseif for thru do while unless step in and or not\",literal:\"true false unknown inf minf ind und %e %i %pi %phi %gamma\",built_in:\" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest\",symbol:\"_ __ %|0 %%|0\"},contains:[{className:\"comment\",begin:\"/\\\\*\",end:\"\\\\*/\",contains:[\"self\"]},e.QUOTE_STRING_MODE,{className:\"number\",relevance:0,variants:[{begin:\"\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Ee][-+]?\\\\d+\\\\b\"},{begin:\"\\\\b(\\\\d+|\\\\d+\\\\.|\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)[Bb][-+]?\\\\d+\\\\b\",relevance:10},{begin:\"\\\\b(\\\\.\\\\d+|\\\\d+\\\\.\\\\d+)\\\\b\"},{begin:\"\\\\b(\\\\d+|0[0-9A-Za-z]+)\\\\.?\\\\b\"}]}],illegal:/@/}};var zo=function(e){return{name:\"MEL\",keywords:\"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform\",illegal:\"</\",contains:[e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE]},{begin:\"[\\\\$\\\\%\\\\@](\\\\^\\\\w\\\\b|#\\\\w+|[^\\\\s\\\\w{]|{\\\\w+}|\\\\w+)\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}};var Wo=function(e){var t=e.COMMENT(\"%\",\"$\"),a=e.inherit(e.APOS_STRING_MODE,{relevance:0}),n=e.inherit(e.QUOTE_STRING_MODE,{relevance:0});return n.contains=n.contains.slice(),n.contains.push({className:\"subst\",begin:\"\\\\\\\\[abfnrtv]\\\\|\\\\\\\\x[0-9a-fA-F]*\\\\\\\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]\",relevance:0}),{name:\"Mercury\",aliases:[\"m\",\"moo\"],keywords:{keyword:\"module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure\",meta:\"inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing\",built_in:\"some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure\"},contains:[{className:\"built_in\",variants:[{begin:\"<=>\"},{begin:\"<=\",relevance:0},{begin:\"=>\",relevance:0},{begin:\"/\\\\\\\\\"},{begin:\"\\\\\\\\/\"}]},{className:\"built_in\",variants:[{begin:\":-\\\\|--\\x3e\"},{begin:\"=\",relevance:0}]},t,e.C_BLOCK_COMMENT_MODE,{className:\"number\",begin:\"0'.\\\\|0[box][0-9a-fA-F]*\"},e.NUMBER_MODE,a,n,{begin:/:-/},{begin:/\\.$/}]}};var Qo=function(e){return{name:\"MIPS Assembly\",case_insensitive:!0,aliases:[\"mips\"],lexemes:\"\\\\.?\"+e.IDENT_RE,keywords:{meta:\".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg \",built_in:\"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt \"},contains:[{className:\"keyword\",begin:\"\\\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(.hb)?|jr(.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs.[sd]|add.[sd]|alnv.ps|bc1[ft]l?|c.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et]).[sd]|(ceil|floor|round|trunc).[lw].[sd]|cfc1|cvt.d.[lsw]|cvt.l.[dsw]|cvt.ps.s|cvt.s.[dlw]|cvt.s.p[lu]|cvt.w.[dls]|div.[ds]|ldx?c1|luxc1|lwx?c1|madd.[sd]|mfc1|mov[fntz]?.[ds]|msub.[sd]|mth?c1|mul.[ds]|neg.[ds]|nmadd.[ds]|nmsub.[ds]|p[lu][lu].ps|recip.fmt|r?sqrt.[ds]|sdx?c1|sub.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)\",end:\"\\\\s\"},e.COMMENT(\"[;#](?!s*$)\",\"$\"),e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"[^\\\\\\\\]'\",relevance:0},{className:\"title\",begin:\"\\\\|\",end:\"\\\\|\",illegal:\"\\\\n\",relevance:0},{className:\"number\",variants:[{begin:\"0x[0-9a-f]+\"},{begin:\"\\\\b-?\\\\d+\"}],relevance:0},{className:\"symbol\",variants:[{begin:\"^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:\"},{begin:\"^\\\\s*[0-9]+:\"},{begin:\"[0-9]+[bf]\"}],relevance:0}],illegal:\"/\"}};var $o=function(e){return{name:\"Mizar\",keywords:\"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity\",contains:[e.COMMENT(\"::\",\"$\")]}};var Ko=function(e){var t=\"getpwent getservent quotemeta msgrcv scalar kill dbmclose undef lc ma syswrite tr send umask sysopen shmwrite vec qx utime local oct semctl localtime readpipe do return format read sprintf dbmopen pop getpgrp not getpwnam rewinddir qq fileno qw endprotoent wait sethostent bless s|0 opendir continue each sleep endgrent shutdown dump chomp connect getsockname die socketpair close flock exists index shmget sub for endpwent redo lstat msgctl setpgrp abs exit select print ref gethostbyaddr unshift fcntl syscall goto getnetbyaddr join gmtime symlink semget splice x|0 getpeername recv log setsockopt cos last reverse gethostbyname getgrnam study formline endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand mkdir pos chmod y|0 substr endnetent printf next open msgsnd readdir use unlink getsockopt getpriority rindex wantarray hex system getservbyport endservent int chr untie rmdir prototype tell listen fork shmread ucfirst setprotoent else sysseek link getgrgid shmctl waitpid unpack getnetbyname reset chdir grep split require caller lcfirst until warn while values shift telldir getpwuid my getprotobynumber delete and sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach tie sin msgget map stat getlogin unless elsif truncate exec keys glob tied closedir ioctl socket readlink eval xor readline binmode setservent eof ord bind alarm pipe atan2 getgrent exp time push setgrent gt lt or ne m|0 break given say state when\",a={className:\"subst\",begin:\"[$@]\\\\{\",end:\"\\\\}\",keywords:t},n={begin:\"->{\",end:\"}\"},r={variants:[{begin:/\\$\\d/},{begin:/[\\$%@](\\^\\w\\b|#\\w+(::\\w+)*|{\\w+}|\\w+(::\\w*)*)/},{begin:/[\\$%@][^\\s\\w{]/,relevance:0}]},i=[e.BACKSLASH_ESCAPE,a,r],o=[r,e.HASH_COMMENT_MODE,e.COMMENT(\"^\\\\=\\\\w\",\"\\\\=cut\",{endsWithParent:!0}),n,{className:\"string\",contains:i,variants:[{begin:\"q[qwxr]?\\\\s*\\\\(\",end:\"\\\\)\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\[\",end:\"\\\\]\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\{\",end:\"\\\\}\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\|\",end:\"\\\\|\",relevance:5},{begin:\"q[qwxr]?\\\\s*\\\\<\",end:\"\\\\>\",relevance:5},{begin:\"qw\\\\s+q\",end:\"q\",relevance:5},{begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE]},{begin:'\"',end:'\"'},{begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE]},{begin:\"{\\\\w+}\",contains:[],relevance:0},{begin:\"-?\\\\w+\\\\s*\\\\=\\\\>\",contains:[],relevance:0}]},{className:\"number\",begin:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},{begin:\"(\\\\/\\\\/|\"+e.RE_STARTERS_RE+\"|\\\\b(split|return|print|reverse|grep)\\\\b)\\\\s*\",keywords:\"split return print reverse grep\",relevance:0,contains:[e.HASH_COMMENT_MODE,{className:\"regexp\",begin:\"(s|tr|y)/(\\\\\\\\.|[^/])*/(\\\\\\\\.|[^/])*/[a-z]*\",relevance:10},{className:\"regexp\",begin:\"(m|qr)?/\",end:\"/[a-z]*\",contains:[e.BACKSLASH_ESCAPE],relevance:0}]},{className:\"function\",beginKeywords:\"sub\",end:\"(\\\\s*\\\\(.*?\\\\))?[;{]\",excludeEnd:!0,relevance:5,contains:[e.TITLE_MODE]},{begin:\"-\\\\w\\\\b\",relevance:0},{begin:\"^__DATA__$\",end:\"^__END__$\",subLanguage:\"mojolicious\",contains:[{begin:\"^@@.*\",end:\"$\",className:\"comment\"}]}];return a.contains=o,n.contains=o,{name:\"Perl\",aliases:[\"pl\",\"pm\"],lexemes:/[\\w\\.]+/,keywords:t,contains:o}};var jo=function(e){return{name:\"Mojolicious\",subLanguage:\"xml\",contains:[{className:\"meta\",begin:\"^__(END|DATA)__$\"},{begin:\"^\\\\s*%{1,2}={0,2}\",end:\"$\",subLanguage:\"perl\"},{begin:\"<%{1,2}={0,2}\",end:\"={0,1}%>\",subLanguage:\"perl\",excludeBegin:!0,excludeEnd:!0}]}};var Xo=function(e){var t={className:\"number\",relevance:0,variants:[{begin:\"[$][a-fA-F0-9]+\"},e.NUMBER_MODE]};return{name:\"Monkey\",case_insensitive:!0,keywords:{keyword:\"public private property continue exit extern new try catch eachin not abstract final select case default const local global field end if then else elseif endif while wend repeat until forever for to step next return module inline throw import\",built_in:\"DebugLog DebugStop Error Print ACos ACosr ASin ASinr ATan ATan2 ATan2r ATanr Abs Abs Ceil Clamp Clamp Cos Cosr Exp Floor Log Max Max Min Min Pow Sgn Sgn Sin Sinr Sqrt Tan Tanr Seed PI HALFPI TWOPI\",literal:\"true false null and or shl shr mod\"},illegal:/\\/\\*/,contains:[e.COMMENT(\"#rem\",\"#end\"),e.COMMENT(\"'\",\"$\",{relevance:0}),{className:\"function\",beginKeywords:\"function method\",end:\"[(=:]|$\",illegal:/\\n/,contains:[e.UNDERSCORE_TITLE_MODE]},{className:\"class\",beginKeywords:\"class interface\",end:\"$\",contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},{className:\"built_in\",begin:\"\\\\b(self|super)\\\\b\"},{className:\"meta\",begin:\"\\\\s*#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elseif endif end then\"}},{className:\"meta\",begin:\"^\\\\s*strict\\\\b\"},{beginKeywords:\"alias\",end:\"=\",contains:[e.UNDERSCORE_TITLE_MODE]},e.QUOTE_STRING_MODE,t]}};var Zo=function(e){var t={keyword:\"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using\",literal:\"true false nil\",built_in:\"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table\"},a=\"[A-Za-z$_][0-9A-Za-z$_]*\",n={className:\"subst\",begin:/#\\{/,end:/}/,keywords:t},r=[e.inherit(e.C_NUMBER_MODE,{starts:{end:\"(\\\\s*/)?\",relevance:0}}),{className:\"string\",variants:[{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,n]}]},{className:\"built_in\",begin:\"@__\"+e.IDENT_RE},{begin:\"@\"+e.IDENT_RE},{begin:e.IDENT_RE+\"\\\\\\\\\"+e.IDENT_RE}];n.contains=r;var i=e.inherit(e.TITLE_MODE,{begin:a}),o={className:\"params\",begin:\"\\\\([^\\\\(]\",returnBegin:!0,contains:[{begin:/\\(/,end:/\\)/,keywords:t,contains:[\"self\"].concat(r)}]};return{name:\"MoonScript\",aliases:[\"moon\"],keywords:t,illegal:/\\/\\*/,contains:r.concat([e.COMMENT(\"--\",\"$\"),{className:\"function\",begin:\"^\\\\s*\"+a+\"\\\\s*=\\\\s*(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>\",end:\"[-=]>\",returnBegin:!0,contains:[i,o]},{begin:/[\\(,:=]\\s*/,relevance:0,contains:[{className:\"function\",begin:\"(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>\",end:\"[-=]>\",returnBegin:!0,contains:[o]}]},{className:\"class\",beginKeywords:\"class\",end:\"$\",illegal:/[:=\"\\[\\]]/,contains:[{beginKeywords:\"extends\",endsWithParent:!0,illegal:/[:=\"\\[\\]]/,contains:[i]},i]},{className:\"name\",begin:a+\":\",end:\":\",returnBegin:!0,returnEnd:!0,relevance:0}])}};var Jo=function(e){return{name:\"N1QL\",case_insensitive:!0,contains:[{beginKeywords:\"build create index delete drop explain infer|10 insert merge prepare select update upsert|10\",end:/;/,endsWithParent:!0,keywords:{keyword:\"all alter analyze and any array as asc begin between binary boolean break bucket build by call case cast cluster collate collection commit connect continue correlate cover create database dataset datastore declare decrement delete derived desc describe distinct do drop each element else end every except exclude execute exists explain fetch first flatten for force from function grant group gsi having if ignore ilike in include increment index infer inline inner insert intersect into is join key keys keyspace known last left let letting like limit lsm map mapping matched materialized merge minus namespace nest not number object offset on option or order outer over parse partition password path pool prepare primary private privilege procedure public raw realm reduce rename return returning revoke right role rollback satisfies schema select self semi set show some start statistics string system then to transaction trigger truncate under union unique unknown unnest unset update upsert use user using validate value valued values via view when where while with within work xor\",literal:\"true false null missing|5\",built_in:\"array_agg array_append array_concat array_contains array_count array_distinct array_ifnull array_length array_max array_min array_position array_prepend array_put array_range array_remove array_repeat array_replace array_reverse array_sort array_sum avg count max min sum greatest least ifmissing ifmissingornull ifnull missingif nullif ifinf ifnan ifnanorinf naninf neginfif posinfif clock_millis clock_str date_add_millis date_add_str date_diff_millis date_diff_str date_part_millis date_part_str date_trunc_millis date_trunc_str duration_to_str millis str_to_millis millis_to_str millis_to_utc millis_to_zone_name now_millis now_str str_to_duration str_to_utc str_to_zone_name decode_json encode_json encoded_size poly_length base64 base64_encode base64_decode meta uuid abs acos asin atan atan2 ceil cos degrees e exp ln log floor pi power radians random round sign sin sqrt tan trunc object_length object_names object_pairs object_inner_pairs object_values object_inner_values object_add object_put object_remove object_unwrap regexp_contains regexp_like regexp_position regexp_replace contains initcap length lower ltrim position repeat replace rtrim split substr title trim upper isarray isatom isboolean isnumber isobject isstring type toarray toatom toboolean tonumber toobject tostring\"},contains:[{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:\"symbol\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE],relevance:2},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE]}};var es=function(e){var t={className:\"variable\",variants:[{begin:/\\$\\d+/},{begin:/\\$\\{/,end:/}/},{begin:\"[\\\\$\\\\@]\"+e.UNDERSCORE_IDENT_RE}]},a={endsWithParent:!0,lexemes:\"[a-z/_]+\",keywords:{literal:\"on off yes no true false none blocked debug info notice warn error crit select break last permanent redirect kqueue rtsig epoll poll /dev/poll\"},relevance:0,illegal:\"=>\",contains:[e.HASH_COMMENT_MODE,{className:\"string\",contains:[e.BACKSLASH_ESCAPE,t],variants:[{begin:/\"/,end:/\"/},{begin:/'/,end:/'/}]},{begin:\"([a-z]+):/\",end:\"\\\\s\",endsWithParent:!0,excludeEnd:!0,contains:[t]},{className:\"regexp\",contains:[e.BACKSLASH_ESCAPE,t],variants:[{begin:\"\\\\s\\\\^\",end:\"\\\\s|{|;\",returnEnd:!0},{begin:\"~\\\\*?\\\\s+\",end:\"\\\\s|{|;\",returnEnd:!0},{begin:\"\\\\*(\\\\.[a-z\\\\-]+)+\"},{begin:\"([a-z\\\\-]+\\\\.)+\\\\*\"}]},{className:\"number\",begin:\"\\\\b\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b\"},{className:\"number\",begin:\"\\\\b\\\\d+[kKmMgGdshdwy]*\\\\b\",relevance:0},t]};return{name:\"Nginx config\",aliases:[\"nginxconf\"],contains:[e.HASH_COMMENT_MODE,{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s+{\",returnBegin:!0,end:\"{\",contains:[{className:\"section\",begin:e.UNDERSCORE_IDENT_RE}],relevance:0},{begin:e.UNDERSCORE_IDENT_RE+\"\\\\s\",end:\";|{\",returnBegin:!0,contains:[{className:\"attribute\",begin:e.UNDERSCORE_IDENT_RE,starts:a}],relevance:0}],illegal:\"[^\\\\s\\\\}]\"}};var ts=function(e){return{name:\"Nim\",aliases:[\"nim\"],keywords:{keyword:\"addr and as asm bind block break case cast const continue converter discard distinct div do elif else end enum except export finally for from func generic if import in include interface is isnot iterator let macro method mixin mod nil not notin object of or out proc ptr raise ref return shl shr static template try tuple type using var when while with without xor yield\",literal:\"shared guarded stdin stdout stderr result true false\",built_in:\"int int8 int16 int32 int64 uint uint8 uint16 uint32 uint64 float float32 float64 bool char string cstring pointer expr stmt void auto any range array openarray varargs seq set clong culong cchar cschar cshort cint csize clonglong cfloat cdouble clongdouble cuchar cushort cuint culonglong cstringarray semistatic\"},contains:[{className:\"meta\",begin:/{\\./,end:/\\.}/,relevance:10},{className:\"string\",begin:/[a-zA-Z]\\w*\"/,end:/\"/,contains:[{begin:/\"\"/}]},{className:\"string\",begin:/([a-zA-Z]\\w*)?\"\"\"/,end:/\"\"\"/},e.QUOTE_STRING_MODE,{className:\"type\",begin:/\\b[A-Z]\\w+\\b/,relevance:0},{className:\"number\",relevance:0,variants:[{begin:/\\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\\b(\\d[_\\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}};var as=function(e){var t={keyword:\"rec with let in inherit assert if else then\",literal:\"true false or and null\",built_in:\"import abort baseNameOf dirOf isNull builtins map removeAttrs throw toString derivation\"},a={className:\"subst\",begin:/\\$\\{/,end:/}/,keywords:t},n={className:\"string\",contains:[a],variants:[{begin:\"''\",end:\"''\"},{begin:'\"',end:'\"'}]},r=[e.NUMBER_MODE,e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,n,{begin:/[a-zA-Z0-9-_]+(\\s*=)/,returnBegin:!0,relevance:0,contains:[{className:\"attr\",begin:/\\S+/}]}];return a.contains=r,{name:\"Nix\",aliases:[\"nixos\"],keywords:t,contains:r}};var ns=function(e){var t={className:\"variable\",begin:/\\$+{[\\w\\.:-]+}/},a={className:\"variable\",begin:/\\$+\\w+/,illegal:/\\(\\){}/},n={className:\"variable\",begin:/\\$+\\([\\w\\^\\.:-]+\\)/},r={className:\"string\",variants:[{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"},{begin:\"`\",end:\"`\"}],illegal:/\\n/,contains:[{className:\"meta\",begin:/\\$(\\\\[nrt]|\\$)/},{className:\"variable\",begin:/\\$(ADMINTOOLS|APPDATA|CDBURN_AREA|CMDLINE|COMMONFILES32|COMMONFILES64|COMMONFILES|COOKIES|DESKTOP|DOCUMENTS|EXEDIR|EXEFILE|EXEPATH|FAVORITES|FONTS|HISTORY|HWNDPARENT|INSTDIR|INTERNET_CACHE|LANGUAGE|LOCALAPPDATA|MUSIC|NETHOOD|OUTDIR|PICTURES|PLUGINSDIR|PRINTHOOD|PROFILE|PROGRAMFILES32|PROGRAMFILES64|PROGRAMFILES|QUICKLAUNCH|RECENT|RESOURCES_LOCALIZED|RESOURCES|SENDTO|SMPROGRAMS|SMSTARTUP|STARTMENU|SYSDIR|TEMP|TEMPLATES|VIDEOS|WINDIR)/},t,a,n]};return{name:\"NSIS\",case_insensitive:!1,keywords:{keyword:\"Abort AddBrandingImage AddSize AllowRootDirInstall AllowSkipFiles AutoCloseWindow BGFont BGGradient BrandingText BringToFront Call CallInstDLL Caption ChangeUI CheckBitmap ClearErrors CompletedText ComponentText CopyFiles CRCCheck CreateDirectory CreateFont CreateShortCut Delete DeleteINISec DeleteINIStr DeleteRegKey DeleteRegValue DetailPrint DetailsButtonText DirText DirVar DirVerify EnableWindow EnumRegKey EnumRegValue Exch Exec ExecShell ExecShellWait ExecWait ExpandEnvStrings File FileBufSize FileClose FileErrorText FileOpen FileRead FileReadByte FileReadUTF16LE FileReadWord FileSeek FileWrite FileWriteByte FileWriteUTF16LE FileWriteWord FindClose FindFirst FindNext FindWindow FlushINI FunctionEnd GetCurInstType GetCurrentAddress GetDlgItem GetDLLVersion GetDLLVersionLocal GetErrorLevel GetFileTime GetFileTimeLocal GetFullPathName GetFunctionAddress GetInstDirError GetLabelAddress GetTempFileName Goto HideWindow Icon IfAbort IfErrors IfFileExists IfRebootFlag IfSilent InitPluginsDir InstallButtonText InstallColors InstallDir InstallDirRegKey InstProgressFlags InstType InstTypeGetText InstTypeSetText Int64Cmp Int64CmpU Int64Fmt IntCmp IntCmpU IntFmt IntOp IntPtrCmp IntPtrCmpU IntPtrOp IsWindow LangString LicenseBkColor LicenseData LicenseForceSelection LicenseLangString LicenseText LoadLanguageFile LockWindow LogSet LogText ManifestDPIAware ManifestSupportedOS MessageBox MiscButtonText Name Nop OutFile Page PageCallbacks PageExEnd Pop Push Quit ReadEnvStr ReadINIStr ReadRegDWORD ReadRegStr Reboot RegDLL Rename RequestExecutionLevel ReserveFile Return RMDir SearchPath SectionEnd SectionGetFlags SectionGetInstTypes SectionGetSize SectionGetText SectionGroupEnd SectionIn SectionSetFlags SectionSetInstTypes SectionSetSize SectionSetText SendMessage SetAutoClose SetBrandingImage SetCompress SetCompressor SetCompressorDictSize SetCtlColors SetCurInstType SetDatablockOptimize SetDateSave SetDetailsPrint SetDetailsView SetErrorLevel SetErrors SetFileAttributes SetFont SetOutPath SetOverwrite SetRebootFlag SetRegView SetShellVarContext SetSilent ShowInstDetails ShowUninstDetails ShowWindow SilentInstall SilentUnInstall Sleep SpaceTexts StrCmp StrCmpS StrCpy StrLen SubCaption Unicode UninstallButtonText UninstallCaption UninstallIcon UninstallSubCaption UninstallText UninstPage UnRegDLL Var VIAddVersionKey VIFileVersion VIProductVersion WindowIcon WriteINIStr WriteRegBin WriteRegDWORD WriteRegExpandStr WriteRegMultiStr WriteRegNone WriteRegStr WriteUninstaller XPStyle\",literal:\"admin all auto both bottom bzip2 colored components current custom directory false force hide highest ifdiff ifnewer instfiles lastused leave left license listonly lzma nevershow none normal notset off on open print right show silent silentlog smooth textonly top true try un.components un.custom un.directory un.instfiles un.license uninstConfirm user Win10 Win7 Win8 WinVista zlib\"},contains:[e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"function\",beginKeywords:\"Function PageEx Section SectionGroup\",end:\"$\"},r,{className:\"keyword\",begin:/\\!(addincludedir|addplugindir|appendfile|cd|define|delfile|echo|else|endif|error|execute|finalize|getdllversion|gettlbversion|if|ifdef|ifmacrodef|ifmacrondef|ifndef|include|insertmacro|macro|macroend|makensis|packhdr|searchparse|searchreplace|system|tempfile|undef|verbose|warning)/},t,a,n,{className:\"params\",begin:\"(ARCHIVE|FILE_ATTRIBUTE_ARCHIVE|FILE_ATTRIBUTE_NORMAL|FILE_ATTRIBUTE_OFFLINE|FILE_ATTRIBUTE_READONLY|FILE_ATTRIBUTE_SYSTEM|FILE_ATTRIBUTE_TEMPORARY|HKCR|HKCU|HKDD|HKEY_CLASSES_ROOT|HKEY_CURRENT_CONFIG|HKEY_CURRENT_USER|HKEY_DYN_DATA|HKEY_LOCAL_MACHINE|HKEY_PERFORMANCE_DATA|HKEY_USERS|HKLM|HKPD|HKU|IDABORT|IDCANCEL|IDIGNORE|IDNO|IDOK|IDRETRY|IDYES|MB_ABORTRETRYIGNORE|MB_DEFBUTTON1|MB_DEFBUTTON2|MB_DEFBUTTON3|MB_DEFBUTTON4|MB_ICONEXCLAMATION|MB_ICONINFORMATION|MB_ICONQUESTION|MB_ICONSTOP|MB_OK|MB_OKCANCEL|MB_RETRYCANCEL|MB_RIGHT|MB_RTLREADING|MB_SETFOREGROUND|MB_TOPMOST|MB_USERICON|MB_YESNO|NORMAL|OFFLINE|READONLY|SHCTX|SHELL_CONTEXT|SYSTEM|TEMPORARY)\"},{className:\"class\",begin:/\\w+\\:\\:\\w+/},e.NUMBER_MODE]}};var rs=function(e){var t=/[a-zA-Z@][a-zA-Z0-9_]*/,a=\"@interface @class @protocol @implementation\";return{name:\"Objective-C\",aliases:[\"mm\",\"objc\",\"obj-c\"],keywords:{keyword:\"int float while char export sizeof typedef const struct for union unsigned long volatile static bool mutable if do return goto void enum else break extern asm case short default double register explicit signed typename this switch continue wchar_t inline readonly assign readwrite self @synchronized id typeof nonatomic super unichar IBOutlet IBAction strong weak copy in out inout bycopy byref oneway __strong __weak __block __autoreleasing @private @protected @public @try @property @end @throw @catch @finally @autoreleasepool @synthesize @dynamic @selector @optional @required @encode @package @import @defs @compatibility_alias __bridge __bridge_transfer __bridge_retained __bridge_retain __covariant __contravariant __kindof _Nonnull _Nullable _Null_unspecified __FUNCTION__ __PRETTY_FUNCTION__ __attribute__ getter setter retain unsafe_unretained nonnull nullable null_unspecified null_resettable class instancetype NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN\",literal:\"false true FALSE TRUE nil YES NO NULL\",built_in:\"BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once\"},lexemes:t,illegal:\"</\",contains:[{className:\"built_in\",begin:\"\\\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\\\w+\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:\"string\",variants:[{begin:'@\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE]}]},{className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma ifdef ifndef include\"},contains:[{begin:/\\\\\\n/,relevance:0},e.inherit(e.QUOTE_STRING_MODE,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<.*?>/,end:/$/,illegal:\"\\\\n\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:\"class\",begin:\"(\"+a.split(\" \").join(\"|\")+\")\\\\b\",end:\"({|$)\",excludeEnd:!0,keywords:a,lexemes:t,contains:[e.UNDERSCORE_TITLE_MODE]},{begin:\"\\\\.\"+e.UNDERSCORE_IDENT_RE,relevance:0}]}};var is=function(e){return{name:\"OCaml\",aliases:[\"ml\"],keywords:{keyword:\"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value\",built_in:\"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref\",literal:\"true false\"},illegal:/\\/\\/|>>/,lexemes:\"[a-z_]\\\\w*!?\",contains:[{className:\"literal\",begin:\"\\\\[(\\\\|\\\\|)?\\\\]|\\\\(\\\\)\",relevance:0},e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{contains:[\"self\"]}),{className:\"symbol\",begin:\"'[A-Za-z_](?!')[\\\\w']*\"},{className:\"type\",begin:\"`[A-Z][\\\\w']*\"},{className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},{begin:\"[a-z_]\\\\w*'[\\\\w']*\",relevance:0},e.inherit(e.APOS_STRING_MODE,{className:\"string\",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"number\",begin:\"\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)\",relevance:0},{begin:/[-=]>/}]}};var os=function(e){var t={className:\"keyword\",begin:\"\\\\$(f[asn]|t|vp[rtd]|children)\"},a={className:\"number\",begin:\"\\\\b\\\\d+(\\\\.\\\\d+)?(e-?\\\\d+)?\",relevance:0},n=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),r={className:\"function\",beginKeywords:\"module function\",end:\"\\\\=|\\\\{\",contains:[{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",a,n,t,{className:\"literal\",begin:\"false|true|PI|undef\"}]},e.UNDERSCORE_TITLE_MODE]};return{name:\"OpenSCAD\",aliases:[\"scad\"],keywords:{keyword:\"function module include use for intersection_for if else \\\\%\",literal:\"false true PI undef\",built_in:\"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,{className:\"meta\",keywords:{\"meta-keyword\":\"include use\"},begin:\"include|use <\",end:\">\"},n,t,{begin:\"[*!#%]\",relevance:0},r]}};var ss=function(e){var t=\"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained\",a=e.COMMENT(\"{\",\"}\",{relevance:0}),n=e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{relevance:10}),r={className:\"string\",begin:\"'\",end:\"'\",contains:[{begin:\"''\"}]},i={className:\"string\",begin:\"(#\\\\d+)+\"},o={className:\"function\",beginKeywords:\"function constructor destructor procedure method\",end:\"[:;]\",keywords:\"function constructor|10 destructor|10 procedure|10 method|10\",contains:[e.TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",keywords:t,contains:[r,i]},a,n]};return{name:\"Oxygene\",case_insensitive:!0,lexemes:/\\.?\\w+/,keywords:t,illegal:'(\"|\\\\$[G-Zg-z]|\\\\/\\\\*|</|=>|->)',contains:[a,n,e.C_LINE_COMMENT_MODE,r,i,e.NUMBER_MODE,o,{className:\"class\",begin:\"=\\\\bclass\\\\b\",end:\"end;\",keywords:t,contains:[r,i,a,n,e.C_LINE_COMMENT_MODE,o]}]}};var ls=function(e){var t=e.COMMENT(\"{\",\"}\",{contains:[\"self\"]});return{name:\"Parser3\",subLanguage:\"xml\",relevance:0,contains:[e.COMMENT(\"^#\",\"$\"),e.COMMENT(\"\\\\^rem{\",\"}\",{relevance:10,contains:[t]}),{className:\"meta\",begin:\"^@(?:BASE|USE|CLASS|OPTIONS)$\",relevance:10},{className:\"title\",begin:\"@[\\\\w\\\\-]+\\\\[[\\\\w^;\\\\-]*\\\\](?:\\\\[[\\\\w^;\\\\-]*\\\\])?(?:.*)$\"},{className:\"variable\",begin:\"\\\\$\\\\{?[\\\\w\\\\-\\\\.\\\\:]+\\\\}?\"},{className:\"keyword\",begin:\"\\\\^[\\\\w\\\\-\\\\.\\\\:]+\"},{className:\"number\",begin:\"\\\\^#[0-9a-fA-F]+\"},e.C_NUMBER_MODE]}};var _s=function(e){return{name:\"Packet Filter config\",aliases:[\"pf.conf\"],lexemes:/[a-z0-9_<>-]+/,keywords:{built_in:\"block match pass load anchor|5 antispoof|10 set table\",keyword:\"in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id\",literal:\"all any no-route self urpf-failed egress|5 unknown\"},contains:[e.HASH_COMMENT_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE,{className:\"variable\",begin:/\\$[\\w\\d#@][\\w\\d_]*/},{className:\"variable\",begin:/<(?!\\/)/,end:/>/}]}};var cs=function(e){var t=e.COMMENT(\"--\",\"$\"),a=\"BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 \",n=a.trim().split(\" \").map((function(e){return e.split(\"|\")[0]})).join(\"|\"),r=\"ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST \".trim().split(\" \").map((function(e){return e.split(\"|\")[0]})).join(\"|\");return{name:\"PostgreSQL\",aliases:[\"postgres\",\"postgresql\"],case_insensitive:!0,keywords:{keyword:\"ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS \",built_in:\"CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED \"},illegal:/:==|\\W\\s*\\(\\*|(^|\\s)\\$[a-z]|{{|[a-z]:\\s*$|\\.\\.\\.|TO:|DO:/,contains:[{className:\"keyword\",variants:[{begin:/\\bTEXT\\s*SEARCH\\b/},{begin:/\\b(PRIMARY|FOREIGN|FOR(\\s+NO)?)\\s+KEY\\b/},{begin:/\\bPARALLEL\\s+(UNSAFE|RESTRICTED|SAFE)\\b/},{begin:/\\bSTORAGE\\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\\b/},{begin:/\\bMATCH\\s+(FULL|PARTIAL|SIMPLE)\\b/},{begin:/\\bNULLS\\s+(FIRST|LAST)\\b/},{begin:/\\bEVENT\\s+TRIGGER\\b/},{begin:/\\b(MAPPING|OR)\\s+REPLACE\\b/},{begin:/\\b(FROM|TO)\\s+(PROGRAM|STDIN|STDOUT)\\b/},{begin:/\\b(SHARE|EXCLUSIVE)\\s+MODE\\b/},{begin:/\\b(LEFT|RIGHT)\\s+(OUTER\\s+)?JOIN\\b/},{begin:/\\b(FETCH|MOVE)\\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\\b/},{begin:/\\bPRESERVE\\s+ROWS\\b/},{begin:/\\bDISCARD\\s+PLANS\\b/},{begin:/\\bREFERENCING\\s+(OLD|NEW)\\b/},{begin:/\\bSKIP\\s+LOCKED\\b/},{begin:/\\bGROUPING\\s+SETS\\b/},{begin:/\\b(BINARY|INSENSITIVE|SCROLL|NO\\s+SCROLL)\\s+(CURSOR|FOR)\\b/},{begin:/\\b(WITH|WITHOUT)\\s+HOLD\\b/},{begin:/\\bWITH\\s+(CASCADED|LOCAL)\\s+CHECK\\s+OPTION\\b/},{begin:/\\bEXCLUDE\\s+(TIES|NO\\s+OTHERS)\\b/},{begin:/\\bFORMAT\\s+(TEXT|XML|JSON|YAML)\\b/},{begin:/\\bSET\\s+((SESSION|LOCAL)\\s+)?NAMES\\b/},{begin:/\\bIS\\s+(NOT\\s+)?UNKNOWN\\b/},{begin:/\\bSECURITY\\s+LABEL\\b/},{begin:/\\bSTANDALONE\\s+(YES|NO|NO\\s+VALUE)\\b/},{begin:/\\bWITH\\s+(NO\\s+)?DATA\\b/},{begin:/\\b(FOREIGN|SET)\\s+DATA\\b/},{begin:/\\bSET\\s+(CATALOG|CONSTRAINTS)\\b/},{begin:/\\b(WITH|FOR)\\s+ORDINALITY\\b/},{begin:/\\bIS\\s+(NOT\\s+)?DOCUMENT\\b/},{begin:/\\bXML\\s+OPTION\\s+(DOCUMENT|CONTENT)\\b/},{begin:/\\b(STRIP|PRESERVE)\\s+WHITESPACE\\b/},{begin:/\\bNO\\s+(ACTION|MAXVALUE|MINVALUE)\\b/},{begin:/\\bPARTITION\\s+BY\\s+(RANGE|LIST|HASH)\\b/},{begin:/\\bAT\\s+TIME\\s+ZONE\\b/},{begin:/\\bGRANTED\\s+BY\\b/},{begin:/\\bRETURN\\s+(QUERY|NEXT)\\b/},{begin:/\\b(ATTACH|DETACH)\\s+PARTITION\\b/},{begin:/\\bFORCE\\s+ROW\\s+LEVEL\\s+SECURITY\\b/},{begin:/\\b(INCLUDING|EXCLUDING)\\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\\b/},{begin:/\\bAS\\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\\b/}]},{begin:/\\b(FORMAT|FAMILY|VERSION)\\s*\\(/},{begin:/\\bINCLUDE\\s*\\(/,keywords:\"INCLUDE\"},{begin:/\\bRANGE(?!\\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\\s*=/},{begin:/\\b(PG_\\w+?|HAS_[A-Z_]+_PRIVILEGE)\\b/,relevance:10},{begin:/\\bEXTRACT\\s*\\(/,end:/\\bFROM\\b/,returnEnd:!0,keywords:{type:\"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR\"}},{begin:/\\b(XMLELEMENT|XMLPI)\\s*\\(\\s*NAME/,keywords:{keyword:\"NAME\"}},{begin:/\\b(XMLPARSE|XMLSERIALIZE)\\s*\\(\\s*(DOCUMENT|CONTENT)/,keywords:{keyword:\"DOCUMENT CONTENT\"}},{beginKeywords:\"CACHE INCREMENT MAXVALUE MINVALUE\",end:e.C_NUMBER_RE,returnEnd:!0,keywords:\"BY CACHE INCREMENT MAXVALUE MINVALUE\"},{className:\"type\",begin:/\\b(WITH|WITHOUT)\\s+TIME\\s+ZONE\\b/},{className:\"type\",begin:/\\bINTERVAL\\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\\s+TO\\s+(MONTH|HOUR|MINUTE|SECOND))?\\b/},{begin:/\\bRETURNS\\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\\b/,keywords:{keyword:\"RETURNS\",type:\"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER\"}},{begin:\"\\\\b(\"+r+\")\\\\s*\\\\(\"},{begin:\"\\\\.(\"+n+\")\\\\b\"},{begin:\"\\\\b(\"+n+\")\\\\s+PATH\\\\b\",keywords:{keyword:\"PATH\",type:a.replace(\"PATH \",\"\")}},{className:\"type\",begin:\"\\\\b(\"+n+\")\\\\b\"},{className:\"string\",begin:\"'\",end:\"'\",contains:[{begin:\"''\"}]},{className:\"string\",begin:\"(e|E|u&|U&)'\",end:\"'\",contains:[{begin:\"\\\\\\\\.\"}],relevance:10},{begin:\"\\\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\\\$\",endSameAsBegin:!0,contains:[{subLanguage:[\"pgsql\",\"perl\",\"python\",\"tcl\",\"r\",\"lua\",\"java\",\"php\",\"ruby\",\"bash\",\"scheme\",\"xml\",\"json\"],endsWithParent:!0}]},{begin:'\"',end:'\"',contains:[{begin:'\"\"'}]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t,{className:\"meta\",variants:[{begin:\"%(ROW)?TYPE\",relevance:10},{begin:\"\\\\$\\\\d+\"},{begin:\"^#\\\\w\",end:\"$\"}]},{className:\"symbol\",begin:\"<<\\\\s*[a-zA-Z_][a-zA-Z_0-9$]*\\\\s*>>\",relevance:10}]}};var ds=function(e){var t={begin:\"\\\\$+[a-zA-Z_-ÿ][a-zA-Z0-9_-ÿ]*\"},a={className:\"meta\",variants:[{begin:/<\\?php/,relevance:10},{begin:/<\\?[=]?/},{begin:/\\?>/}]},n={className:\"string\",contains:[e.BACKSLASH_ESCAPE,a],variants:[{begin:'b\"',end:'\"'},{begin:\"b'\",end:\"'\"},e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},r={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},i={keyword:\"__CLASS__ __DIR__ __FILE__ __FUNCTION__ __LINE__ __METHOD__ __NAMESPACE__ __TRAIT__ die echo exit include include_once print require require_once array abstract and as binary bool boolean break callable case catch class clone const continue declare default do double else elseif empty enddeclare endfor endforeach endif endswitch endwhile eval extends final finally float for foreach from global goto if implements instanceof insteadof int integer interface isset iterable list new object or private protected public real return string switch throw trait try unset use var void while xor yield\",literal:\"false null true\",built_in:\"Error|0 AppendIterator ArgumentCountError ArithmeticError ArrayIterator ArrayObject AssertionError BadFunctionCallException BadMethodCallException CachingIterator CallbackFilterIterator CompileError Countable DirectoryIterator DivisionByZeroError DomainException EmptyIterator ErrorException Exception FilesystemIterator FilterIterator GlobIterator InfiniteIterator InvalidArgumentException IteratorIterator LengthException LimitIterator LogicException MultipleIterator NoRewindIterator OutOfBoundsException OutOfRangeException OuterIterator OverflowException ParentIterator ParseError RangeException RecursiveArrayIterator RecursiveCachingIterator RecursiveCallbackFilterIterator RecursiveDirectoryIterator RecursiveFilterIterator RecursiveIterator RecursiveIteratorIterator RecursiveRegexIterator RecursiveTreeIterator RegexIterator RuntimeException SeekableIterator SplDoublyLinkedList SplFileInfo SplFileObject SplFixedArray SplHeap SplMaxHeap SplMinHeap SplObjectStorage SplObserver SplObserver SplPriorityQueue SplQueue SplStack SplSubject SplSubject SplTempFileObject TypeError UnderflowException UnexpectedValueException ArrayAccess Closure Generator Iterator IteratorAggregate Serializable Throwable Traversable WeakReference Directory __PHP_Incomplete_Class parent php_user_filter self static stdClass\"};return{aliases:[\"php\",\"php3\",\"php4\",\"php5\",\"php6\",\"php7\"],case_insensitive:!0,keywords:i,contains:[e.HASH_COMMENT_MODE,e.COMMENT(\"//\",\"$\",{contains:[a]}),e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),e.COMMENT(\"__halt_compiler.+?;\",!1,{endsWithParent:!0,keywords:\"__halt_compiler\",lexemes:e.UNDERSCORE_IDENT_RE}),{className:\"string\",begin:/<<<['\"]?\\w+['\"]?$/,end:/^\\w+;?$/,contains:[e.BACKSLASH_ESCAPE,{className:\"subst\",variants:[{begin:/\\$\\w+/},{begin:/\\{\\$/,end:/\\}/}]}]},a,{className:\"keyword\",begin:/\\$this\\b/},t,{begin:/(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/},{className:\"function\",beginKeywords:\"fn function\",end:/[;{]/,excludeEnd:!0,illegal:\"[$%\\\\[]\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",excludeBegin:!0,excludeEnd:!0,keywords:i,contains:[\"self\",t,e.C_BLOCK_COMMENT_MODE,n,r]}]},{className:\"class\",beginKeywords:\"class interface\",end:\"{\",excludeEnd:!0,illegal:/[:\\(\\$\"]/,contains:[{beginKeywords:\"extends implements\"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"namespace\",end:\";\",illegal:/[\\.']/,contains:[e.UNDERSCORE_TITLE_MODE]},{beginKeywords:\"use\",end:\";\",contains:[e.UNDERSCORE_TITLE_MODE]},{begin:\"=>\"},n,r]}};var us=function(e){return{name:\"PHP template\",subLanguage:\"xml\",contains:[{begin:/<\\?(php|=)?/,end:/\\?>/,subLanguage:\"php\",contains:[{begin:\"/\\\\*\",end:\"\\\\*/\",skip:!0},{begin:'b\"',end:'\"',skip:!0},{begin:\"b'\",end:\"'\",skip:!0},e.inherit(e.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]}]}};var ms=function(e){return{name:\"Plain text\",aliases:[\"text\",\"txt\"],disableAutodetect:!0}};var ps=function(e){return{name:\"Pony\",keywords:{keyword:\"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor\",meta:\"iso val tag trn box ref\",literal:\"this false true\"},contains:[{className:\"type\",begin:\"\\\\b_?[A-Z][\\\\w]*\",relevance:0},{className:\"string\",begin:'\"\"\"',end:'\"\"\"',relevance:10},{className:\"string\",begin:'\"',end:'\"',contains:[e.BACKSLASH_ESCAPE]},{className:\"string\",begin:\"'\",end:\"'\",contains:[e.BACKSLASH_ESCAPE],relevance:0},{begin:e.IDENT_RE+\"'\",relevance:0},{className:\"number\",begin:\"(-?)(\\\\b0[xX][a-fA-F0-9]+|\\\\b0[bB][01]+|(\\\\b\\\\d+(_\\\\d+)?(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)\",relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}};var Es=function(e){var t={keyword:\"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter\",built_in:\"ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write\"},a={begin:\"`[\\\\s\\\\S]\",relevance:0},n={className:\"variable\",variants:[{begin:/\\$\\B/},{className:\"keyword\",begin:/\\$this/},{begin:/\\$[\\w\\d][\\w\\d_:]*/}]},r={className:\"string\",variants:[{begin:/\"/,end:/\"/},{begin:/@\"/,end:/^\"@/}],contains:[a,n,{className:\"variable\",begin:/\\$[A-z]/,end:/[^A-z]/}]},i={className:\"string\",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},o=e.inherit(e.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[{className:\"doctag\",variants:[{begin:/\\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\\s+\\S+/}]}]}),s={className:\"built_in\",variants:[{begin:\"(\".concat(\"Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|New|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Complete|Confirm|Deny|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where\",\")+(-)[\\\\w\\\\d]+\")}]},l={className:\"class\",beginKeywords:\"class enum\",end:/\\s*[{]/,excludeEnd:!0,relevance:0,contains:[e.TITLE_MODE]},_={className:\"function\",begin:/function\\s+/,end:/\\s*\\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:\"function\",relevance:0,className:\"keyword\"},{className:\"title\",begin:/\\w[\\w\\d]*((-)[\\w\\d]+)*/,relevance:0},{begin:/\\(/,end:/\\)/,className:\"params\",relevance:0,contains:[n]}]},c={begin:/using\\s/,end:/$/,returnBegin:!0,contains:[r,i,{className:\"keyword\",begin:/(using|assembly|command|module|namespace|type)/}]},d={variants:[{className:\"operator\",begin:\"(\".concat(\"-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor\",\")\\\\b\")},{className:\"literal\",begin:/(-)[\\w\\d]+/,relevance:0}]},u={className:\"function\",begin:/\\[.*\\]\\s*[\\w]+[ ]??\\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:\"keyword\",begin:\"(\".concat(t.keyword.toString().replace(/\\s/g,\"|\"),\")\\\\b\"),endsParent:!0,relevance:0},e.inherit(e.TITLE_MODE,{endsParent:!0})]},m=[u,o,a,e.NUMBER_MODE,r,i,s,n,{className:\"literal\",begin:/\\$(null|true|false)\\b/},{className:\"selector-tag\",begin:/\\@\\B/,relevance:0}],p={begin:/\\[/,end:/\\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat(\"self\",m,{begin:\"(\"+[\"string\",\"char\",\"byte\",\"int\",\"long\",\"bool\",\"decimal\",\"single\",\"double\",\"DateTime\",\"xml\",\"array\",\"hashtable\",\"void\"].join(\"|\")+\")\",className:\"built_in\",relevance:0},{className:\"type\",begin:/[\\.\\w\\d]+/,relevance:0})};return u.contains.unshift(p),{name:\"PowerShell\",aliases:[\"ps\",\"ps1\"],lexemes:/-?[A-z\\.\\-]+\\b/,case_insensitive:!0,keywords:t,contains:m.concat(l,_,c,d,p)}};var gs=function(e){return{name:\"Processing\",keywords:{keyword:\"BufferedReader PVector PFont PImage PGraphics HashMap boolean byte char color double float int long String Array FloatDict FloatList IntDict IntList JSONArray JSONObject Object StringDict StringList Table TableRow XML false synchronized int abstract float private char boolean static null if const for true while long throw strictfp finally protected import native final return void enum else break transient new catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private\",literal:\"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI\",title:\"setup draw\",built_in:\"displayHeight displayWidth mouseY mouseX mousePressed pmouseX pmouseY key keyCode pixels focused frameCount frameRate height width size createGraphics beginDraw createShape loadShape PShape arc ellipse line point quad rect triangle bezier bezierDetail bezierPoint bezierTangent curve curveDetail curvePoint curveTangent curveTightness shape shapeMode beginContour beginShape bezierVertex curveVertex endContour endShape quadraticVertex vertex ellipseMode noSmooth rectMode smooth strokeCap strokeJoin strokeWeight mouseClicked mouseDragged mouseMoved mousePressed mouseReleased mouseWheel keyPressed keyPressedkeyReleased keyTyped print println save saveFrame day hour millis minute month second year background clear colorMode fill noFill noStroke stroke alpha blue brightness color green hue lerpColor red saturation modelX modelY modelZ screenX screenY screenZ ambient emissive shininess specular add createImage beginCamera camera endCamera frustum ortho perspective printCamera printProjection cursor frameRate noCursor exit loop noLoop popStyle pushStyle redraw binary boolean byte char float hex int str unbinary unhex join match matchAll nf nfc nfp nfs split splitTokens trim append arrayCopy concat expand reverse shorten sort splice subset box sphere sphereDetail createInput createReader loadBytes loadJSONArray loadJSONObject loadStrings loadTable loadXML open parseXML saveTable selectFolder selectInput beginRaw beginRecord createOutput createWriter endRaw endRecord PrintWritersaveBytes saveJSONArray saveJSONObject saveStream saveStrings saveXML selectOutput popMatrix printMatrix pushMatrix resetMatrix rotate rotateX rotateY rotateZ scale shearX shearY translate ambientLight directionalLight lightFalloff lights lightSpecular noLights normal pointLight spotLight image imageMode loadImage noTint requestImage tint texture textureMode textureWrap blend copy filter get loadPixels set updatePixels blendMode loadShader PShaderresetShader shader createFont loadFont text textFont textAlign textLeading textMode textSize textWidth textAscent textDescent abs ceil constrain dist exp floor lerp log mag map max min norm pow round sq sqrt acos asin atan atan2 cos degrees radians sin tan noise noiseDetail noiseSeed random randomGaussian randomSeed\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}};var Ss=function(e){return{name:\"Python profiler\",contains:[e.C_NUMBER_MODE,{begin:\"[a-zA-Z_][\\\\da-zA-Z_]+\\\\.[\\\\da-zA-Z_]{1,3}\",end:\":\",excludeEnd:!0},{begin:\"(ncalls|tottime|cumtime)\",end:\"$\",keywords:\"ncalls tottime|10 cumtime|10 filename\",relevance:10},{begin:\"function calls\",end:\"$\",contains:[e.C_NUMBER_MODE],relevance:10},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"\\\\(\",end:\"\\\\)$\",excludeBegin:!0,excludeEnd:!0,relevance:0}]}};var Ts=function(e){var t={begin:/\\(/,end:/\\)/,relevance:0},a={begin:/\\[/,end:/\\]/},n={className:\"comment\",begin:/%/,end:/$/,contains:[e.PHRASAL_WORDS_MODE]},r={className:\"string\",begin:/`/,end:/`/,contains:[e.BACKSLASH_ESCAPE]},i=[{begin:/[a-z][A-Za-z0-9_]*/,relevance:0},{className:\"symbol\",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},t,{begin:/:-/},a,n,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,r,{className:\"string\",begin:/0\\'(\\\\\\'|.)/},{className:\"string\",begin:/0\\'\\\\s/},e.C_NUMBER_MODE];return t.contains=i,a.contains=i,{name:\"Prolog\",contains:i.concat([{begin:/\\.$/}])}};var bs=function(e){var t=\"[ \\\\t\\\\f]*\",a=\"(\"+t+\"[:=]\"+t+\"|[ \\\\t\\\\f]+)\",n=\"([^\\\\\\\\:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\",r={end:a,relevance:0,starts:{className:\"string\",end:/$/,relevance:0,contains:[{begin:\"\\\\\\\\\\\\n\"}]}};return{name:\".properties\",case_insensitive:!0,illegal:/\\S/,contains:[e.COMMENT(\"^\\\\s*[!#]\",\"$\"),{begin:\"([^\\\\\\\\\\\\W:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\"+a,returnBegin:!0,contains:[{className:\"attr\",begin:\"([^\\\\\\\\\\\\W:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\",endsParent:!0,relevance:0}],starts:r},{begin:n+a,returnBegin:!0,relevance:0,contains:[{className:\"meta\",begin:n,endsParent:!0,relevance:0}],starts:r},{className:\"attr\",relevance:0,begin:n+t+\"$\"}]}};var fs=function(e){return{name:\"Protocol Buffers\",keywords:{keyword:\"package import option optional required repeated group oneof\",built_in:\"double float int32 int64 uint32 uint64 sint32 sint64 fixed32 fixed64 sfixed32 sfixed64 bool string bytes\",literal:\"true false\"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,{className:\"class\",beginKeywords:\"message enum service\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{className:\"function\",beginKeywords:\"rpc\",end:/[{;]/,excludeEnd:!0,keywords:\"rpc returns\"},{begin:/^\\s*[A-Z_]+/,end:/\\s*=/,excludeEnd:!0}]}};var Cs=function(e){var t=e.COMMENT(\"#\",\"$\"),a=e.inherit(e.TITLE_MODE,{begin:\"([A-Za-z_]|::)(\\\\w|::)*\"}),n={className:\"variable\",begin:\"\\\\$([A-Za-z_]|::)(\\\\w|::)*\"},r={className:\"string\",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/}]};return{name:\"Puppet\",aliases:[\"pp\"],contains:[t,n,r,{beginKeywords:\"class\",end:\"\\\\{|;\",illegal:/=/,contains:[a,t]},{beginKeywords:\"define\",end:/\\{/,contains:[{className:\"section\",begin:e.IDENT_RE,endsParent:!0}]},{begin:e.IDENT_RE+\"\\\\s+\\\\{\",returnBegin:!0,end:/\\S/,contains:[{className:\"keyword\",begin:e.IDENT_RE},{begin:/\\{/,end:/\\}/,keywords:{keyword:\"and case default else elsif false if in import enherits node or true undef unless main settings $string \",literal:\"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted\",built_in:\"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version\"},relevance:0,contains:[r,t,{begin:\"[a-zA-Z_]+\\\\s*=>\",returnBegin:!0,end:\"=>\",contains:[{className:\"attr\",begin:e.IDENT_RE}]},{className:\"number\",begin:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},n]}],relevance:0}]}};var Rs=function(e){return{name:\"PureBASIC\",aliases:[\"pb\",\"pbi\"],keywords:\"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr\",contains:[e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"function\",begin:\"\\\\b(Procedure|Declare)(C|CDLL|DLL)?\\\\b\",end:\"\\\\(\",excludeEnd:!0,returnBegin:!0,contains:[{className:\"keyword\",begin:\"(Procedure|Declare)(C|CDLL|DLL)?\",excludeEnd:!0},{className:\"type\",begin:\"\\\\.\\\\w*\"},e.UNDERSCORE_TITLE_MODE]},{className:\"string\",begin:'(~)?\"',end:'\"',illegal:\"\\\\n\"},{className:\"symbol\",begin:\"#[a-zA-Z_]\\\\w*\\\\$?\"}]}};var Ns=function(e){var t={keyword:\"and elif is global as in if from raise for except finally print import pass return exec else break not with class assert yield try while continue del or def lambda async await nonlocal|10\",built_in:\"Ellipsis NotImplemented\",literal:\"False None True\"},a={className:\"meta\",begin:/^(>>>|\\.\\.\\.) /},n={className:\"subst\",begin:/\\{/,end:/\\}/,keywords:t,illegal:/#/},r={begin:/\\{\\{/,relevance:0},i={className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,a],relevance:10},{begin:/(u|b)?r?\"\"\"/,end:/\"\"\"/,contains:[e.BACKSLASH_ESCAPE,a],relevance:10},{begin:/(fr|rf|f)'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,a,r,n]},{begin:/(fr|rf|f)\"\"\"/,end:/\"\"\"/,contains:[e.BACKSLASH_ESCAPE,a,r,n]},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)\"/,end:/\"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)\"/,end:/\"/},{begin:/(fr|rf|f)'/,end:/'/,contains:[e.BACKSLASH_ESCAPE,r,n]},{begin:/(fr|rf|f)\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,r,n]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},o={className:\"number\",relevance:0,variants:[{begin:e.BINARY_NUMBER_RE+\"[lLjJ]?\"},{begin:\"\\\\b(0o[0-7]+)[lLjJ]?\"},{begin:e.C_NUMBER_RE+\"[lLjJ]?\"}]},s={className:\"params\",variants:[{begin:/\\(\\s*\\)/,skip:!0,className:null},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,contains:[\"self\",a,o,i,e.HASH_COMMENT_MODE]}]};return n.contains=[i,o,a],{name:\"Python\",aliases:[\"py\",\"gyp\",\"ipython\"],keywords:t,illegal:/(<\\/|->|\\?)|=>/,contains:[a,o,{beginKeywords:\"if\",relevance:0},i,e.HASH_COMMENT_MODE,{variants:[{className:\"function\",beginKeywords:\"def\"},{className:\"class\",beginKeywords:\"class\"}],end:/:/,illegal:/[${=;\\n,]/,contains:[e.UNDERSCORE_TITLE_MODE,s,{begin:/->/,endsWithParent:!0,keywords:\"None\"}]},{className:\"meta\",begin:/^[\\t ]*@/,end:/$/},{begin:/\\b(print|exec)\\(/}]}};var Os=function(e){return{aliases:[\"pycon\"],contains:[{className:\"meta\",starts:{end:/ |$/,starts:{end:\"$\",subLanguage:\"python\"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\\.\\.\\.(?=[ ]|$)/}]}]}};var vs=function(e){return{name:\"Q\",aliases:[\"k\",\"kdb\"],keywords:{keyword:\"do while select delete by update from\",literal:\"0b 1b\",built_in:\"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum\",type:\"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid\"},lexemes:/(`?)[A-Za-z0-9_]+\\b/,contains:[e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}};var Is=function(e){var t=\"[a-zA-Z_][a-zA-Z0-9\\\\._]*\",a={className:\"attribute\",begin:\"\\\\bid\\\\s*:\",starts:{className:\"string\",end:t,returnEnd:!1}},n={begin:t+\"\\\\s*:\",returnBegin:!0,contains:[{className:\"attribute\",begin:t,end:\"\\\\s*:\",excludeEnd:!0,relevance:0}],relevance:0},r={begin:t+\"\\\\s*{\",end:\"{\",returnBegin:!0,relevance:0,contains:[e.inherit(e.TITLE_MODE,{begin:t})]};return{name:\"QML\",aliases:[\"qt\"],case_insensitive:!1,keywords:{keyword:\"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import\",literal:\"true false null undefined NaN Infinity\",built_in:\"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise\"},contains:[{className:\"meta\",begin:/^\\s*['\"]use (strict|asm)['\"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:\"string\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE,{className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"number\",variants:[{begin:\"\\\\b(0[bB][01]+)\"},{begin:\"\\\\b(0[oO][0-7]+)\"},{begin:e.C_NUMBER_RE}],relevance:0},{begin:\"(\"+e.RE_STARTERS_RE+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",keywords:\"return throw case\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{begin:/</,end:/>\\s*[);\\]]/,relevance:0,subLanguage:\"xml\"}],relevance:0},{className:\"keyword\",begin:\"\\\\bsignal\\\\b\",starts:{className:\"string\",end:\"(\\\\(|:|=|;|,|//|/\\\\*|$)\",returnEnd:!0}},{className:\"keyword\",begin:\"\\\\bproperty\\\\b\",starts:{className:\"string\",end:\"(:|=|;|,|//|/\\\\*|$)\",returnEnd:!0}},{className:\"function\",beginKeywords:\"function\",end:/\\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}],illegal:/\\[|%/},{begin:\"\\\\.\"+e.IDENT_RE,relevance:0},a,n,r],illegal:/#/}};var hs=function(e){var t=\"([a-zA-Z]|\\\\.[a-zA-Z.])[a-zA-Z0-9._]*\";return{name:\"R\",contains:[e.HASH_COMMENT_MODE,{begin:t,lexemes:t,keywords:{keyword:\"function if in break next repeat else for return switch while try tryCatch stop warning require library attach detach source setMethod setGeneric setGroupGeneric setClass ...\",literal:\"NULL NA TRUE FALSE T F Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10\"},relevance:0},{className:\"number\",begin:\"0[xX][0-9a-fA-F]+[Li]?\\\\b\",relevance:0},{className:\"number\",begin:\"\\\\d+(?:[eE][+\\\\-]?\\\\d*)?L\\\\b\",relevance:0},{className:\"number\",begin:\"\\\\d+\\\\.(?!\\\\d)(?:i\\\\b)?\",relevance:0},{className:\"number\",begin:\"\\\\d+(?:\\\\.\\\\d*)?(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",relevance:0},{className:\"number\",begin:\"\\\\.\\\\d+(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",relevance:0},{begin:\"`\",end:\"`\",relevance:0},{className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:'\"',end:'\"'},{begin:\"'\",end:\"'\"}]}]}};var As=function(e){var t=\"~?[a-z$_][0-9a-zA-Z$_]*\",a=\"`?[A-Z$_][0-9a-zA-Z$_]*\",n=\"(\"+([\"||\",\"&&\",\"++\",\"**\",\"+.\",\"*\",\"/\",\"*.\",\"/.\",\"...\",\"|>\"].map((function(e){return e.split(\"\").map((function(e){return\"\\\\\"+e})).join(\"\")})).join(\"|\")+\"|==|===)\"),r=\"\\\\s+\"+n+\"\\\\s+\",i={keyword:\"and as asr assert begin class constraint do done downto else end exception external for fun function functor if in include inherit initializer land lazy let lor lsl lsr lxor match method mod module mutable new nonrec object of open or private rec sig struct then to try type val virtual when while with\",built_in:\"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 ref string unit \",literal:\"true false\"},o=\"\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)\",s={className:\"number\",relevance:0,variants:[{begin:o},{begin:\"\\\\(\\\\-\"+o+\"\\\\)\"}]},l={className:\"operator\",relevance:0,begin:n},_=[{className:\"identifier\",relevance:0,begin:t},l,s],c=[e.QUOTE_STRING_MODE,l,{className:\"module\",begin:\"\\\\b\"+a,returnBegin:!0,end:\".\",contains:[{className:\"identifier\",begin:a,relevance:0}]}],d=[{className:\"module\",begin:\"\\\\b\"+a,returnBegin:!0,end:\".\",relevance:0,contains:[{className:\"identifier\",begin:a,relevance:0}]}],u={className:\"function\",relevance:0,keywords:i,variants:[{begin:\"\\\\s(\\\\(\\\\.?.*?\\\\)|\"+t+\")\\\\s*=>\",end:\"\\\\s*=>\",returnBegin:!0,relevance:0,contains:[{className:\"params\",variants:[{begin:t},{begin:\"~?[a-z$_][0-9a-zA-Z$_]*(s*:s*[a-z$_][0-9a-z$_]*((s*('?[a-z$_][0-9a-z$_]*s*(,'?[a-z$_][0-9a-z$_]*)*)?s*))?)?(s*:s*[a-z$_][0-9a-z$_]*((s*('?[a-z$_][0-9a-z$_]*s*(,'?[a-z$_][0-9a-z$_]*)*)?s*))?)?\"},{begin:/\\(\\s*\\)/}]}]},{begin:\"\\\\s\\\\(\\\\.?[^;\\\\|]*\\\\)\\\\s*=>\",end:\"\\\\s=>\",returnBegin:!0,relevance:0,contains:[{className:\"params\",relevance:0,variants:[{begin:t,end:\"(,|\\\\n|\\\\))\",relevance:0,contains:[l,{className:\"typing\",begin:\":\",end:\"(,|\\\\n)\",returnBegin:!0,relevance:0,contains:d}]}]}]},{begin:\"\\\\(\\\\.\\\\s\"+t+\"\\\\)\\\\s*=>\"}]};c.push(u);var m={className:\"constructor\",begin:a+\"\\\\(\",end:\"\\\\)\",illegal:\"\\\\n\",keywords:i,contains:[e.QUOTE_STRING_MODE,l,{className:\"params\",begin:\"\\\\b\"+t}]},p={className:\"pattern-match\",begin:\"\\\\|\",returnBegin:!0,keywords:i,end:\"=>\",relevance:0,contains:[m,l,{relevance:0,className:\"constructor\",begin:a}]},E={className:\"module-access\",keywords:i,returnBegin:!0,variants:[{begin:\"\\\\b(\"+a+\"\\\\.)+\"+t},{begin:\"\\\\b(\"+a+\"\\\\.)+\\\\(\",end:\"\\\\)\",returnBegin:!0,contains:[u,{begin:\"\\\\(\",end:\"\\\\)\",skip:!0}].concat(c)},{begin:\"\\\\b(\"+a+\"\\\\.)+{\",end:\"}\"}],contains:c};return d.push(E),{name:\"ReasonML\",aliases:[\"re\"],keywords:i,illegal:\"(:\\\\-|:=|\\\\${|\\\\+=)\",contains:[e.COMMENT(\"/\\\\*\",\"\\\\*/\",{illegal:\"^(\\\\#,\\\\/\\\\/)\"}),{className:\"character\",begin:\"'(\\\\\\\\[^']+|[^'])'\",illegal:\"\\\\n\",relevance:0},e.QUOTE_STRING_MODE,{className:\"literal\",begin:\"\\\\(\\\\)\",relevance:0},{className:\"literal\",begin:\"\\\\[\\\\|\",end:\"\\\\|\\\\]\",relevance:0,contains:_},{className:\"literal\",begin:\"\\\\[\",end:\"\\\\]\",relevance:0,contains:_},m,{className:\"operator\",begin:r,illegal:\"\\\\-\\\\->\",relevance:0},s,e.C_LINE_COMMENT_MODE,p,u,{className:\"module-def\",begin:\"\\\\bmodule\\\\s+\"+t+\"\\\\s+\"+a+\"\\\\s+=\\\\s+{\",end:\"}\",returnBegin:!0,keywords:i,relevance:0,contains:[{className:\"module\",relevance:0,begin:a},{begin:\"{\",end:\"}\",skip:!0}].concat(c)},E]}};var ys=function(e){return{name:\"RenderMan RIB\",keywords:\"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd\",illegal:\"</\",contains:[e.HASH_COMMENT_MODE,e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}};var Ds=function(e){var t={className:\"attribute\",begin:/[a-zA-Z-_]+/,end:/\\s*:/,excludeEnd:!0,starts:{end:\";\",relevance:0,contains:[{className:\"variable\",begin:/\\.[a-zA-Z-_]+/},{className:\"keyword\",begin:/\\(optional\\)/}]}};return{name:\"Roboconf\",aliases:[\"graph\",\"instances\"],case_insensitive:!0,keywords:\"import\",contains:[{begin:\"^facet [a-zA-Z-_][^\\\\n{]+\\\\{\",end:\"}\",keywords:\"facet\",contains:[t,e.HASH_COMMENT_MODE]},{begin:\"^\\\\s*instance of [a-zA-Z-_][^\\\\n{]+\\\\{\",end:\"}\",keywords:\"name count channels instance-data instance-state instance of\",illegal:/\\S/,contains:[\"self\",t,e.HASH_COMMENT_MODE]},{begin:\"^[a-zA-Z-_][^\\\\n{]+\\\\{\",end:\"}\",contains:[t,e.HASH_COMMENT_MODE]},e.HASH_COMMENT_MODE]}};var Ms=function(e){var t=\"foreach do while for if from to step else on-error and or not in\",a=\"true false yes no nothing nil null\",n={className:\"variable\",variants:[{begin:/\\$[\\w\\d#@][\\w\\d_]*/},{begin:/\\$\\{(.*?)}/}]},r={className:\"string\",begin:/\"/,end:/\"/,contains:[e.BACKSLASH_ESCAPE,n,{className:\"variable\",begin:/\\$\\(/,end:/\\)/,contains:[e.BACKSLASH_ESCAPE]}]},i={className:\"string\",begin:/'/,end:/'/};return{name:\"Microtik RouterOS script\",aliases:[\"routeros\",\"mikrotik\"],case_insensitive:!0,lexemes:/:?[\\w-]+/,keywords:{literal:a,keyword:t+\" :\"+t.split(\" \").join(\" :\")+\" :\"+\"global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime\".split(\" \").join(\" :\")},contains:[{variants:[{begin:/^@/,end:/$/},{begin:/\\/\\*/,end:/\\*\\//},{begin:/%%/,end:/$/},{begin:/^'/,end:/$/},{begin:/^\\s*\\/[\\w-]+=/,end:/$/},{begin:/\\/\\//,end:/$/},{begin:/^\\[\\</,end:/\\>\\]$/},{begin:/<\\//,end:/>/},{begin:/^facet /,end:/\\}/},{begin:\"^1\\\\.\\\\.(\\\\d+)$\",end:/$/}],illegal:/./},e.COMMENT(\"^#\",\"$\"),r,i,n,{begin:/[\\w-]+\\=([^\\s\\{\\}\\[\\]\\(\\)]+)/,relevance:0,returnBegin:!0,contains:[{className:\"attribute\",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[r,i,n,{className:\"literal\",begin:\"\\\\b(\"+a.split(\" \").join(\"|\")+\")\\\\b\"},{begin:/(\"[^\"]*\"|[^\\s\\{\\}\\[\\]]+)/}]}]},{className:\"number\",begin:/\\*[0-9a-fA-F]+/},{begin:\"\\\\b(\"+\"add remove enable disable set get print export edit find run debug error info warning\".split(\" \").join(\"|\")+\")([\\\\s[(]|])\",returnBegin:!0,contains:[{className:\"builtin-name\",begin:/\\w+/}]},{className:\"built_in\",variants:[{begin:\"(\\\\.\\\\./|/|\\\\s)((\"+\"traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firewall firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw\".split(\" \").join(\"|\")+\");?\\\\s)+\",relevance:10},{begin:/\\.\\./}]}]}};var Ls=function(e){return{name:\"RenderMan RSL\",keywords:{keyword:\"float color point normal vector matrix while for if do return else break extern continue\",built_in:\"abs acos ambient area asin atan atmosphere attribute calculatenormal ceil cellnoise clamp comp concat cos degrees depth Deriv diffuse distance Du Dv environment exp faceforward filterstep floor format fresnel incident length lightsource log match max min mod noise normalize ntransform opposite option phong pnoise pow printf ptlined radians random reflect refract renderinfo round setcomp setxcomp setycomp setzcomp shadow sign sin smoothstep specular specularbrdf spline sqrt step tan texture textureinfo trace transform vtransform xcomp ycomp zcomp\"},illegal:\"</\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"#\",end:\"$\"},{className:\"class\",beginKeywords:\"surface displacement light volume imager\",end:\"\\\\(\"},{beginKeywords:\"illuminate illuminance gather\",end:\"\\\\(\"}]}};var xs=function(e){return{name:\"Oracle Rules Language\",keywords:{keyword:\"BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING\",built_in:\"IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:\"literal\",variants:[{begin:\"#\\\\s+[a-zA-Z\\\\ \\\\.]*\",relevance:0},{begin:\"#[a-zA-Z\\\\ \\\\.]+\"}]}]}};var ws=function(e){var t=\"([ui](8|16|32|64|128|size)|f(32|64))?\",a=\"drop i8 i16 i32 i64 i128 isize u8 u16 u32 u64 u128 usize f32 f64 str char bool Box Option Result String Vec Copy Send Sized Sync Drop Fn FnMut FnOnce ToOwned Clone Debug PartialEq PartialOrd Eq Ord AsRef AsMut Into From Default Iterator Extend IntoIterator DoubleEndedIterator ExactSizeIterator SliceConcatExt ToString assert! assert_eq! bitflags! bytes! cfg! col! concat! concat_idents! debug_assert! debug_assert_eq! env! panic! file! format! format_args! include_bin! include_str! line! local_data_key! module_path! option_env! print! println! select! stringify! try! unimplemented! unreachable! vec! write! writeln! macro_rules! assert_ne! debug_assert_ne!\";return{name:\"Rust\",aliases:[\"rs\"],keywords:{keyword:\"abstract as async await become box break const continue crate do dyn else enum extern false final fn for if impl in let loop macro match mod move mut override priv pub ref return self Self static struct super trait true try type typeof unsafe unsized use virtual where while yield\",literal:\"true false Some None Ok Err\",built_in:a},lexemes:e.IDENT_RE+\"!?\",illegal:\"</\",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[\"self\"]}),e.inherit(e.QUOTE_STRING_MODE,{begin:/b?\"/,illegal:null}),{className:\"string\",variants:[{begin:/r(#*)\"(.|\\n)*?\"\\1(?!#)/},{begin:/b?'\\\\?(x\\w{2}|u\\w{4}|U\\w{8}|.)'/}]},{className:\"symbol\",begin:/'[a-zA-Z_][a-zA-Z0-9_]*/},{className:\"number\",variants:[{begin:\"\\\\b0b([01_]+)\"+t},{begin:\"\\\\b0o([0-7_]+)\"+t},{begin:\"\\\\b0x([A-Fa-f0-9_]+)\"+t},{begin:\"\\\\b(\\\\d[\\\\d_]*(\\\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)\"+t}],relevance:0},{className:\"function\",beginKeywords:\"fn\",end:\"(\\\\(|<)\",excludeEnd:!0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:\"meta\",begin:\"#\\\\!?\\\\[\",end:\"\\\\]\",contains:[{className:\"meta-string\",begin:/\"/,end:/\"/}]},{className:\"class\",beginKeywords:\"type\",end:\";\",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{endsParent:!0})],illegal:\"\\\\S\"},{className:\"class\",beginKeywords:\"trait enum struct union\",end:\"{\",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{endsParent:!0})],illegal:\"[\\\\w\\\\d]\"},{begin:e.IDENT_RE+\"::\",keywords:{built_in:a}},{begin:\"->\"}]}};var Ps=function(e){return{name:\"SAS\",aliases:[\"sas\",\"SAS\"],case_insensitive:!0,keywords:{literal:\"null missing _all_ _automatic_ _character_ _infile_ _n_ _name_ _null_ _numeric_ _user_ _webout_\",meta:\"do if then else end until while abort array attrib by call cards cards4 catname continue datalines datalines4 delete delim delimiter display dm drop endsas error file filename footnote format goto in infile informat input keep label leave length libname link list lostcard merge missing modify options output out page put redirect remove rename replace retain return select set skip startsas stop title update waitsas where window x systask add and alter as cascade check create delete describe distinct drop foreign from group having index insert into in key like message modify msgtype not null on or order primary references reset restrict select set table unique update validate view where\"},contains:[{className:\"keyword\",begin:/^\\s*(proc [\\w\\d_]+|data|run|quit)[\\s\\;]/},{className:\"variable\",begin:/\\&[a-zA-Z_\\&][a-zA-Z0-9_]*\\.?/},{className:\"emphasis\",begin:/^\\s*datalines|cards.*;/,end:/^\\s*;\\s*$/},{className:\"built_in\",begin:\"%(bquote|nrbquote|cmpres|qcmpres|compstor|datatyp|display|do|else|end|eval|global|goto|if|index|input|keydef|label|left|length|let|local|lowcase|macro|mend|nrbquote|nrquote|nrstr|put|qcmpres|qleft|qlowcase|qscan|qsubstr|qsysfunc|qtrim|quote|qupcase|scan|str|substr|superq|syscall|sysevalf|sysexec|sysfunc|sysget|syslput|sysprod|sysrc|sysrput|then|to|trim|unquote|until|upcase|verify|while|window)\"},{className:\"name\",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:\"meta\",begin:\"[^%](abs|addr|airy|arcos|arsin|atan|attrc|attrn|band|betainv|blshift|bnot|bor|brshift|bxor|byte|cdf|ceil|cexist|cinv|close|cnonct|collate|compbl|compound|compress|cos|cosh|css|curobs|cv|daccdb|daccdbsl|daccsl|daccsyd|dacctab|dairy|date|datejul|datepart|datetime|day|dclose|depdb|depdbsl|depdbsl|depsl|depsl|depsyd|depsyd|deptab|deptab|dequote|dhms|dif|digamma|dim|dinfo|dnum|dopen|doptname|doptnum|dread|dropnote|dsname|erf|erfc|exist|exp|fappend|fclose|fcol|fdelete|fetch|fetchobs|fexist|fget|fileexist|filename|fileref|finfo|finv|fipname|fipnamel|fipstate|floor|fnonct|fnote|fopen|foptname|foptnum|fpoint|fpos|fput|fread|frewind|frlen|fsep|fuzz|fwrite|gaminv|gamma|getoption|getvarc|getvarn|hbound|hms|hosthelp|hour|ibessel|index|indexc|indexw|input|inputc|inputn|int|intck|intnx|intrr|irr|jbessel|juldate|kurtosis|lag|lbound|left|length|lgamma|libname|libref|log|log10|log2|logpdf|logpmf|logsdf|lowcase|max|mdy|mean|min|minute|mod|month|mopen|mort|n|netpv|nmiss|normal|note|npv|open|ordinal|pathname|pdf|peek|peekc|pmf|point|poisson|poke|probbeta|probbnml|probchi|probf|probgam|probhypr|probit|probnegb|probnorm|probt|put|putc|putn|qtr|quote|ranbin|rancau|ranexp|rangam|range|rank|rannor|ranpoi|rantbl|rantri|ranuni|repeat|resolve|reverse|rewind|right|round|saving|scan|sdf|second|sign|sin|sinh|skewness|soundex|spedis|sqrt|std|stderr|stfips|stname|stnamel|substr|sum|symget|sysget|sysmsg|sysprod|sysrc|system|tan|tanh|time|timepart|tinv|tnonct|today|translate|tranwrd|trigamma|trim|trimn|trunc|uniform|upcase|uss|var|varfmt|varinfmt|varlabel|varlen|varname|varnum|varray|varrayx|vartype|verify|vformat|vformatd|vformatdx|vformatn|vformatnx|vformatw|vformatwx|vformatx|vinarray|vinarrayx|vinformat|vinformatd|vinformatdx|vinformatn|vinformatnx|vinformatw|vinformatwx|vinformatx|vlabel|vlabelx|vlength|vlengthx|vname|vnamex|vtype|vtypex|weekday|year|yyq|zipfips|zipname|zipnamel|zipstate)[(]\"},{className:\"string\",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.COMMENT(\"\\\\*\",\";\"),e.C_BLOCK_COMMENT_MODE]}};var ks=function(e){var t={className:\"subst\",variants:[{begin:\"\\\\$[A-Za-z0-9_]+\"},{begin:\"\\\\${\",end:\"}\"}]},a={className:\"string\",variants:[{begin:'\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE]},{begin:'\"\"\"',end:'\"\"\"',relevance:10},{begin:'[a-z]+\"',end:'\"',illegal:\"\\\\n\",contains:[e.BACKSLASH_ESCAPE,t]},{className:\"string\",begin:'[a-z]+\"\"\"',end:'\"\"\"',contains:[t],relevance:10}]},n={className:\"type\",begin:\"\\\\b[A-Z][A-Za-z0-9_]*\",relevance:0},r={className:\"title\",begin:/[^0-9\\n\\t \"'(),.`{}\\[\\]:;][^\\n\\t \"'(),.`{}\\[\\]:;]+|[^0-9\\n\\t \"'(),.`{}\\[\\]:;=]/,relevance:0},i={className:\"class\",beginKeywords:\"class object trait type\",end:/[:={\\[\\n;]/,excludeEnd:!0,contains:[{beginKeywords:\"extends with\",relevance:10},{begin:/\\[/,end:/\\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[n]},{className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[n]},r]},o={className:\"function\",beginKeywords:\"def\",end:/[:={\\[(\\n;]/,excludeEnd:!0,contains:[r]};return{name:\"Scala\",keywords:{literal:\"true false null\",keyword:\"type yield lazy override def with val var sealed abstract private trait object if forSome for while throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,{className:\"symbol\",begin:\"'\\\\w[\\\\w\\\\d_]*(?!')\"},n,o,i,e.C_NUMBER_MODE,{className:\"meta\",begin:\"@[A-Za-z]+\"}]}};var Us=function(e){var t=\"[^\\\\(\\\\)\\\\[\\\\]\\\\{\\\\}\\\",'`;#|\\\\\\\\\\\\s]+\",a={className:\"literal\",begin:\"(#t|#f|#\\\\\\\\\"+t+\"|#\\\\\\\\.)\"},n={className:\"number\",variants:[{begin:\"(\\\\-|\\\\+)?\\\\d+([./]\\\\d+)?\",relevance:0},{begin:\"(\\\\-|\\\\+)?\\\\d+([./]\\\\d+)?[+\\\\-](\\\\-|\\\\+)?\\\\d+([./]\\\\d+)?i\",relevance:0},{begin:\"#b[0-1]+(/[0-1]+)?\"},{begin:\"#o[0-7]+(/[0-7]+)?\"},{begin:\"#x[0-9a-f]+(/[0-9a-f]+)?\"}]},r=e.QUOTE_STRING_MODE,i=[e.COMMENT(\";\",\"$\",{relevance:0}),e.COMMENT(\"#\\\\|\",\"\\\\|#\")],o={begin:t,relevance:0},s={className:\"symbol\",begin:\"'\"+t},l={endsWithParent:!0,relevance:0},_={variants:[{begin:/'/},{begin:\"`\"}],contains:[{begin:\"\\\\(\",end:\"\\\\)\",contains:[\"self\",a,r,n,o,s]}]},c={className:\"name\",begin:t,lexemes:t,keywords:{\"builtin-name\":\"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?\"}},d={variants:[{begin:\"\\\\(\",end:\"\\\\)\"},{begin:\"\\\\[\",end:\"\\\\]\"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[c,{begin:/\\(/,end:/\\)/,endsParent:!0,contains:[o]}]},c,l]};return l.contains=[a,n,r,o,s,_,d].concat(i),{name:\"Scheme\",illegal:/\\S/,contains:[{className:\"meta\",begin:\"^#!\",end:\"$\"},n,r,s,_,d].concat(i)}};var Fs=function(e){var t=[e.C_NUMBER_MODE,{className:\"string\",begin:\"'|\\\"\",end:\"'|\\\"\",contains:[e.BACKSLASH_ESCAPE,{begin:\"''\"}]}];return{name:\"Scilab\",aliases:[\"sci\"],lexemes:/%?\\w+/,keywords:{keyword:\"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while\",literal:\"%f %F %t %T %pi %eps %inf %nan %e %i %z %s\",built_in:\"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix\"},illegal:'(\"|#|/\\\\*|\\\\s+/\\\\w+)',contains:[{className:\"function\",beginKeywords:\"function\",end:\"$\",contains:[e.UNDERSCORE_TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]},{begin:\"[a-zA-Z_][a-zA-Z_0-9]*('+[\\\\.']*|[\\\\.']+)\",end:\"\",relevance:0},{begin:\"\\\\[\",end:\"\\\\]'*[\\\\.']*\",relevance:0,contains:t},e.COMMENT(\"//\",\"$\")].concat(t)}};var Bs=function(e){var t={className:\"variable\",begin:\"(\\\\$[a-zA-Z-][a-zA-Z0-9_-]*)\\\\b\"},a={className:\"number\",begin:\"#[0-9A-Fa-f]+\"};return e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_BLOCK_COMMENT_MODE,{name:\"SCSS\",case_insensitive:!0,illegal:\"[=/|']\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"selector-id\",begin:\"\\\\#[A-Za-z0-9_-]+\",relevance:0},{className:\"selector-class\",begin:\"\\\\.[A-Za-z0-9_-]+\",relevance:0},{className:\"selector-attr\",begin:\"\\\\[\",end:\"\\\\]\",illegal:\"$\"},{className:\"selector-tag\",begin:\"\\\\b(a|abbr|acronym|address|area|article|aside|audio|b|base|big|blockquote|body|br|button|canvas|caption|cite|code|col|colgroup|command|datalist|dd|del|details|dfn|div|dl|dt|em|embed|fieldset|figcaption|figure|footer|form|frame|frameset|(h[1-6])|head|header|hgroup|hr|html|i|iframe|img|input|ins|kbd|keygen|label|legend|li|link|map|mark|meta|meter|nav|noframes|noscript|object|ol|optgroup|option|output|p|param|pre|progress|q|rp|rt|ruby|samp|script|section|select|small|span|strike|strong|style|sub|sup|table|tbody|td|textarea|tfoot|th|thead|time|title|tr|tt|ul|var|video)\\\\b\",relevance:0},{className:\"selector-pseudo\",begin:\":(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)\"},{className:\"selector-pseudo\",begin:\"::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)\"},t,{className:\"attribute\",begin:\"\\\\b(src|z-index|word-wrap|word-spacing|word-break|width|widows|white-space|visibility|vertical-align|unicode-bidi|transition-timing-function|transition-property|transition-duration|transition-delay|transition|transform-style|transform-origin|transform|top|text-underline-position|text-transform|text-shadow|text-rendering|text-overflow|text-indent|text-decoration-style|text-decoration-line|text-decoration-color|text-decoration|text-align-last|text-align|tab-size|table-layout|right|resize|quotes|position|pointer-events|perspective-origin|perspective|page-break-inside|page-break-before|page-break-after|padding-top|padding-right|padding-left|padding-bottom|padding|overflow-y|overflow-x|overflow-wrap|overflow|outline-width|outline-style|outline-offset|outline-color|outline|orphans|order|opacity|object-position|object-fit|normal|none|nav-up|nav-right|nav-left|nav-index|nav-down|min-width|min-height|max-width|max-height|mask|marks|margin-top|margin-right|margin-left|margin-bottom|margin|list-style-type|list-style-position|list-style-image|list-style|line-height|letter-spacing|left|justify-content|initial|inherit|ime-mode|image-orientation|image-resolution|image-rendering|icon|hyphens|height|font-weight|font-variant-ligatures|font-variant|font-style|font-stretch|font-size-adjust|font-size|font-language-override|font-kerning|font-feature-settings|font-family|font|float|flex-wrap|flex-shrink|flex-grow|flex-flow|flex-direction|flex-basis|flex|filter|empty-cells|display|direction|cursor|counter-reset|counter-increment|content|column-width|column-span|column-rule-width|column-rule-style|column-rule-color|column-rule|column-gap|column-fill|column-count|columns|color|clip-path|clip|clear|caption-side|break-inside|break-before|break-after|box-sizing|box-shadow|box-decoration-break|bottom|border-width|border-top-width|border-top-style|border-top-right-radius|border-top-left-radius|border-top-color|border-top|border-style|border-spacing|border-right-width|border-right-style|border-right-color|border-right|border-radius|border-left-width|border-left-style|border-left-color|border-left|border-image-width|border-image-source|border-image-slice|border-image-repeat|border-image-outset|border-image|border-color|border-collapse|border-bottom-width|border-bottom-style|border-bottom-right-radius|border-bottom-left-radius|border-bottom-color|border-bottom|border|background-size|background-repeat|background-position|background-origin|background-image|background-color|background-clip|background-attachment|background-blend-mode|background|backface-visibility|auto|animation-timing-function|animation-play-state|animation-name|animation-iteration-count|animation-fill-mode|animation-duration|animation-direction|animation-delay|animation|align-self|align-items|align-content)\\\\b\",illegal:\"[^\\\\s]\"},{begin:\"\\\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\\\b\"},{begin:\":\",end:\";\",contains:[t,a,e.CSS_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:\"meta\",begin:\"!important\"}]},{begin:\"@(page|font-face)\",lexemes:\"@[a-z-]+\",keywords:\"@page @font-face\"},{begin:\"@\",end:\"[{;]\",returnBegin:!0,keywords:\"and or not only\",contains:[{begin:\"@[a-z-]+\",className:\"keyword\"},t,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,a,e.CSS_NUMBER_MODE]}]}};var Gs=function(e){return{name:\"Shell Session\",aliases:[\"console\"],contains:[{className:\"meta\",begin:\"^\\\\s{0,3}[/\\\\w\\\\d\\\\[\\\\]()@-]*[>%$#]\",starts:{end:\"$\",subLanguage:\"bash\"}}]}};var Ys=function(e){var t=[\"add\",\"and\",\"cmp\",\"cmpg\",\"cmpl\",\"const\",\"div\",\"double\",\"float\",\"goto\",\"if\",\"int\",\"long\",\"move\",\"mul\",\"neg\",\"new\",\"nop\",\"not\",\"or\",\"rem\",\"return\",\"shl\",\"shr\",\"sput\",\"sub\",\"throw\",\"ushr\",\"xor\"];return{name:\"Smali\",aliases:[\"smali\"],contains:[{className:\"string\",begin:'\"',end:'\"',relevance:0},e.COMMENT(\"#\",\"$\",{relevance:0}),{className:\"keyword\",variants:[{begin:\"\\\\s*\\\\.end\\\\s[a-zA-Z0-9]*\"},{begin:\"^[ ]*\\\\.[a-zA-Z]*\",relevance:0},{begin:\"\\\\s:[a-zA-Z_0-9]*\",relevance:0},{begin:\"\\\\s(\"+[\"transient\",\"constructor\",\"abstract\",\"final\",\"synthetic\",\"public\",\"private\",\"protected\",\"static\",\"bridge\",\"system\"].join(\"|\")+\")\"}]},{className:\"built_in\",variants:[{begin:\"\\\\s(\"+t.join(\"|\")+\")\\\\s\"},{begin:\"\\\\s(\"+t.join(\"|\")+\")((\\\\-|/)[a-zA-Z0-9]+)+\\\\s\",relevance:10},{begin:\"\\\\s(\"+[\"aget\",\"aput\",\"array\",\"check\",\"execute\",\"fill\",\"filled\",\"goto/16\",\"goto/32\",\"iget\",\"instance\",\"invoke\",\"iput\",\"monitor\",\"packed\",\"sget\",\"sparse\"].join(\"|\")+\")((\\\\-|/)[a-zA-Z0-9]+)*\\\\s\",relevance:10}]},{className:\"class\",begin:\"L[^(;:\\n]*;\",relevance:0},{begin:\"[vp][0-9]+\"}]}};var Hs=function(e){var t={className:\"string\",begin:\"\\\\$.{1}\"},a={className:\"symbol\",begin:\"#\"+e.UNDERSCORE_IDENT_RE};return{name:\"Smalltalk\",aliases:[\"st\"],keywords:\"self super nil true false thisContext\",contains:[e.COMMENT('\"','\"'),e.APOS_STRING_MODE,{className:\"type\",begin:\"\\\\b[A-Z][A-Za-z0-9_]*\",relevance:0},{begin:\"[a-z][a-zA-Z0-9_]*:\",relevance:0},e.C_NUMBER_MODE,a,t,{begin:\"\\\\|[ ]*[a-z][a-zA-Z0-9_]*([ ]+[a-z][a-zA-Z0-9_]*)*[ ]*\\\\|\",returnBegin:!0,end:/\\|/,illegal:/\\S/,contains:[{begin:\"(\\\\|[ ]*)?[a-z][a-zA-Z0-9_]*\"}]},{begin:\"\\\\#\\\\(\",end:\"\\\\)\",contains:[e.APOS_STRING_MODE,t,e.C_NUMBER_MODE,a]}]}};var Vs=function(e){return{name:\"SML (Standard ML)\",aliases:[\"ml\"],keywords:{keyword:\"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while\",built_in:\"array bool char exn int list option order real ref string substring vector unit word\",literal:\"true false NONE SOME LESS EQUAL GREATER nil\"},illegal:/\\/\\/|>>/,lexemes:\"[a-z_]\\\\w*!?\",contains:[{className:\"literal\",begin:/\\[(\\|\\|)?\\]|\\(\\)/,relevance:0},e.COMMENT(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{contains:[\"self\"]}),{className:\"symbol\",begin:\"'[A-Za-z_](?!')[\\\\w']*\"},{className:\"type\",begin:\"`[A-Z][\\\\w']*\"},{className:\"type\",begin:\"\\\\b[A-Z][\\\\w']*\",relevance:0},{begin:\"[a-z_]\\\\w*'[\\\\w']*\"},e.inherit(e.APOS_STRING_MODE,{className:\"string\",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"number\",begin:\"\\\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)\",relevance:0},{begin:/[-=]>/}]}};var qs=function(e){var t={className:\"string\",variants:[{begin:'\"',end:'\"',contains:[{begin:'\"\"',relevance:0}]},{begin:\"'\",end:\"'\",contains:[{begin:\"''\",relevance:0}]}]},a={className:\"meta\",begin:/#\\s*[a-z]+\\b/,end:/$/,keywords:{\"meta-keyword\":\"define undef ifdef ifndef else endif include\"},contains:[{begin:/\\\\\\n/,relevance:0},e.inherit(t,{className:\"meta-string\"}),{className:\"meta-string\",begin:/<[^\\n>]*>/,end:/$/,illegal:\"\\\\n\"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:\"SQF\",aliases:[\"sqf\"],case_insensitive:!0,keywords:{keyword:\"case catch default do else exit exitWith for forEach from if private switch then throw to try waitUntil while with\",built_in:\"abs accTime acos action actionIDs actionKeys actionKeysImages actionKeysNames actionKeysNamesArray actionName actionParams activateAddons activatedAddons activateKey add3DENConnection add3DENEventHandler add3DENLayer addAction addBackpack addBackpackCargo addBackpackCargoGlobal addBackpackGlobal addCamShake addCuratorAddons addCuratorCameraArea addCuratorEditableObjects addCuratorEditingArea addCuratorPoints addEditorObject addEventHandler addForce addGoggles addGroupIcon addHandgunItem addHeadgear addItem addItemCargo addItemCargoGlobal addItemPool addItemToBackpack addItemToUniform addItemToVest addLiveStats addMagazine addMagazineAmmoCargo addMagazineCargo addMagazineCargoGlobal addMagazineGlobal addMagazinePool addMagazines addMagazineTurret addMenu addMenuItem addMissionEventHandler addMPEventHandler addMusicEventHandler addOwnedMine addPlayerScores addPrimaryWeaponItem addPublicVariableEventHandler addRating addResources addScore addScoreSide addSecondaryWeaponItem addSwitchableUnit addTeamMember addToRemainsCollector addTorque addUniform addVehicle addVest addWaypoint addWeapon addWeaponCargo addWeaponCargoGlobal addWeaponGlobal addWeaponItem addWeaponPool addWeaponTurret admin agent agents AGLToASL aimedAtTarget aimPos airDensityRTD airplaneThrottle airportSide AISFinishHeal alive all3DENEntities allAirports allControls allCurators allCutLayers allDead allDeadMen allDisplays allGroups allMapMarkers allMines allMissionObjects allow3DMode allowCrewInImmobile allowCuratorLogicIgnoreAreas allowDamage allowDammage allowFileOperations allowFleeing allowGetIn allowSprint allPlayers allSimpleObjects allSites allTurrets allUnits allUnitsUAV allVariables ammo ammoOnPylon and animate animateBay animateDoor animatePylon animateSource animationNames animationPhase animationSourcePhase animationState append apply armoryPoints arrayIntersect asin ASLToAGL ASLToATL assert assignAsCargo assignAsCargoIndex assignAsCommander assignAsDriver assignAsGunner assignAsTurret assignCurator assignedCargo assignedCommander assignedDriver assignedGunner assignedItems assignedTarget assignedTeam assignedVehicle assignedVehicleRole assignItem assignTeam assignToAirport atan atan2 atg ATLToASL attachedObject attachedObjects attachedTo attachObject attachTo attackEnabled backpack backpackCargo backpackContainer backpackItems backpackMagazines backpackSpaceFor behaviour benchmark binocular boundingBox boundingBoxReal boundingCenter breakOut breakTo briefingName buildingExit buildingPos buttonAction buttonSetAction cadetMode call callExtension camCommand camCommit camCommitPrepared camCommitted camConstuctionSetParams camCreate camDestroy cameraEffect cameraEffectEnableHUD cameraInterest cameraOn cameraView campaignConfigFile camPreload camPreloaded camPrepareBank camPrepareDir camPrepareDive camPrepareFocus camPrepareFov camPrepareFovRange camPreparePos camPrepareRelPos camPrepareTarget camSetBank camSetDir camSetDive camSetFocus camSetFov camSetFovRange camSetPos camSetRelPos camSetTarget camTarget camUseNVG canAdd canAddItemToBackpack canAddItemToUniform canAddItemToVest cancelSimpleTaskDestination canFire canMove canSlingLoad canStand canSuspend canTriggerDynamicSimulation canUnloadInCombat canVehicleCargo captive captiveNum cbChecked cbSetChecked ceil channelEnabled cheatsEnabled checkAIFeature checkVisibility className clearAllItemsFromBackpack clearBackpackCargo clearBackpackCargoGlobal clearGroupIcons clearItemCargo clearItemCargoGlobal clearItemPool clearMagazineCargo clearMagazineCargoGlobal clearMagazinePool clearOverlay clearRadio clearWeaponCargo clearWeaponCargoGlobal clearWeaponPool clientOwner closeDialog closeDisplay closeOverlay collapseObjectTree collect3DENHistory collectiveRTD combatMode commandArtilleryFire commandChat commander commandFire commandFollow commandFSM commandGetOut commandingMenu commandMove commandRadio commandStop commandSuppressiveFire commandTarget commandWatch comment commitOverlay compile compileFinal completedFSM composeText configClasses configFile configHierarchy configName configProperties configSourceAddonList configSourceMod configSourceModList confirmSensorTarget connectTerminalToUAV controlsGroupCtrl copyFromClipboard copyToClipboard copyWaypoints cos count countEnemy countFriendly countSide countType countUnknown create3DENComposition create3DENEntity createAgent createCenter createDialog createDiaryLink createDiaryRecord createDiarySubject createDisplay createGearDialog createGroup createGuardedPoint createLocation createMarker createMarkerLocal createMenu createMine createMissionDisplay createMPCampaignDisplay createSimpleObject createSimpleTask createSite createSoundSource createTask createTeam createTrigger createUnit createVehicle createVehicleCrew createVehicleLocal crew ctAddHeader ctAddRow ctClear ctCurSel ctData ctFindHeaderRows ctFindRowHeader ctHeaderControls ctHeaderCount ctRemoveHeaders ctRemoveRows ctrlActivate ctrlAddEventHandler ctrlAngle ctrlAutoScrollDelay ctrlAutoScrollRewind ctrlAutoScrollSpeed ctrlChecked ctrlClassName ctrlCommit ctrlCommitted ctrlCreate ctrlDelete ctrlEnable ctrlEnabled ctrlFade ctrlHTMLLoaded ctrlIDC ctrlIDD ctrlMapAnimAdd ctrlMapAnimClear ctrlMapAnimCommit ctrlMapAnimDone ctrlMapCursor ctrlMapMouseOver ctrlMapScale ctrlMapScreenToWorld ctrlMapWorldToScreen ctrlModel ctrlModelDirAndUp ctrlModelScale ctrlParent ctrlParentControlsGroup ctrlPosition ctrlRemoveAllEventHandlers ctrlRemoveEventHandler ctrlScale ctrlSetActiveColor ctrlSetAngle ctrlSetAutoScrollDelay ctrlSetAutoScrollRewind ctrlSetAutoScrollSpeed ctrlSetBackgroundColor ctrlSetChecked ctrlSetEventHandler ctrlSetFade ctrlSetFocus ctrlSetFont ctrlSetFontH1 ctrlSetFontH1B ctrlSetFontH2 ctrlSetFontH2B ctrlSetFontH3 ctrlSetFontH3B ctrlSetFontH4 ctrlSetFontH4B ctrlSetFontH5 ctrlSetFontH5B ctrlSetFontH6 ctrlSetFontH6B ctrlSetFontHeight ctrlSetFontHeightH1 ctrlSetFontHeightH2 ctrlSetFontHeightH3 ctrlSetFontHeightH4 ctrlSetFontHeightH5 ctrlSetFontHeightH6 ctrlSetFontHeightSecondary ctrlSetFontP ctrlSetFontPB ctrlSetFontSecondary ctrlSetForegroundColor ctrlSetModel ctrlSetModelDirAndUp ctrlSetModelScale ctrlSetPixelPrecision ctrlSetPosition ctrlSetScale ctrlSetStructuredText ctrlSetText ctrlSetTextColor ctrlSetTooltip ctrlSetTooltipColorBox ctrlSetTooltipColorShade ctrlSetTooltipColorText ctrlShow ctrlShown ctrlText ctrlTextHeight ctrlTextWidth ctrlType ctrlVisible ctRowControls ctRowCount ctSetCurSel ctSetData ctSetHeaderTemplate ctSetRowTemplate ctSetValue ctValue curatorAddons curatorCamera curatorCameraArea curatorCameraAreaCeiling curatorCoef curatorEditableObjects curatorEditingArea curatorEditingAreaType curatorMouseOver curatorPoints curatorRegisteredObjects curatorSelected curatorWaypointCost current3DENOperation currentChannel currentCommand currentMagazine currentMagazineDetail currentMagazineDetailTurret currentMagazineTurret currentMuzzle currentNamespace currentTask currentTasks currentThrowable currentVisionMode currentWaypoint currentWeapon currentWeaponMode currentWeaponTurret currentZeroing cursorObject cursorTarget customChat customRadio cutFadeOut cutObj cutRsc cutText damage date dateToNumber daytime deActivateKey debriefingText debugFSM debugLog deg delete3DENEntities deleteAt deleteCenter deleteCollection deleteEditorObject deleteGroup deleteGroupWhenEmpty deleteIdentity deleteLocation deleteMarker deleteMarkerLocal deleteRange deleteResources deleteSite deleteStatus deleteTeam deleteVehicle deleteVehicleCrew deleteWaypoint detach detectedMines diag_activeMissionFSMs diag_activeScripts diag_activeSQFScripts diag_activeSQSScripts diag_captureFrame diag_captureFrameToFile diag_captureSlowFrame diag_codePerformance diag_drawMode diag_enable diag_enabled diag_fps diag_fpsMin diag_frameNo diag_lightNewLoad diag_list diag_log diag_logSlowFrame diag_mergeConfigFile diag_recordTurretLimits diag_setLightNew diag_tickTime diag_toggle dialog diarySubjectExists didJIP didJIPOwner difficulty difficultyEnabled difficultyEnabledRTD difficultyOption direction directSay disableAI disableCollisionWith disableConversation disableDebriefingStats disableMapIndicators disableNVGEquipment disableRemoteSensors disableSerialization disableTIEquipment disableUAVConnectability disableUserInput displayAddEventHandler displayCtrl displayParent displayRemoveAllEventHandlers displayRemoveEventHandler displaySetEventHandler dissolveTeam distance distance2D distanceSqr distributionRegion do3DENAction doArtilleryFire doFire doFollow doFSM doGetOut doMove doorPhase doStop doSuppressiveFire doTarget doWatch drawArrow drawEllipse drawIcon drawIcon3D drawLine drawLine3D drawLink drawLocation drawPolygon drawRectangle drawTriangle driver drop dynamicSimulationDistance dynamicSimulationDistanceCoef dynamicSimulationEnabled dynamicSimulationSystemEnabled echo edit3DENMissionAttributes editObject editorSetEventHandler effectiveCommander emptyPositions enableAI enableAIFeature enableAimPrecision enableAttack enableAudioFeature enableAutoStartUpRTD enableAutoTrimRTD enableCamShake enableCaustics enableChannel enableCollisionWith enableCopilot enableDebriefingStats enableDiagLegend enableDynamicSimulation enableDynamicSimulationSystem enableEndDialog enableEngineArtillery enableEnvironment enableFatigue enableGunLights enableInfoPanelComponent enableIRLasers enableMimics enablePersonTurret enableRadio enableReload enableRopeAttach enableSatNormalOnDetail enableSaving enableSentences enableSimulation enableSimulationGlobal enableStamina enableTeamSwitch enableTraffic enableUAVConnectability enableUAVWaypoints enableVehicleCargo enableVehicleSensor enableWeaponDisassembly endLoadingScreen endMission engineOn enginesIsOnRTD enginesRpmRTD enginesTorqueRTD entities environmentEnabled estimatedEndServerTime estimatedTimeLeft evalObjectArgument everyBackpack everyContainer exec execEditorScript execFSM execVM exp expectedDestination exportJIPMessages eyeDirection eyePos face faction fadeMusic fadeRadio fadeSound fadeSpeech failMission fillWeaponsFromPool find findCover findDisplay findEditorObject findEmptyPosition findEmptyPositionReady findIf findNearestEnemy finishMissionInit finite fire fireAtTarget firstBackpack flag flagAnimationPhase flagOwner flagSide flagTexture fleeing floor flyInHeight flyInHeightASL fog fogForecast fogParams forceAddUniform forcedMap forceEnd forceFlagTexture forceFollowRoad forceMap forceRespawn forceSpeed forceWalk forceWeaponFire forceWeatherChange forEachMember forEachMemberAgent forEachMemberTeam forgetTarget format formation formationDirection formationLeader formationMembers formationPosition formationTask formatText formLeader freeLook fromEditor fuel fullCrew gearIDCAmmoCount gearSlotAmmoCount gearSlotData get3DENActionState get3DENAttribute get3DENCamera get3DENConnections get3DENEntity get3DENEntityID get3DENGrid get3DENIconsVisible get3DENLayerEntities get3DENLinesVisible get3DENMissionAttribute get3DENMouseOver get3DENSelected getAimingCoef getAllEnvSoundControllers getAllHitPointsDamage getAllOwnedMines getAllSoundControllers getAmmoCargo getAnimAimPrecision getAnimSpeedCoef getArray getArtilleryAmmo getArtilleryComputerSettings getArtilleryETA getAssignedCuratorLogic getAssignedCuratorUnit getBackpackCargo getBleedingRemaining getBurningValue getCameraViewDirection getCargoIndex getCenterOfMass getClientState getClientStateNumber getCompatiblePylonMagazines getConnectedUAV getContainerMaxLoad getCursorObjectParams getCustomAimCoef getDammage getDescription getDir getDirVisual getDLCAssetsUsage getDLCAssetsUsageByName getDLCs getEditorCamera getEditorMode getEditorObjectScope getElevationOffset getEnvSoundController getFatigue getForcedFlagTexture getFriend getFSMVariable getFuelCargo getGroupIcon getGroupIconParams getGroupIcons getHideFrom getHit getHitIndex getHitPointDamage getItemCargo getMagazineCargo getMarkerColor getMarkerPos getMarkerSize getMarkerType getMass getMissionConfig getMissionConfigValue getMissionDLCs getMissionLayerEntities getModelInfo getMousePosition getMusicPlayedTime getNumber getObjectArgument getObjectChildren getObjectDLC getObjectMaterials getObjectProxy getObjectTextures getObjectType getObjectViewDistance getOxygenRemaining getPersonUsedDLCs getPilotCameraDirection getPilotCameraPosition getPilotCameraRotation getPilotCameraTarget getPlateNumber getPlayerChannel getPlayerScores getPlayerUID getPos getPosASL getPosASLVisual getPosASLW getPosATL getPosATLVisual getPosVisual getPosWorld getPylonMagazines getRelDir getRelPos getRemoteSensorsDisabled getRepairCargo getResolution getShadowDistance getShotParents getSlingLoad getSoundController getSoundControllerResult getSpeed getStamina getStatValue getSuppression getTerrainGrid getTerrainHeightASL getText getTotalDLCUsageTime getUnitLoadout getUnitTrait getUserMFDText getUserMFDvalue getVariable getVehicleCargo getWeaponCargo getWeaponSway getWingsOrientationRTD getWingsPositionRTD getWPPos glanceAt globalChat globalRadio goggles goto group groupChat groupFromNetId groupIconSelectable groupIconsVisible groupId groupOwner groupRadio groupSelectedUnits groupSelectUnit gunner gusts halt handgunItems handgunMagazine handgunWeapon handsHit hasInterface hasPilotCamera hasWeapon hcAllGroups hcGroupParams hcLeader hcRemoveAllGroups hcRemoveGroup hcSelected hcSelectGroup hcSetGroup hcShowBar hcShownBar headgear hideBody hideObject hideObjectGlobal hideSelection hint hintC hintCadet hintSilent hmd hostMission htmlLoad HUDMovementLevels humidity image importAllGroups importance in inArea inAreaArray incapacitatedState inflame inflamed infoPanel infoPanelComponentEnabled infoPanelComponents infoPanels inGameUISetEventHandler inheritsFrom initAmbientLife inPolygon inputAction inRangeOfArtillery insertEditorObject intersect is3DEN is3DENMultiplayer isAbleToBreathe isAgent isArray isAutoHoverOn isAutonomous isAutotest isBleeding isBurning isClass isCollisionLightOn isCopilotEnabled isDamageAllowed isDedicated isDLCAvailable isEngineOn isEqualTo isEqualType isEqualTypeAll isEqualTypeAny isEqualTypeArray isEqualTypeParams isFilePatchingEnabled isFlashlightOn isFlatEmpty isForcedWalk isFormationLeader isGroupDeletedWhenEmpty isHidden isInRemainsCollector isInstructorFigureEnabled isIRLaserOn isKeyActive isKindOf isLaserOn isLightOn isLocalized isManualFire isMarkedForCollection isMultiplayer isMultiplayerSolo isNil isNull isNumber isObjectHidden isObjectRTD isOnRoad isPipEnabled isPlayer isRealTime isRemoteExecuted isRemoteExecutedJIP isServer isShowing3DIcons isSimpleObject isSprintAllowed isStaminaEnabled isSteamMission isStreamFriendlyUIEnabled isText isTouchingGround isTurnedOut isTutHintsEnabled isUAVConnectable isUAVConnected isUIContext isUniformAllowed isVehicleCargo isVehicleRadarOn isVehicleSensorEnabled isWalking isWeaponDeployed isWeaponRested itemCargo items itemsWithMagazines join joinAs joinAsSilent joinSilent joinString kbAddDatabase kbAddDatabaseTargets kbAddTopic kbHasTopic kbReact kbRemoveTopic kbTell kbWasSaid keyImage keyName knowsAbout land landAt landResult language laserTarget lbAdd lbClear lbColor lbColorRight lbCurSel lbData lbDelete lbIsSelected lbPicture lbPictureRight lbSelection lbSetColor lbSetColorRight lbSetCurSel lbSetData lbSetPicture lbSetPictureColor lbSetPictureColorDisabled lbSetPictureColorSelected lbSetPictureRight lbSetPictureRightColor lbSetPictureRightColorDisabled lbSetPictureRightColorSelected lbSetSelectColor lbSetSelectColorRight lbSetSelected lbSetText lbSetTextRight lbSetTooltip lbSetValue lbSize lbSort lbSortByValue lbText lbTextRight lbValue leader leaderboardDeInit leaderboardGetRows leaderboardInit leaderboardRequestRowsFriends leaderboardsRequestUploadScore leaderboardsRequestUploadScoreKeepBest leaderboardState leaveVehicle libraryCredits libraryDisclaimers lifeState lightAttachObject lightDetachObject lightIsOn lightnings limitSpeed linearConversion lineIntersects lineIntersectsObjs lineIntersectsSurfaces lineIntersectsWith linkItem list listObjects listRemoteTargets listVehicleSensors ln lnbAddArray lnbAddColumn lnbAddRow lnbClear lnbColor lnbCurSelRow lnbData lnbDeleteColumn lnbDeleteRow lnbGetColumnsPosition lnbPicture lnbSetColor lnbSetColumnsPos lnbSetCurSelRow lnbSetData lnbSetPicture lnbSetText lnbSetValue lnbSize lnbSort lnbSortByValue lnbText lnbValue load loadAbs loadBackpack loadFile loadGame loadIdentity loadMagazine loadOverlay loadStatus loadUniform loadVest local localize locationPosition lock lockCameraTo lockCargo lockDriver locked lockedCargo lockedDriver lockedTurret lockIdentity lockTurret lockWP log logEntities logNetwork logNetworkTerminate lookAt lookAtPos magazineCargo magazines magazinesAllTurrets magazinesAmmo magazinesAmmoCargo magazinesAmmoFull magazinesDetail magazinesDetailBackpack magazinesDetailUniform magazinesDetailVest magazinesTurret magazineTurretAmmo mapAnimAdd mapAnimClear mapAnimCommit mapAnimDone mapCenterOnCamera mapGridPosition markAsFinishedOnSteam markerAlpha markerBrush markerColor markerDir markerPos markerShape markerSize markerText markerType max members menuAction menuAdd menuChecked menuClear menuCollapse menuData menuDelete menuEnable menuEnabled menuExpand menuHover menuPicture menuSetAction menuSetCheck menuSetData menuSetPicture menuSetValue menuShortcut menuShortcutText menuSize menuSort menuText menuURL menuValue min mineActive mineDetectedBy missionConfigFile missionDifficulty missionName missionNamespace missionStart missionVersion mod modelToWorld modelToWorldVisual modelToWorldVisualWorld modelToWorldWorld modParams moonIntensity moonPhase morale move move3DENCamera moveInAny moveInCargo moveInCommander moveInDriver moveInGunner moveInTurret moveObjectToEnd moveOut moveTime moveTo moveToCompleted moveToFailed musicVolume name nameSound nearEntities nearestBuilding nearestLocation nearestLocations nearestLocationWithDubbing nearestObject nearestObjects nearestTerrainObjects nearObjects nearObjectsReady nearRoads nearSupplies nearTargets needReload netId netObjNull newOverlay nextMenuItemIndex nextWeatherChange nMenuItems not numberOfEnginesRTD numberToDate objectCurators objectFromNetId objectParent objStatus onBriefingGroup onBriefingNotes onBriefingPlan onBriefingTeamSwitch onCommandModeChanged onDoubleClick onEachFrame onGroupIconClick onGroupIconOverEnter onGroupIconOverLeave onHCGroupSelectionChanged onMapSingleClick onPlayerConnected onPlayerDisconnected onPreloadFinished onPreloadStarted onShowNewObject onTeamSwitch openCuratorInterface openDLCPage openMap openSteamApp openYoutubeVideo or orderGetIn overcast overcastForecast owner param params parseNumber parseSimpleArray parseText parsingNamespace particlesQuality pickWeaponPool pitch pixelGrid pixelGridBase pixelGridNoUIScale pixelH pixelW playableSlotsNumber playableUnits playAction playActionNow player playerRespawnTime playerSide playersNumber playGesture playMission playMove playMoveNow playMusic playScriptedMission playSound playSound3D position positionCameraToWorld posScreenToWorld posWorldToScreen ppEffectAdjust ppEffectCommit ppEffectCommitted ppEffectCreate ppEffectDestroy ppEffectEnable ppEffectEnabled ppEffectForceInNVG precision preloadCamera preloadObject preloadSound preloadTitleObj preloadTitleRsc preprocessFile preprocessFileLineNumbers primaryWeapon primaryWeaponItems primaryWeaponMagazine priority processDiaryLink productVersion profileName profileNamespace profileNameSteam progressLoadingScreen progressPosition progressSetPosition publicVariable publicVariableClient publicVariableServer pushBack pushBackUnique putWeaponPool queryItemsPool queryMagazinePool queryWeaponPool rad radioChannelAdd radioChannelCreate radioChannelRemove radioChannelSetCallSign radioChannelSetLabel radioVolume rain rainbow random rank rankId rating rectangular registeredTasks registerTask reload reloadEnabled remoteControl remoteExec remoteExecCall remoteExecutedOwner remove3DENConnection remove3DENEventHandler remove3DENLayer removeAction removeAll3DENEventHandlers removeAllActions removeAllAssignedItems removeAllContainers removeAllCuratorAddons removeAllCuratorCameraAreas removeAllCuratorEditingAreas removeAllEventHandlers removeAllHandgunItems removeAllItems removeAllItemsWithMagazines removeAllMissionEventHandlers removeAllMPEventHandlers removeAllMusicEventHandlers removeAllOwnedMines removeAllPrimaryWeaponItems removeAllWeapons removeBackpack removeBackpackGlobal removeCuratorAddons removeCuratorCameraArea removeCuratorEditableObjects removeCuratorEditingArea removeDrawIcon removeDrawLinks removeEventHandler removeFromRemainsCollector removeGoggles removeGroupIcon removeHandgunItem removeHeadgear removeItem removeItemFromBackpack removeItemFromUniform removeItemFromVest removeItems removeMagazine removeMagazineGlobal removeMagazines removeMagazinesTurret removeMagazineTurret removeMenuItem removeMissionEventHandler removeMPEventHandler removeMusicEventHandler removeOwnedMine removePrimaryWeaponItem removeSecondaryWeaponItem removeSimpleTask removeSwitchableUnit removeTeamMember removeUniform removeVest removeWeapon removeWeaponAttachmentCargo removeWeaponCargo removeWeaponGlobal removeWeaponTurret reportRemoteTarget requiredVersion resetCamShake resetSubgroupDirection resize resources respawnVehicle restartEditorCamera reveal revealMine reverse reversedMouseY roadAt roadsConnectedTo roleDescription ropeAttachedObjects ropeAttachedTo ropeAttachEnabled ropeAttachTo ropeCreate ropeCut ropeDestroy ropeDetach ropeEndPosition ropeLength ropes ropeUnwind ropeUnwound rotorsForcesRTD rotorsRpmRTD round runInitScript safeZoneH safeZoneW safeZoneWAbs safeZoneX safeZoneXAbs safeZoneY save3DENInventory saveGame saveIdentity saveJoysticks saveOverlay saveProfileNamespace saveStatus saveVar savingEnabled say say2D say3D scopeName score scoreSide screenshot screenToWorld scriptDone scriptName scudState secondaryWeapon secondaryWeaponItems secondaryWeaponMagazine select selectBestPlaces selectDiarySubject selectedEditorObjects selectEditorObject selectionNames selectionPosition selectLeader selectMax selectMin selectNoPlayer selectPlayer selectRandom selectRandomWeighted selectWeapon selectWeaponTurret sendAUMessage sendSimpleCommand sendTask sendTaskResult sendUDPMessage serverCommand serverCommandAvailable serverCommandExecutable serverName serverTime set set3DENAttribute set3DENAttributes set3DENGrid set3DENIconsVisible set3DENLayer set3DENLinesVisible set3DENLogicType set3DENMissionAttribute set3DENMissionAttributes set3DENModelsVisible set3DENObjectType set3DENSelected setAccTime setActualCollectiveRTD setAirplaneThrottle setAirportSide setAmmo setAmmoCargo setAmmoOnPylon setAnimSpeedCoef setAperture setApertureNew setArmoryPoints setAttributes setAutonomous setBehaviour setBleedingRemaining setBrakesRTD setCameraInterest setCamShakeDefParams setCamShakeParams setCamUseTI setCaptive setCenterOfMass setCollisionLight setCombatMode setCompassOscillation setConvoySeparation setCuratorCameraAreaCeiling setCuratorCoef setCuratorEditingAreaType setCuratorWaypointCost setCurrentChannel setCurrentTask setCurrentWaypoint setCustomAimCoef setCustomWeightRTD setDamage setDammage setDate setDebriefingText setDefaultCamera setDestination setDetailMapBlendPars setDir setDirection setDrawIcon setDriveOnPath setDropInterval setDynamicSimulationDistance setDynamicSimulationDistanceCoef setEditorMode setEditorObjectScope setEffectCondition setEngineRPMRTD setFace setFaceAnimation setFatigue setFeatureType setFlagAnimationPhase setFlagOwner setFlagSide setFlagTexture setFog setFormation setFormationTask setFormDir setFriend setFromEditor setFSMVariable setFuel setFuelCargo setGroupIcon setGroupIconParams setGroupIconsSelectable setGroupIconsVisible setGroupId setGroupIdGlobal setGroupOwner setGusts setHideBehind setHit setHitIndex setHitPointDamage setHorizonParallaxCoef setHUDMovementLevels setIdentity setImportance setInfoPanel setLeader setLightAmbient setLightAttenuation setLightBrightness setLightColor setLightDayLight setLightFlareMaxDistance setLightFlareSize setLightIntensity setLightnings setLightUseFlare setLocalWindParams setMagazineTurretAmmo setMarkerAlpha setMarkerAlphaLocal setMarkerBrush setMarkerBrushLocal setMarkerColor setMarkerColorLocal setMarkerDir setMarkerDirLocal setMarkerPos setMarkerPosLocal setMarkerShape setMarkerShapeLocal setMarkerSize setMarkerSizeLocal setMarkerText setMarkerTextLocal setMarkerType setMarkerTypeLocal setMass setMimic setMousePosition setMusicEffect setMusicEventHandler setName setNameSound setObjectArguments setObjectMaterial setObjectMaterialGlobal setObjectProxy setObjectTexture setObjectTextureGlobal setObjectViewDistance setOvercast setOwner setOxygenRemaining setParticleCircle setParticleClass setParticleFire setParticleParams setParticleRandom setPilotCameraDirection setPilotCameraRotation setPilotCameraTarget setPilotLight setPiPEffect setPitch setPlateNumber setPlayable setPlayerRespawnTime setPos setPosASL setPosASL2 setPosASLW setPosATL setPosition setPosWorld setPylonLoadOut setPylonsPriority setRadioMsg setRain setRainbow setRandomLip setRank setRectangular setRepairCargo setRotorBrakeRTD setShadowDistance setShotParents setSide setSimpleTaskAlwaysVisible setSimpleTaskCustomData setSimpleTaskDescription setSimpleTaskDestination setSimpleTaskTarget setSimpleTaskType setSimulWeatherLayers setSize setSkill setSlingLoad setSoundEffect setSpeaker setSpeech setSpeedMode setStamina setStaminaScheme setStatValue setSuppression setSystemOfUnits setTargetAge setTaskMarkerOffset setTaskResult setTaskState setTerrainGrid setText setTimeMultiplier setTitleEffect setTrafficDensity setTrafficDistance setTrafficGap setTrafficSpeed setTriggerActivation setTriggerArea setTriggerStatements setTriggerText setTriggerTimeout setTriggerType setType setUnconscious setUnitAbility setUnitLoadout setUnitPos setUnitPosWeak setUnitRank setUnitRecoilCoefficient setUnitTrait setUnloadInCombat setUserActionText setUserMFDText setUserMFDvalue setVariable setVectorDir setVectorDirAndUp setVectorUp setVehicleAmmo setVehicleAmmoDef setVehicleArmor setVehicleCargo setVehicleId setVehicleLock setVehiclePosition setVehicleRadar setVehicleReceiveRemoteTargets setVehicleReportOwnPosition setVehicleReportRemoteTargets setVehicleTIPars setVehicleVarName setVelocity setVelocityModelSpace setVelocityTransformation setViewDistance setVisibleIfTreeCollapsed setWantedRPMRTD setWaves setWaypointBehaviour setWaypointCombatMode setWaypointCompletionRadius setWaypointDescription setWaypointForceBehaviour setWaypointFormation setWaypointHousePosition setWaypointLoiterRadius setWaypointLoiterType setWaypointName setWaypointPosition setWaypointScript setWaypointSpeed setWaypointStatements setWaypointTimeout setWaypointType setWaypointVisible setWeaponReloadingTime setWind setWindDir setWindForce setWindStr setWingForceScaleRTD setWPPos show3DIcons showChat showCinemaBorder showCommandingMenu showCompass showCuratorCompass showGPS showHUD showLegend showMap shownArtilleryComputer shownChat shownCompass shownCuratorCompass showNewEditorObject shownGPS shownHUD shownMap shownPad shownRadio shownScoretable shownUAVFeed shownWarrant shownWatch showPad showRadio showScoretable showSubtitles showUAVFeed showWarrant showWatch showWaypoint showWaypoints side sideChat sideEnemy sideFriendly sideRadio simpleTasks simulationEnabled simulCloudDensity simulCloudOcclusion simulInClouds simulWeatherSync sin size sizeOf skill skillFinal skipTime sleep sliderPosition sliderRange sliderSetPosition sliderSetRange sliderSetSpeed sliderSpeed slingLoadAssistantShown soldierMagazines someAmmo sort soundVolume spawn speaker speed speedMode splitString sqrt squadParams stance startLoadingScreen step stop stopEngineRTD stopped str sunOrMoon supportInfo suppressFor surfaceIsWater surfaceNormal surfaceType swimInDepth switchableUnits switchAction switchCamera switchGesture switchLight switchMove synchronizedObjects synchronizedTriggers synchronizedWaypoints synchronizeObjectsAdd synchronizeObjectsRemove synchronizeTrigger synchronizeWaypoint systemChat systemOfUnits tan targetKnowledge targets targetsAggregate targetsQuery taskAlwaysVisible taskChildren taskCompleted taskCustomData taskDescription taskDestination taskHint taskMarkerOffset taskParent taskResult taskState taskType teamMember teamName teams teamSwitch teamSwitchEnabled teamType terminate terrainIntersect terrainIntersectASL terrainIntersectAtASL text textLog textLogFormat tg time timeMultiplier titleCut titleFadeOut titleObj titleRsc titleText toArray toFixed toLower toString toUpper triggerActivated triggerActivation triggerArea triggerAttachedVehicle triggerAttachObject triggerAttachVehicle triggerDynamicSimulation triggerStatements triggerText triggerTimeout triggerTimeoutCurrent triggerType turretLocal turretOwner turretUnit tvAdd tvClear tvCollapse tvCollapseAll tvCount tvCurSel tvData tvDelete tvExpand tvExpandAll tvPicture tvSetColor tvSetCurSel tvSetData tvSetPicture tvSetPictureColor tvSetPictureColorDisabled tvSetPictureColorSelected tvSetPictureRight tvSetPictureRightColor tvSetPictureRightColorDisabled tvSetPictureRightColorSelected tvSetText tvSetTooltip tvSetValue tvSort tvSortByValue tvText tvTooltip tvValue type typeName typeOf UAVControl uiNamespace uiSleep unassignCurator unassignItem unassignTeam unassignVehicle underwater uniform uniformContainer uniformItems uniformMagazines unitAddons unitAimPosition unitAimPositionVisual unitBackpack unitIsUAV unitPos unitReady unitRecoilCoefficient units unitsBelowHeight unlinkItem unlockAchievement unregisterTask updateDrawIcon updateMenuItem updateObjectTree useAISteeringComponent useAudioTimeForMoves userInputDisabled vectorAdd vectorCos vectorCrossProduct vectorDiff vectorDir vectorDirVisual vectorDistance vectorDistanceSqr vectorDotProduct vectorFromTo vectorMagnitude vectorMagnitudeSqr vectorModelToWorld vectorModelToWorldVisual vectorMultiply vectorNormalized vectorUp vectorUpVisual vectorWorldToModel vectorWorldToModelVisual vehicle vehicleCargoEnabled vehicleChat vehicleRadio vehicleReceiveRemoteTargets vehicleReportOwnPosition vehicleReportRemoteTargets vehicles vehicleVarName velocity velocityModelSpace verifySignature vest vestContainer vestItems vestMagazines viewDistance visibleCompass visibleGPS visibleMap visiblePosition visiblePositionASL visibleScoretable visibleWatch waves waypointAttachedObject waypointAttachedVehicle waypointAttachObject waypointAttachVehicle waypointBehaviour waypointCombatMode waypointCompletionRadius waypointDescription waypointForceBehaviour waypointFormation waypointHousePosition waypointLoiterRadius waypointLoiterType waypointName waypointPosition waypoints waypointScript waypointsEnabledUAV waypointShow waypointSpeed waypointStatements waypointTimeout waypointTimeoutCurrent waypointType waypointVisible weaponAccessories weaponAccessoriesCargo weaponCargo weaponDirection weaponInertia weaponLowered weapons weaponsItems weaponsItemsCargo weaponState weaponsTurret weightRTD WFSideText wind \",literal:\"blufor civilian configNull controlNull displayNull east endl false grpNull independent lineBreak locationNull nil objNull opfor pi resistance scriptNull sideAmbientLife sideEmpty sideLogic sideUnknown taskNull teamMemberNull true west\"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.NUMBER_MODE,{className:\"variable\",begin:/\\b_+[a-zA-Z_]\\w*/},{className:\"title\",begin:/[a-zA-Z][a-zA-Z0-9]+_fnc_\\w*/},t,a],illegal:/#|^\\$ /}};var zs=function(e){var t=e.COMMENT(\"--\",\"$\");return{name:\"SQL\",case_insensitive:!0,illegal:/[<>{}*]/,contains:[{beginKeywords:\"begin end start commit rollback savepoint lock alter create drop rename call delete do handler insert load replace select truncate update set show pragma grant merge describe use explain help declare prepare execute deallocate release unlock purge reset change stop analyze cache flush optimize repair kill install uninstall checksum restore check backup revoke comment values with\",end:/;/,endsWithParent:!0,lexemes:/[\\w\\.]+/,keywords:{keyword:\"as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base char_length character_length characters characterset charindex charset charsetform charsetid check checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation collect colu colum column column_value columns columns_updated comment commit compact compatibility compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection consider consistent constant constraint constraints constructor container content contents context contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor deterministic diagnostics difference dimension direct_load directory disable disable_all disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external external_1 external_2 externally extract failed failed_login_attempts failover failure far fast feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ftp full function general generated get get_format get_lock getdate getutcdate global global_name globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile initial initialized initially initrans inmemory inner innodb input insert install instance instantiable instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime managed management manual map mapping mask master master_pos_wait match matched materialized max maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans md5 measures median medium member memcompress memory merge microsecond mid migration min minextents minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month months mount move movement multiset mutex name name_const names nan national native natural nav nchar nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary out outer outfile outline output over overflow overriding package pad parallel parallel_enable parameters parent parse partial partition partitions pascal passing password password_grace_time password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction prediction_cost prediction_details prediction_probability prediction_set prepare present preserve prior priority private private_sga privileges procedural procedure procedure_analyze processlist profiles project prompt protection public publishingservername purge quarter query quick quiesce quota quotename radians raise rand range rank raw read reads readsize rebuild record records recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename repair repeat replace replicate replication required reset resetlogs resize resource respect restore restricted result result_cache resumable resume retention return returning returns reuse reverse revoke right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select self semi sequence sequential serializable server servererror session session_user sessions_per_user set sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone standby start starting startup statement static statistics stats_binomial_test stats_crosstab stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo template temporary terminated tertiary_weights test than then thread through tier ties time time_format time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek\",literal:\"true false null unknown\",built_in:\"array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void\"},contains:[{className:\"string\",begin:\"'\",end:\"'\",contains:[{begin:\"''\"}]},{className:\"string\",begin:'\"',end:'\"',contains:[{begin:'\"\"'}]},{className:\"string\",begin:\"`\",end:\"`\"},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t,e.HASH_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE,t,e.HASH_COMMENT_MODE]}};var Ws=function(e){return{name:\"Stan\",aliases:[\"stanfuncs\"],keywords:{title:[\"functions\",\"model\",\"data\",\"parameters\",\"quantities\",\"transformed\",\"generated\"].join(\" \"),keyword:[\"for\",\"in\",\"if\",\"else\",\"while\",\"break\",\"continue\",\"return\"].concat([\"int\",\"real\",\"vector\",\"ordered\",\"positive_ordered\",\"simplex\",\"unit_vector\",\"row_vector\",\"matrix\",\"cholesky_factor_corr|10\",\"cholesky_factor_cov|10\",\"corr_matrix|10\",\"cov_matrix|10\",\"void\"]).concat([\"print\",\"reject\",\"increment_log_prob|10\",\"integrate_ode|10\",\"integrate_ode_rk45|10\",\"integrate_ode_bdf|10\",\"algebra_solver\"]).join(\" \"),built_in:[\"Phi\",\"Phi_approx\",\"abs\",\"acos\",\"acosh\",\"algebra_solver\",\"append_array\",\"append_col\",\"append_row\",\"asin\",\"asinh\",\"atan\",\"atan2\",\"atanh\",\"bernoulli_cdf\",\"bernoulli_lccdf\",\"bernoulli_lcdf\",\"bernoulli_logit_lpmf\",\"bernoulli_logit_rng\",\"bernoulli_lpmf\",\"bernoulli_rng\",\"bessel_first_kind\",\"bessel_second_kind\",\"beta_binomial_cdf\",\"beta_binomial_lccdf\",\"beta_binomial_lcdf\",\"beta_binomial_lpmf\",\"beta_binomial_rng\",\"beta_cdf\",\"beta_lccdf\",\"beta_lcdf\",\"beta_lpdf\",\"beta_rng\",\"binary_log_loss\",\"binomial_cdf\",\"binomial_coefficient_log\",\"binomial_lccdf\",\"binomial_lcdf\",\"binomial_logit_lpmf\",\"binomial_lpmf\",\"binomial_rng\",\"block\",\"categorical_logit_lpmf\",\"categorical_logit_rng\",\"categorical_lpmf\",\"categorical_rng\",\"cauchy_cdf\",\"cauchy_lccdf\",\"cauchy_lcdf\",\"cauchy_lpdf\",\"cauchy_rng\",\"cbrt\",\"ceil\",\"chi_square_cdf\",\"chi_square_lccdf\",\"chi_square_lcdf\",\"chi_square_lpdf\",\"chi_square_rng\",\"cholesky_decompose\",\"choose\",\"col\",\"cols\",\"columns_dot_product\",\"columns_dot_self\",\"cos\",\"cosh\",\"cov_exp_quad\",\"crossprod\",\"csr_extract_u\",\"csr_extract_v\",\"csr_extract_w\",\"csr_matrix_times_vector\",\"csr_to_dense_matrix\",\"cumulative_sum\",\"determinant\",\"diag_matrix\",\"diag_post_multiply\",\"diag_pre_multiply\",\"diagonal\",\"digamma\",\"dims\",\"dirichlet_lpdf\",\"dirichlet_rng\",\"distance\",\"dot_product\",\"dot_self\",\"double_exponential_cdf\",\"double_exponential_lccdf\",\"double_exponential_lcdf\",\"double_exponential_lpdf\",\"double_exponential_rng\",\"e\",\"eigenvalues_sym\",\"eigenvectors_sym\",\"erf\",\"erfc\",\"exp\",\"exp2\",\"exp_mod_normal_cdf\",\"exp_mod_normal_lccdf\",\"exp_mod_normal_lcdf\",\"exp_mod_normal_lpdf\",\"exp_mod_normal_rng\",\"expm1\",\"exponential_cdf\",\"exponential_lccdf\",\"exponential_lcdf\",\"exponential_lpdf\",\"exponential_rng\",\"fabs\",\"falling_factorial\",\"fdim\",\"floor\",\"fma\",\"fmax\",\"fmin\",\"fmod\",\"frechet_cdf\",\"frechet_lccdf\",\"frechet_lcdf\",\"frechet_lpdf\",\"frechet_rng\",\"gamma_cdf\",\"gamma_lccdf\",\"gamma_lcdf\",\"gamma_lpdf\",\"gamma_p\",\"gamma_q\",\"gamma_rng\",\"gaussian_dlm_obs_lpdf\",\"get_lp\",\"gumbel_cdf\",\"gumbel_lccdf\",\"gumbel_lcdf\",\"gumbel_lpdf\",\"gumbel_rng\",\"head\",\"hypergeometric_lpmf\",\"hypergeometric_rng\",\"hypot\",\"inc_beta\",\"int_step\",\"integrate_ode\",\"integrate_ode_bdf\",\"integrate_ode_rk45\",\"inv\",\"inv_Phi\",\"inv_chi_square_cdf\",\"inv_chi_square_lccdf\",\"inv_chi_square_lcdf\",\"inv_chi_square_lpdf\",\"inv_chi_square_rng\",\"inv_cloglog\",\"inv_gamma_cdf\",\"inv_gamma_lccdf\",\"inv_gamma_lcdf\",\"inv_gamma_lpdf\",\"inv_gamma_rng\",\"inv_logit\",\"inv_sqrt\",\"inv_square\",\"inv_wishart_lpdf\",\"inv_wishart_rng\",\"inverse\",\"inverse_spd\",\"is_inf\",\"is_nan\",\"lbeta\",\"lchoose\",\"lgamma\",\"lkj_corr_cholesky_lpdf\",\"lkj_corr_cholesky_rng\",\"lkj_corr_lpdf\",\"lkj_corr_rng\",\"lmgamma\",\"lmultiply\",\"log\",\"log10\",\"log1m\",\"log1m_exp\",\"log1m_inv_logit\",\"log1p\",\"log1p_exp\",\"log2\",\"log_determinant\",\"log_diff_exp\",\"log_falling_factorial\",\"log_inv_logit\",\"log_mix\",\"log_rising_factorial\",\"log_softmax\",\"log_sum_exp\",\"logistic_cdf\",\"logistic_lccdf\",\"logistic_lcdf\",\"logistic_lpdf\",\"logistic_rng\",\"logit\",\"lognormal_cdf\",\"lognormal_lccdf\",\"lognormal_lcdf\",\"lognormal_lpdf\",\"lognormal_rng\",\"machine_precision\",\"matrix_exp\",\"max\",\"mdivide_left_spd\",\"mdivide_left_tri_low\",\"mdivide_right_spd\",\"mdivide_right_tri_low\",\"mean\",\"min\",\"modified_bessel_first_kind\",\"modified_bessel_second_kind\",\"multi_gp_cholesky_lpdf\",\"multi_gp_lpdf\",\"multi_normal_cholesky_lpdf\",\"multi_normal_cholesky_rng\",\"multi_normal_lpdf\",\"multi_normal_prec_lpdf\",\"multi_normal_rng\",\"multi_student_t_lpdf\",\"multi_student_t_rng\",\"multinomial_lpmf\",\"multinomial_rng\",\"multiply_log\",\"multiply_lower_tri_self_transpose\",\"neg_binomial_2_cdf\",\"neg_binomial_2_lccdf\",\"neg_binomial_2_lcdf\",\"neg_binomial_2_log_lpmf\",\"neg_binomial_2_log_rng\",\"neg_binomial_2_lpmf\",\"neg_binomial_2_rng\",\"neg_binomial_cdf\",\"neg_binomial_lccdf\",\"neg_binomial_lcdf\",\"neg_binomial_lpmf\",\"neg_binomial_rng\",\"negative_infinity\",\"normal_cdf\",\"normal_lccdf\",\"normal_lcdf\",\"normal_lpdf\",\"normal_rng\",\"not_a_number\",\"num_elements\",\"ordered_logistic_lpmf\",\"ordered_logistic_rng\",\"owens_t\",\"pareto_cdf\",\"pareto_lccdf\",\"pareto_lcdf\",\"pareto_lpdf\",\"pareto_rng\",\"pareto_type_2_cdf\",\"pareto_type_2_lccdf\",\"pareto_type_2_lcdf\",\"pareto_type_2_lpdf\",\"pareto_type_2_rng\",\"pi\",\"poisson_cdf\",\"poisson_lccdf\",\"poisson_lcdf\",\"poisson_log_lpmf\",\"poisson_log_rng\",\"poisson_lpmf\",\"poisson_rng\",\"positive_infinity\",\"pow\",\"print\",\"prod\",\"qr_Q\",\"qr_R\",\"quad_form\",\"quad_form_diag\",\"quad_form_sym\",\"rank\",\"rayleigh_cdf\",\"rayleigh_lccdf\",\"rayleigh_lcdf\",\"rayleigh_lpdf\",\"rayleigh_rng\",\"reject\",\"rep_array\",\"rep_matrix\",\"rep_row_vector\",\"rep_vector\",\"rising_factorial\",\"round\",\"row\",\"rows\",\"rows_dot_product\",\"rows_dot_self\",\"scaled_inv_chi_square_cdf\",\"scaled_inv_chi_square_lccdf\",\"scaled_inv_chi_square_lcdf\",\"scaled_inv_chi_square_lpdf\",\"scaled_inv_chi_square_rng\",\"sd\",\"segment\",\"sin\",\"singular_values\",\"sinh\",\"size\",\"skew_normal_cdf\",\"skew_normal_lccdf\",\"skew_normal_lcdf\",\"skew_normal_lpdf\",\"skew_normal_rng\",\"softmax\",\"sort_asc\",\"sort_desc\",\"sort_indices_asc\",\"sort_indices_desc\",\"sqrt\",\"sqrt2\",\"square\",\"squared_distance\",\"step\",\"student_t_cdf\",\"student_t_lccdf\",\"student_t_lcdf\",\"student_t_lpdf\",\"student_t_rng\",\"sub_col\",\"sub_row\",\"sum\",\"tail\",\"tan\",\"tanh\",\"target\",\"tcrossprod\",\"tgamma\",\"to_array_1d\",\"to_array_2d\",\"to_matrix\",\"to_row_vector\",\"to_vector\",\"trace\",\"trace_gen_quad_form\",\"trace_quad_form\",\"trigamma\",\"trunc\",\"uniform_cdf\",\"uniform_lccdf\",\"uniform_lcdf\",\"uniform_lpdf\",\"uniform_rng\",\"variance\",\"von_mises_lpdf\",\"von_mises_rng\",\"weibull_cdf\",\"weibull_lccdf\",\"weibull_lcdf\",\"weibull_lpdf\",\"weibull_rng\",\"wiener_lpdf\",\"wishart_lpdf\",\"wishart_rng\"].join(\" \")},lexemes:e.IDENT_RE,contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(/#/,/$/,{relevance:0,keywords:{\"meta-keyword\":\"include\"}}),e.COMMENT(/\\/\\*/,/\\*\\//,{relevance:0,contains:[{className:\"doctag\",begin:/@(return|param)/}]}),{begin:/<\\s*lower\\s*=/,keywords:\"lower\"},{begin:/[<,]\\s*upper\\s*=/,keywords:\"upper\"},{className:\"keyword\",begin:/\\btarget\\s*\\+=/,relevance:10},{begin:\"~\\\\s*(\"+e.IDENT_RE+\")\\\\s*\\\\(\",keywords:[\"bernoulli\",\"bernoulli_logit\",\"beta\",\"beta_binomial\",\"binomial\",\"binomial_logit\",\"categorical\",\"categorical_logit\",\"cauchy\",\"chi_square\",\"dirichlet\",\"double_exponential\",\"exp_mod_normal\",\"exponential\",\"frechet\",\"gamma\",\"gaussian_dlm_obs\",\"gumbel\",\"hypergeometric\",\"inv_chi_square\",\"inv_gamma\",\"inv_wishart\",\"lkj_corr\",\"lkj_corr_cholesky\",\"logistic\",\"lognormal\",\"multi_gp\",\"multi_gp_cholesky\",\"multi_normal\",\"multi_normal_cholesky\",\"multi_normal_prec\",\"multi_student_t\",\"multinomial\",\"neg_binomial\",\"neg_binomial_2\",\"neg_binomial_2_log\",\"normal\",\"ordered_logistic\",\"pareto\",\"pareto_type_2\",\"poisson\",\"poisson_log\",\"rayleigh\",\"scaled_inv_chi_square\",\"skew_normal\",\"student_t\",\"uniform\",\"von_mises\",\"weibull\",\"wiener\",\"wishart\"].join(\" \")},{className:\"number\",variants:[{begin:/\\b\\d+(?:\\.\\d*)?(?:[eE][+-]?\\d+)?/},{begin:/\\.\\d+(?:[eE][+-]?\\d+)?\\b/}],relevance:0},{className:\"string\",begin:'\"',end:'\"',relevance:0}]}};var Qs=function(e){return{name:\"Stata\",aliases:[\"do\",\"ado\"],case_insensitive:!0,keywords:\"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5\",contains:[{className:\"symbol\",begin:/`[a-zA-Z0-9_]+'/},{className:\"variable\",begin:/\\$\\{?[a-zA-Z0-9_]+\\}?/},{className:\"string\",variants:[{begin:'`\"[^\\r\\n]*?\"\\''},{begin:'\"[^\\r\\n\"]*\"'}]},{className:\"built_in\",variants:[{begin:\"\\\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\\\()\"}]},e.COMMENT(\"^[ \\t]*\\\\*.*$\",!1),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}};var $s=function(e){return{name:\"STEP Part 21\",aliases:[\"p21\",\"step\",\"stp\"],case_insensitive:!0,lexemes:\"[A-Z_][A-Z0-9_.]*\",keywords:{keyword:\"HEADER ENDSEC DATA\"},contains:[{className:\"meta\",begin:\"ISO-10303-21;\",relevance:10},{className:\"meta\",begin:\"END-ISO-10303-21;\",relevance:10},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(\"/\\\\*\\\\*!\",\"\\\\*/\"),e.C_NUMBER_MODE,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:\"string\",begin:\"'\",end:\"'\"},{className:\"symbol\",variants:[{begin:\"#\",end:\"\\\\d+\",illegal:\"\\\\W\"}]}]}};var Ks=function(e){var t={className:\"variable\",begin:\"\\\\$\"+e.IDENT_RE},a={className:\"number\",begin:\"#([a-fA-F0-9]{6}|[a-fA-F0-9]{3})\"};return{name:\"Stylus\",aliases:[\"styl\"],case_insensitive:!1,keywords:\"if else for in\",illegal:\"(\"+[\"\\\\?\",\"(\\\\bReturn\\\\b)\",\"(\\\\bEnd\\\\b)\",\"(\\\\bend\\\\b)\",\"(\\\\bdef\\\\b)\",\";\",\"#\\\\s\",\"\\\\*\\\\s\",\"===\\\\s\",\"\\\\|\",\"%\"].join(\"|\")+\")\",contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,{begin:\"\\\\.[a-zA-Z][a-zA-Z0-9_-]*(?=[\\\\.\\\\s\\\\n\\\\[\\\\:,])\",className:\"selector-class\"},{begin:\"\\\\#[a-zA-Z][a-zA-Z0-9_-]*(?=[\\\\.\\\\s\\\\n\\\\[\\\\:,])\",className:\"selector-id\"},{begin:\"\\\\b(\"+[\"a\",\"abbr\",\"address\",\"article\",\"aside\",\"audio\",\"b\",\"blockquote\",\"body\",\"button\",\"canvas\",\"caption\",\"cite\",\"code\",\"dd\",\"del\",\"details\",\"dfn\",\"div\",\"dl\",\"dt\",\"em\",\"fieldset\",\"figcaption\",\"figure\",\"footer\",\"form\",\"h1\",\"h2\",\"h3\",\"h4\",\"h5\",\"h6\",\"header\",\"hgroup\",\"html\",\"i\",\"iframe\",\"img\",\"input\",\"ins\",\"kbd\",\"label\",\"legend\",\"li\",\"mark\",\"menu\",\"nav\",\"object\",\"ol\",\"p\",\"q\",\"quote\",\"samp\",\"section\",\"span\",\"strong\",\"summary\",\"sup\",\"table\",\"tbody\",\"td\",\"textarea\",\"tfoot\",\"th\",\"thead\",\"time\",\"tr\",\"ul\",\"var\",\"video\"].join(\"|\")+\")(?=[\\\\.\\\\s\\\\n\\\\[\\\\:,])\",className:\"selector-tag\"},{begin:\"&?:?:\\\\b(\"+[\"after\",\"before\",\"first-letter\",\"first-line\",\"active\",\"first-child\",\"focus\",\"hover\",\"lang\",\"link\",\"visited\"].join(\"|\")+\")(?=[\\\\.\\\\s\\\\n\\\\[\\\\:,])\"},{begin:\"@(\"+[\"charset\",\"css\",\"debug\",\"extend\",\"font-face\",\"for\",\"import\",\"include\",\"media\",\"mixin\",\"page\",\"warn\",\"while\"].join(\"|\")+\")\\\\b\"},t,e.CSS_NUMBER_MODE,e.NUMBER_MODE,{className:\"function\",begin:\"^[a-zA-Z][a-zA-Z0-9_-]*\\\\(.*\\\\)\",illegal:\"[\\\\n]\",returnBegin:!0,contains:[{className:\"title\",begin:\"\\\\b[a-zA-Z][a-zA-Z0-9_-]*\"},{className:\"params\",begin:/\\(/,end:/\\)/,contains:[a,t,e.APOS_STRING_MODE,e.CSS_NUMBER_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE]}]},{className:\"attribute\",begin:\"\\\\b(\"+[\"align-content\",\"align-items\",\"align-self\",\"animation\",\"animation-delay\",\"animation-direction\",\"animation-duration\",\"animation-fill-mode\",\"animation-iteration-count\",\"animation-name\",\"animation-play-state\",\"animation-timing-function\",\"auto\",\"backface-visibility\",\"background\",\"background-attachment\",\"background-clip\",\"background-color\",\"background-image\",\"background-origin\",\"background-position\",\"background-repeat\",\"background-size\",\"border\",\"border-bottom\",\"border-bottom-color\",\"border-bottom-left-radius\",\"border-bottom-right-radius\",\"border-bottom-style\",\"border-bottom-width\",\"border-collapse\",\"border-color\",\"border-image\",\"border-image-outset\",\"border-image-repeat\",\"border-image-slice\",\"border-image-source\",\"border-image-width\",\"border-left\",\"border-left-color\",\"border-left-style\",\"border-left-width\",\"border-radius\",\"border-right\",\"border-right-color\",\"border-right-style\",\"border-right-width\",\"border-spacing\",\"border-style\",\"border-top\",\"border-top-color\",\"border-top-left-radius\",\"border-top-right-radius\",\"border-top-style\",\"border-top-width\",\"border-width\",\"bottom\",\"box-decoration-break\",\"box-shadow\",\"box-sizing\",\"break-after\",\"break-before\",\"break-inside\",\"caption-side\",\"clear\",\"clip\",\"clip-path\",\"color\",\"column-count\",\"column-fill\",\"column-gap\",\"column-rule\",\"column-rule-color\",\"column-rule-style\",\"column-rule-width\",\"column-span\",\"column-width\",\"columns\",\"content\",\"counter-increment\",\"counter-reset\",\"cursor\",\"direction\",\"display\",\"empty-cells\",\"filter\",\"flex\",\"flex-basis\",\"flex-direction\",\"flex-flow\",\"flex-grow\",\"flex-shrink\",\"flex-wrap\",\"float\",\"font\",\"font-family\",\"font-feature-settings\",\"font-kerning\",\"font-language-override\",\"font-size\",\"font-size-adjust\",\"font-stretch\",\"font-style\",\"font-variant\",\"font-variant-ligatures\",\"font-weight\",\"height\",\"hyphens\",\"icon\",\"image-orientation\",\"image-rendering\",\"image-resolution\",\"ime-mode\",\"inherit\",\"initial\",\"justify-content\",\"left\",\"letter-spacing\",\"line-height\",\"list-style\",\"list-style-image\",\"list-style-position\",\"list-style-type\",\"margin\",\"margin-bottom\",\"margin-left\",\"margin-right\",\"margin-top\",\"marks\",\"mask\",\"max-height\",\"max-width\",\"min-height\",\"min-width\",\"nav-down\",\"nav-index\",\"nav-left\",\"nav-right\",\"nav-up\",\"none\",\"normal\",\"object-fit\",\"object-position\",\"opacity\",\"order\",\"orphans\",\"outline\",\"outline-color\",\"outline-offset\",\"outline-style\",\"outline-width\",\"overflow\",\"overflow-wrap\",\"overflow-x\",\"overflow-y\",\"padding\",\"padding-bottom\",\"padding-left\",\"padding-right\",\"padding-top\",\"page-break-after\",\"page-break-before\",\"page-break-inside\",\"perspective\",\"perspective-origin\",\"pointer-events\",\"position\",\"quotes\",\"resize\",\"right\",\"tab-size\",\"table-layout\",\"text-align\",\"text-align-last\",\"text-decoration\",\"text-decoration-color\",\"text-decoration-line\",\"text-decoration-style\",\"text-indent\",\"text-overflow\",\"text-rendering\",\"text-shadow\",\"text-transform\",\"text-underline-position\",\"top\",\"transform\",\"transform-origin\",\"transform-style\",\"transition\",\"transition-delay\",\"transition-duration\",\"transition-property\",\"transition-timing-function\",\"unicode-bidi\",\"vertical-align\",\"visibility\",\"white-space\",\"widows\",\"width\",\"word-break\",\"word-spacing\",\"word-wrap\",\"z-index\"].reverse().join(\"|\")+\")\\\\b\",starts:{end:/;|$/,contains:[a,t,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.CSS_NUMBER_MODE,e.NUMBER_MODE,e.C_BLOCK_COMMENT_MODE],illegal:/\\./,relevance:0}}]}};var js=function(e){return{name:\"SubUnit\",case_insensitive:!0,contains:[{className:\"string\",begin:\"\\\\[\\n(multipart)?\",end:\"\\\\]\\n\"},{className:\"string\",begin:\"\\\\d{4}-\\\\d{2}-\\\\d{2}(\\\\s+)\\\\d{2}:\\\\d{2}:\\\\d{2}.\\\\d+Z\"},{className:\"string\",begin:\"(\\\\+|-)\\\\d+\"},{className:\"keyword\",relevance:10,variants:[{begin:\"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\\\s+(test)?\"},{begin:\"^progress(:?)(\\\\s+)?(pop|push)?\"},{begin:\"^tags:\"},{begin:\"^time:\"}]}]}};var Xs=function(e){var t={keyword:\"#available #colorLiteral #column #else #elseif #endif #file #fileLiteral #function #if #imageLiteral #line #selector #sourceLocation _ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype associativity break case catch class continue convenience default defer deinit didSet do dynamic dynamicType else enum extension fallthrough false fileprivate final for func get guard if import in indirect infix init inout internal is lazy left let mutating nil none nonmutating open operator optional override postfix precedence prefix private protocol Protocol public repeat required rethrows return right self Self set static struct subscript super switch throw throws true try try! try? Type typealias unowned var weak where while willSet\",literal:\"true false nil\",built_in:\"abs advance alignof alignofValue anyGenerator assert assertionFailure bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC bridgeToObjectiveCUnconditional c compactMap contains count countElements countLeadingZeros debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords enumerate equal fatalError filter find getBridgedObjectiveCType getVaList indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare map max maxElement min minElement numericCast overlaps partition posix precondition preconditionFailure print println quickSort readLine reduce reflect reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split startsWith stride strideof strideofValue swap toString transcode underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers withUnsafePointer withUnsafePointers withVaList zip\"},a=e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[\"self\"]}),n={className:\"subst\",begin:/\\\\\\(/,end:\"\\\\)\",keywords:t,contains:[]},r={className:\"string\",contains:[e.BACKSLASH_ESCAPE,n],variants:[{begin:/\"\"\"/,end:/\"\"\"/},{begin:/\"/,end:/\"/}]},i={className:\"number\",begin:\"\\\\b([\\\\d_]+(\\\\.[\\\\deE_]+)?|0x[a-fA-F0-9_]+(\\\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\\\b\",relevance:0};return n.contains=[i],{name:\"Swift\",keywords:t,contains:[r,e.C_LINE_COMMENT_MODE,a,{className:\"type\",begin:\"\\\\b[A-Z][\\\\wÀ-ʸ']*[!?]\"},{className:\"type\",begin:\"\\\\b[A-Z][\\\\wÀ-ʸ']*\",relevance:0},i,{className:\"function\",beginKeywords:\"func\",end:\"{\",excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{begin:/</,end:/>/},{className:\"params\",begin:/\\(/,end:/\\)/,endsParent:!0,keywords:t,contains:[\"self\",i,r,e.C_BLOCK_COMMENT_MODE,{begin:\":\"}],illegal:/[\"']/}],illegal:/\\[|%/},{className:\"class\",beginKeywords:\"struct protocol class extension enum\",keywords:t,end:\"\\\\{\",excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][\\u00C0-\\u02B80-9A-Za-z$_]*/})]},{className:\"meta\",begin:\"(@discardableResult|@warn_unused_result|@exported|@lazy|@noescape|@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|@infix|@prefix|@postfix|@autoclosure|@testable|@available|@nonobjc|@NSApplicationMain|@UIApplicationMain|@dynamicMemberLookup|@propertyWrapper)\"},{beginKeywords:\"import\",end:/$/,contains:[e.C_LINE_COMMENT_MODE,a]}]}};var Zs=function(e){return{name:\"Tagger Script\",contains:[{className:\"comment\",begin:/\\$noop\\(/,end:/\\)/,contains:[{begin:/\\(/,end:/\\)/,contains:[\"self\",{begin:/\\\\./}]}],relevance:10},{className:\"keyword\",begin:/\\$(?!noop)[a-zA-Z][_a-zA-Z0-9]*/,end:/\\(/,excludeEnd:!0},{className:\"variable\",begin:/%[_a-zA-Z0-9:]*/,end:\"%\"},{className:\"symbol\",begin:/\\\\./}]}};var Js=function(e){var t={className:\"string\",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/\"/,end:/\"/},{begin:/\\S+/}],contains:[e.BACKSLASH_ESCAPE,{className:\"template-variable\",variants:[{begin:\"{{\",end:\"}}\"},{begin:\"%{\",end:\"}\"}]}]},a={className:\"number\",begin:\"\\\\b[0-9]{4}(-[0-9][0-9]){0,2}([Tt \\\\t][0-9][0-9]?(:[0-9][0-9]){2})?(\\\\.[0-9]*)?([ \\\\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?\\\\b\"};return{name:\"YAML\",case_insensitive:!0,aliases:[\"yml\",\"YAML\"],contains:[{className:\"attr\",variants:[{begin:\"\\\\w[\\\\w :\\\\/.-]*:(?=[ \\t]|$)\"},{begin:'\"\\\\w[\\\\w :\\\\/.-]*\":(?=[ \\t]|$)'},{begin:\"'\\\\w[\\\\w :\\\\/.-]*':(?=[ \\t]|$)\"}]},{className:\"meta\",begin:\"^---s*$\",relevance:10},{className:\"string\",begin:\"[\\\\|>]([0-9]?[+-])?[ ]*\\\\n( *)[\\\\S ]+\\\\n(\\\\2[\\\\S ]+\\\\n?)*\"},{begin:\"<%[%=-]?\",end:\"[%-]?%>\",subLanguage:\"ruby\",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:\"type\",begin:\"!\"+e.UNDERSCORE_IDENT_RE},{className:\"type\",begin:\"!!\"+e.UNDERSCORE_IDENT_RE},{className:\"meta\",begin:\"&\"+e.UNDERSCORE_IDENT_RE+\"$\"},{className:\"meta\",begin:\"\\\\*\"+e.UNDERSCORE_IDENT_RE+\"$\"},{className:\"bullet\",begin:\"\\\\-(?=[ ]|$)\",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:\"true false yes no null\",keywords:{literal:\"true false yes no null\"}},a,{className:\"number\",begin:e.C_NUMBER_RE+\"\\\\b\"},t]}};var el=function(e){return{name:\"Test Anything Protocol\",case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:\"meta\",variants:[{begin:\"^TAP version (\\\\d+)$\"},{begin:\"^1\\\\.\\\\.(\\\\d+)$\"}]},{begin:\"(s+)?---$\",end:\"\\\\.\\\\.\\\\.$\",subLanguage:\"yaml\",relevance:0},{className:\"number\",begin:\" (\\\\d+) \"},{className:\"symbol\",variants:[{begin:\"^ok\"},{begin:\"^not ok\"}]}]}};var tl=function(e){return{name:\"Tcl\",aliases:[\"tk\"],keywords:\"after append apply array auto_execok auto_import auto_load auto_mkindex auto_mkindex_old auto_qualify auto_reset bgerror binary break catch cd chan clock close concat continue dde dict encoding eof error eval exec exit expr fblocked fconfigure fcopy file fileevent filename flush for foreach format gets glob global history http if incr info interp join lappend|10 lassign|10 lindex|10 linsert|10 list llength|10 load lrange|10 lrepeat|10 lreplace|10 lreverse|10 lsearch|10 lset|10 lsort|10 mathfunc mathop memory msgcat namespace open package parray pid pkg::create pkg_mkIndex platform platform::shell proc puts pwd read refchan regexp registry regsub|10 rename return safe scan seek set socket source split string subst switch tcl_endOfWord tcl_findLibrary tcl_startOfNextWord tcl_startOfPreviousWord tcl_wordBreakAfter tcl_wordBreakBefore tcltest tclvars tell time tm trace unknown unload unset update uplevel upvar variable vwait while\",contains:[e.COMMENT(\";[ \\\\t]*#\",\"$\"),e.COMMENT(\"^[ \\\\t]*#\",\"$\"),{beginKeywords:\"proc\",end:\"[\\\\{]\",excludeEnd:!0,contains:[{className:\"title\",begin:\"[ \\\\t\\\\n\\\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\",end:\"[ \\\\t\\\\n\\\\r]\",endsWithParent:!0,excludeEnd:!0}]},{excludeEnd:!0,variants:[{begin:\"\\\\$(\\\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\\\\(([a-zA-Z0-9_])*\\\\)\",end:\"[^a-zA-Z0-9_\\\\}\\\\$]\"},{begin:\"\\\\$(\\\\{)?(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*\",end:\"(\\\\))?[^a-zA-Z0-9_\\\\}\\\\$]\"}]},{className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},{className:\"number\",variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]}]}};var al=function(e){return{name:\"Thrift\",keywords:{keyword:\"namespace const typedef struct enum service exception void oneway set list map required optional\",built_in:\"bool byte i16 i32 i64 double string binary\",literal:\"true false\"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"class\",beginKeywords:\"struct enum service exception\",end:/\\{/,illegal:/\\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:\"\\\\b(set|list|map)\\\\s*<\",end:\">\",keywords:\"bool byte i16 i32 i64 double string binary\",contains:[\"self\"]}]}};var nl=function(e){var t={className:\"number\",begin:\"[1-9][0-9]*\",relevance:0},a={className:\"symbol\",begin:\":[^\\\\]]+\"};return{name:\"TP\",keywords:{keyword:\"ABORT ACC ADJUST AND AP_LD BREAK CALL CNT COL CONDITION CONFIG DA DB DIV DETECT ELSE END ENDFOR ERR_NUM ERROR_PROG FINE FOR GP GUARD INC IF JMP LINEAR_MAX_SPEED LOCK MOD MONITOR OFFSET Offset OR OVERRIDE PAUSE PREG PTH RT_LD RUN SELECT SKIP Skip TA TB TO TOOL_OFFSET Tool_Offset UF UT UFRAME_NUM UTOOL_NUM UNLOCK WAIT X Y Z W P R STRLEN SUBSTR FINDSTR VOFFSET PROG ATTR MN POS\",literal:\"ON OFF max_speed LPOS JPOS ENABLE DISABLE START STOP RESET\"},contains:[{className:\"built_in\",begin:\"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\\\[\",end:\"\\\\]\",contains:[\"self\",t,a]},{className:\"built_in\",begin:\"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\\\[\",end:\"\\\\]\",contains:[\"self\",t,e.QUOTE_STRING_MODE,a]},{className:\"keyword\",begin:\"/(PROG|ATTR|MN|POS|END)\\\\b\"},{className:\"keyword\",begin:\"(CALL|RUN|POINT_LOGIC|LBL)\\\\b\"},{className:\"keyword\",begin:\"\\\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)\"},{className:\"number\",begin:\"\\\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\\\b\",relevance:0},e.COMMENT(\"//\",\"[;$]\"),e.COMMENT(\"!\",\"[;$]\"),e.COMMENT(\"--eg:\",\"$\"),e.QUOTE_STRING_MODE,{className:\"string\",begin:\"'\",end:\"'\"},e.C_NUMBER_MODE,{className:\"variable\",begin:\"\\\\$[A-Za-z0-9_]+\"}]}};var rl=function(e){var t=\"attribute block constant cycle date dump include max min parent random range source template_from_string\",a={beginKeywords:t,keywords:{name:t},relevance:0,contains:[{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]},n={begin:/\\|[A-Za-z_]+:?/,keywords:\"abs batch capitalize column convert_encoding date date_modify default escape filter first format inky_to_html inline_css join json_encode keys last length lower map markdown merge nl2br number_format raw reduce replace reverse round slice sort spaceless split striptags title trim upper url_encode\",contains:[a]},r=\"apply autoescape block deprecated do embed extends filter flush for from if import include macro sandbox set use verbatim with\";return r=r+\" \"+r.split(\" \").map((function(e){return\"end\"+e})).join(\" \"),{name:\"Twig\",aliases:[\"craftcms\"],case_insensitive:!0,subLanguage:\"xml\",contains:[e.COMMENT(/\\{#/,/#}/),{className:\"template-tag\",begin:/\\{%/,end:/%}/,contains:[{className:\"name\",begin:/\\w+/,keywords:r,starts:{endsWithParent:!0,contains:[n,a],relevance:0}}]},{className:\"template-variable\",begin:/\\{\\{/,end:/}}/,contains:[\"self\",n,a]}]}};var il=function(e){var t={keyword:\"in if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const class public private protected get set super static implements enum export import declare type namespace abstract as from extends async await\",literal:\"true false null undefined NaN Infinity\",built_in:\"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document any number boolean string void Promise\"},a={className:\"meta\",begin:\"@[A-Za-z$_][0-9A-Za-z$_]*\"},n={begin:\"\\\\(\",end:/\\)/,keywords:t,contains:[\"self\",e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.NUMBER_MODE]},r={className:\"params\",begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:t,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,n]},i={className:\"number\",variants:[{begin:\"\\\\b(0[bB][01]+)n?\"},{begin:\"\\\\b(0[oO][0-7]+)n?\"},{begin:e.C_NUMBER_RE+\"n?\"}],relevance:0},o={className:\"subst\",begin:\"\\\\$\\\\{\",end:\"\\\\}\",keywords:t,contains:[]},s={begin:\"html`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,o],subLanguage:\"xml\"}},l={begin:\"css`\",end:\"\",starts:{end:\"`\",returnEnd:!1,contains:[e.BACKSLASH_ESCAPE,o],subLanguage:\"css\"}},_={className:\"string\",begin:\"`\",end:\"`\",contains:[e.BACKSLASH_ESCAPE,o]};return o.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,s,l,_,i,e.REGEXP_MODE],{name:\"TypeScript\",aliases:[\"ts\"],keywords:t,contains:[{className:\"meta\",begin:/^\\s*['\"]use strict['\"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,s,l,_,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,{begin:\"(\"+e.RE_STARTERS_RE+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",keywords:\"return throw case\",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:\"function\",begin:\"(\\\\(.*?\\\\)|\"+e.IDENT_RE+\")\\\\s*=>\",returnBegin:!0,end:\"\\\\s*=>\",contains:[{className:\"params\",variants:[{begin:e.IDENT_RE},{begin:/\\(\\s*\\)/},{begin:/\\(/,end:/\\)/,excludeBegin:!0,excludeEnd:!0,keywords:t,contains:[\"self\",e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}]}]}],relevance:0},{className:\"function\",beginKeywords:\"function\",end:/[\\{;]/,excludeEnd:!0,keywords:t,contains:[\"self\",e.inherit(e.TITLE_MODE,{begin:\"[A-Za-z$_][0-9A-Za-z$_]*\"}),r],illegal:/%/,relevance:0},{beginKeywords:\"constructor\",end:/[\\{;]/,excludeEnd:!0,contains:[\"self\",r]},{begin:/module\\./,keywords:{built_in:\"module\"},relevance:0},{beginKeywords:\"module\",end:/\\{/,excludeEnd:!0},{beginKeywords:\"interface\",end:/\\{/,excludeEnd:!0,keywords:\"interface extends\"},{begin:/\\$[(.]/},{begin:\"\\\\.\"+e.IDENT_RE,relevance:0},a,n]}};var ol=function(e){return{name:\"Vala\",keywords:{keyword:\"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var\",built_in:\"DBus GLib CCode Gee Object Gtk Posix\",literal:\"false true null\"},contains:[{className:\"class\",beginKeywords:\"class interface namespace\",end:\"{\",excludeEnd:!0,illegal:\"[^,:\\\\n\\\\s\\\\.]\",contains:[e.UNDERSCORE_TITLE_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:\"string\",begin:'\"\"\"',end:'\"\"\"',relevance:5},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:\"meta\",begin:\"^#\",end:\"$\",relevance:2}]}};var sl=function(e){return{name:\"Visual Basic .NET\",aliases:[\"vb\"],case_insensitive:!0,keywords:{keyword:\"addhandler addressof alias and andalso aggregate ansi as async assembly auto await binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into is isfalse isnot istrue iterator join key let lib like loop me mid mod module mustinherit mustoverride mybase myclass nameof namespace narrowing new next not notinheritable notoverridable of off on operator option optional or order orelse overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim rem removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly xor yield\",built_in:\"boolean byte cbool cbyte cchar cdate cdec cdbl char cint clng cobj csbyte cshort csng cstr ctype date decimal directcast double gettype getxmlnamespace iif integer long object sbyte short single string trycast typeof uinteger ulong ushort\",literal:\"true false nothing\"},illegal:\"//|{|}|endif|gosub|variant|wend|^\\\\$ \",contains:[e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'\"\"'}]}),e.COMMENT(\"'\",\"$\",{returnBegin:!0,contains:[{className:\"doctag\",begin:\"'''|\\x3c!--|--\\x3e\",contains:[e.PHRASAL_WORDS_MODE]},{className:\"doctag\",begin:\"</?\",end:\">\",contains:[e.PHRASAL_WORDS_MODE]}]}),e.C_NUMBER_MODE,{className:\"meta\",begin:\"#\",end:\"$\",keywords:{\"meta-keyword\":\"if else elseif end region externalsource\"}}]}};var ll=function(e){return{name:\"VBScript\",aliases:[\"vbs\"],case_insensitive:!0,keywords:{keyword:\"call class const dim do loop erase execute executeglobal exit for each next function if then else on error option explicit new private property let get public randomize redim rem select case set stop sub while wend with end to elseif is or xor and not class_initialize class_terminate default preserve in me byval byref step resume goto\",built_in:\"lcase month vartype instrrev ubound setlocale getobject rgb getref string weekdayname rnd dateadd monthname now day minute isarray cbool round formatcurrency conversions csng timevalue second year space abs clng timeserial fixs len asc isempty maths dateserial atn timer isobject filter weekday datevalue ccur isdate instr datediff formatdatetime replace isnull right sgn array snumeric log cdbl hex chr lbound msgbox ucase getlocale cos cdate cbyte rtrim join hour oct typename trim strcomp int createobject loadpicture tan formatnumber mid scriptenginebuildversion scriptengine split scriptengineminorversion cint sin datepart ltrim sqr scriptenginemajorversion time derived eval date formatpercent exp inputbox left ascw chrw regexp server response request cstr err\",literal:\"true false null nothing empty\"},illegal:\"//\",contains:[e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'\"\"'}]}),e.COMMENT(/'/,/$/,{relevance:0}),e.C_NUMBER_MODE]}};var _l=function(e){return{name:\"VBScript in HTML\",subLanguage:\"xml\",contains:[{begin:\"<%\",end:\"%>\",subLanguage:\"vbscript\"}]}};var cl=function(e){return{name:\"Verilog\",aliases:[\"v\",\"sv\",\"svh\"],case_insensitive:!1,keywords:{keyword:\"accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind bins binsof bit break buf|0 bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin function generate|5 genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import incdir include initial inout input inside instance int integer interconnect interface intersect join join_any join_none large let liblist library local localparam logic longint macromodule matches medium modport module nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 or output package packed parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor\",literal:\"null\",built_in:\"$finish $stop $exit $fatal $error $warning $info $realtime $time $printtimescale $bitstoreal $bitstoshortreal $itor $signed $cast $bits $stime $timeformat $realtobits $shortrealtobits $rtoi $unsigned $asserton $assertkill $assertpasson $assertfailon $assertnonvacuouson $assertoff $assertcontrol $assertpassoff $assertfailoff $assertvacuousoff $isunbounded $sampled $fell $changed $past_gclk $fell_gclk $changed_gclk $rising_gclk $steady_gclk $coverage_control $coverage_get $coverage_save $set_coverage_db_name $rose $stable $past $rose_gclk $stable_gclk $future_gclk $falling_gclk $changing_gclk $display $coverage_get_max $coverage_merge $get_coverage $load_coverage_db $typename $unpacked_dimensions $left $low $increment $clog2 $ln $log10 $exp $sqrt $pow $floor $ceil $sin $cos $tan $countbits $onehot $isunknown $fatal $warning $dimensions $right $high $size $asin $acos $atan $atan2 $hypot $sinh $cosh $tanh $asinh $acosh $atanh $countones $onehot0 $error $info $random $dist_chi_square $dist_erlang $dist_exponential $dist_normal $dist_poisson $dist_t $dist_uniform $q_initialize $q_remove $q_exam $async$and$array $async$nand$array $async$or$array $async$nor$array $sync$and$array $sync$nand$array $sync$or$array $sync$nor$array $q_add $q_full $psprintf $async$and$plane $async$nand$plane $async$or$plane $async$nor$plane $sync$and$plane $sync$nand$plane $sync$or$plane $sync$nor$plane $system $display $displayb $displayh $displayo $strobe $strobeb $strobeh $strobeo $write $readmemb $readmemh $writememh $value$plusargs $dumpvars $dumpon $dumplimit $dumpports $dumpportson $dumpportslimit $writeb $writeh $writeo $monitor $monitorb $monitorh $monitoro $writememb $dumpfile $dumpoff $dumpall $dumpflush $dumpportsoff $dumpportsall $dumpportsflush $fclose $fdisplay $fdisplayb $fdisplayh $fdisplayo $fstrobe $fstrobeb $fstrobeh $fstrobeo $swrite $swriteb $swriteh $swriteo $fscanf $fread $fseek $fflush $feof $fopen $fwrite $fwriteb $fwriteh $fwriteo $fmonitor $fmonitorb $fmonitorh $fmonitoro $sformat $sformatf $fgetc $ungetc $fgets $sscanf $rewind $ftell $ferror\"},lexemes:/[\\w\\$]+/,contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:\"number\",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:\"\\\\b((\\\\d+'(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)\"},{begin:\"\\\\B(('(b|h|o|d|B|H|O|D))[0-9xzXZa-fA-F_]+)\"},{begin:\"\\\\b([0-9_])+\",relevance:0}]},{className:\"variable\",variants:[{begin:\"#\\\\((?!parameter).+\\\\)\"},{begin:\"\\\\.\\\\w+\",relevance:0}]},{className:\"meta\",begin:\"`\",end:\"$\",keywords:{\"meta-keyword\":\"define __FILE__ __LINE__ begin_keywords celldefine default_nettype define else elsif end_keywords endcelldefine endif ifdef ifndef include line nounconnected_drive pragma resetall timescale unconnected_drive undef undefineall\"},relevance:0}]}};var dl=function(e){return{name:\"VHDL\",case_insensitive:!0,keywords:{keyword:\"abs access after alias all and architecture array assert assume assume_guarantee attribute begin block body buffer bus case component configuration constant context cover disconnect downto default else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package parameter port postponed procedure process property protected pure range record register reject release rem report restrict restrict_guarantee return rol ror select sequence severity shared signal sla sll sra srl strong subtype then to transport type unaffected units until use variable view vmode vprop vunit wait when while with xnor xor\",built_in:\"boolean bit character integer time delay_length natural positive string bit_vector file_open_kind file_open_status std_logic std_logic_vector unsigned signed boolean_vector integer_vector std_ulogic std_ulogic_vector unresolved_unsigned u_unsigned unresolved_signed u_signed real_vector time_vector\",literal:\"false true note warning error failure line text side width\"},illegal:\"{\",contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT(\"--\",\"$\"),e.QUOTE_STRING_MODE,{className:\"number\",begin:\"\\\\b(\\\\d(_|\\\\d)*#\\\\w+(\\\\.\\\\w+)?#([eE][-+]?\\\\d(_|\\\\d)*)?|\\\\d(_|\\\\d)*(\\\\.\\\\d(_|\\\\d)*)?([eE][-+]?\\\\d(_|\\\\d)*)?)\",relevance:0},{className:\"string\",begin:\"'(U|X|0|1|Z|W|L|H|-)'\",contains:[e.BACKSLASH_ESCAPE]},{className:\"symbol\",begin:\"'[A-Za-z](_?[A-Za-z0-9])*\",contains:[e.BACKSLASH_ESCAPE]}]}};var ul=function(e){return{name:\"Vim Script\",lexemes:/[!#@\\w]+/,keywords:{keyword:\"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank\",built_in:\"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp\"},illegal:/;/,contains:[e.NUMBER_MODE,{className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\"},{className:\"string\",begin:/\"(\\\\\"|\\n\\\\|[^\"\\n])*\"/},e.COMMENT('\"',\"$\"),{className:\"variable\",begin:/[bwtglsav]:[\\w\\d_]*/},{className:\"function\",beginKeywords:\"function function!\",end:\"$\",relevance:0,contains:[e.TITLE_MODE,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\"}]},{className:\"symbol\",begin:/<[\\w-]+>/}]}};var ml=function(e){return{name:\"Intel x86 Assembly\",case_insensitive:!0,lexemes:\"[.%]?\"+e.IDENT_RE,keywords:{keyword:\"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63\",built_in:\"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr\",meta:\"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__\"},contains:[e.COMMENT(\";\",\"$\",{relevance:0}),{className:\"number\",variants:[{begin:\"\\\\b(?:([0-9][0-9_]*)?\\\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*\\\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\\\b\",relevance:0},{begin:\"\\\\$[0-9][0-9A-Fa-f]*\",relevance:0},{begin:\"\\\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\\\b\"},{begin:\"\\\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\\\b\"}]},e.QUOTE_STRING_MODE,{className:\"string\",variants:[{begin:\"'\",end:\"[^\\\\\\\\]'\"},{begin:\"`\",end:\"[^\\\\\\\\]`\"}],relevance:0},{className:\"symbol\",variants:[{begin:\"^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)\"},{begin:\"^\\\\s*%%[A-Za-z0-9_$#@~.?]*:\"}],relevance:0},{className:\"subst\",begin:\"%[0-9]+\",relevance:0},{className:\"subst\",begin:\"%!S+\",relevance:0},{className:\"meta\",begin:/^\\s*\\.[\\w_-]+/}]}};var pl=function(e){var t={keyword:\"if then else do while until for loop import with is as where when by data constant integer real text name boolean symbol infix prefix postfix block tree\",literal:\"true false nil\",built_in:\"in mod rem and or xor not abs sign floor ceil sqrt sin cos tan asin acos atan exp expm1 log log2 log10 log1p pi at text_length text_range text_find text_replace contains page slide basic_slide title_slide title subtitle fade_in fade_out fade_at clear_color color line_color line_width texture_wrap texture_transform texture scale_?x scale_?y scale_?z? translate_?x translate_?y translate_?z? rotate_?x rotate_?y rotate_?z? rectangle circle ellipse sphere path line_to move_to quad_to curve_to theme background contents locally time mouse_?x mouse_?y mouse_buttons ObjectLoader Animate MovieCredits Slides Filters Shading Materials LensFlare Mapping VLCAudioVideo StereoDecoder PointCloud NetworkAccess RemoteControl RegExp ChromaKey Snowfall NodeJS Speech Charts\"},a={className:\"string\",begin:'\"',end:'\"',illegal:\"\\\\n\"},n={beginKeywords:\"import\",end:\"$\",keywords:t,contains:[a]},r={className:\"function\",begin:/[a-z][^\\n]*->/,returnBegin:!0,end:/->/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,keywords:t}})]};return{name:\"XL\",aliases:[\"tao\"],lexemes:/[a-zA-Z][a-zA-Z0-9_?]*/,keywords:t,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,{className:\"string\",begin:\"'\",end:\"'\",illegal:\"\\\\n\"},{className:\"string\",begin:\"<<\",end:\">>\"},r,n,{className:\"number\",begin:\"[0-9]+#[0-9A-Z_]+(\\\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?\"},e.NUMBER_MODE]}};var El=function(e){return{name:\"XQuery\",aliases:[\"xpath\",\"xq\"],case_insensitive:!1,lexemes:/[a-zA-Z\\$][a-zA-Z0-9_:\\-]*/,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{keyword:\"module schema namespace boundary-space preserve no-preserve strip default collation base-uri ordering context decimal-format decimal-separator copy-namespaces empty-sequence except exponent-separator external grouping-separator inherit no-inherit lax minus-sign per-mille percent schema-attribute schema-element strict unordered zero-digit declare import option function validate variable for at in let where order group by return if then else tumbling sliding window start when only end previous next stable ascending descending allowing empty greatest least some every satisfies switch case typeswitch try catch and or to union intersect instance of treat as castable cast map array delete insert into replace value rename copy modify update\",type:\"item document-node node attribute document element comment namespace namespace-node processing-instruction text construction xs:anyAtomicType xs:untypedAtomic xs:duration xs:time xs:decimal xs:float xs:double xs:gYearMonth xs:gYear xs:gMonthDay xs:gMonth xs:gDay xs:boolean xs:base64Binary xs:hexBinary xs:anyURI xs:QName xs:NOTATION xs:dateTime xs:dateTimeStamp xs:date xs:string xs:normalizedString xs:token xs:language xs:NMTOKEN xs:Name xs:NCName xs:ID xs:IDREF xs:ENTITY xs:integer xs:nonPositiveInteger xs:negativeInteger xs:long xs:int xs:short xs:byte xs:nonNegativeInteger xs:unisignedLong xs:unsignedInt xs:unsignedShort xs:unsignedByte xs:positiveInteger xs:yearMonthDuration xs:dayTimeDuration\",literal:\"eq ne lt le gt ge is self:: child:: descendant:: descendant-or-self:: attribute:: following:: following-sibling:: parent:: ancestor:: ancestor-or-self:: preceding:: preceding-sibling:: NaN\"},contains:[{className:\"variable\",begin:/[\\$][\\w-:]+/},{className:\"built_in\",variants:[{begin:/\\barray\\:/,end:/(?:append|filter|flatten|fold\\-(?:left|right)|for-each(?:\\-pair)?|get|head|insert\\-before|join|put|remove|reverse|size|sort|subarray|tail)\\b/},{begin:/\\bmap\\:/,end:/(?:contains|entry|find|for\\-each|get|keys|merge|put|remove|size)\\b/},{begin:/\\bmath\\:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\\b/},{begin:/\\bop\\:/,end:/\\(/,excludeEnd:!0},{begin:/\\bfn\\:/,end:/\\(/,excludeEnd:!0},{begin:/[^<\\/\\$\\:'\"-]\\b(?:abs|accumulator\\-(?:after|before)|adjust\\-(?:date(?:Time)?|time)\\-to\\-timezone|analyze\\-string|apply|available\\-(?:environment\\-variables|system\\-properties)|avg|base\\-uri|boolean|ceiling|codepoints?\\-(?:equal|to\\-string)|collation\\-key|collection|compare|concat|contains(?:\\-token)?|copy\\-of|count|current(?:\\-)?(?:date(?:Time)?|time|group(?:ing\\-key)?|output\\-uri|merge\\-(?:group|key))?data|dateTime|days?\\-from\\-(?:date(?:Time)?|duration)|deep\\-equal|default\\-(?:collation|language)|distinct\\-values|document(?:\\-uri)?|doc(?:\\-available)?|element\\-(?:available|with\\-id)|empty|encode\\-for\\-uri|ends\\-with|environment\\-variable|error|escape\\-html\\-uri|exactly\\-one|exists|false|filter|floor|fold\\-(?:left|right)|for\\-each(?:\\-pair)?|format\\-(?:date(?:Time)?|time|integer|number)|function\\-(?:arity|available|lookup|name)|generate\\-id|has\\-children|head|hours\\-from\\-(?:dateTime|duration|time)|id(?:ref)?|implicit\\-timezone|in\\-scope\\-prefixes|index\\-of|innermost|insert\\-before|iri\\-to\\-uri|json\\-(?:doc|to\\-xml)|key|lang|last|load\\-xquery\\-module|local\\-name(?:\\-from\\-QName)?|(?:lower|upper)\\-case|matches|max|minutes\\-from\\-(?:dateTime|duration|time)|min|months?\\-from\\-(?:date(?:Time)?|duration)|name(?:space\\-uri\\-?(?:for\\-prefix|from\\-QName)?)?|nilled|node\\-name|normalize\\-(?:space|unicode)|not|number|one\\-or\\-more|outermost|parse\\-(?:ietf\\-date|json)|path|position|(?:prefix\\-from\\-)?QName|random\\-number\\-generator|regex\\-group|remove|replace|resolve\\-(?:QName|uri)|reverse|root|round(?:\\-half\\-to\\-even)?|seconds\\-from\\-(?:dateTime|duration|time)|snapshot|sort|starts\\-with|static\\-base\\-uri|stream\\-available|string\\-?(?:join|length|to\\-codepoints)?|subsequence|substring\\-?(?:after|before)?|sum|system\\-property|tail|timezone\\-from\\-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type\\-available|unordered|unparsed\\-(?:entity|text)?\\-?(?:public\\-id|uri|available|lines)?|uri\\-collection|xml\\-to\\-json|years?\\-from\\-(?:date(?:Time)?|duration)|zero\\-or\\-one)\\b/},{begin:/\\blocal\\:/,end:/\\(/,excludeEnd:!0},{begin:/\\bzip\\:/,end:/(?:zip\\-file|(?:xml|html|text|binary)\\-entry| (?:update\\-)?entries)\\b/},{begin:/\\b(?:util|db|functx|app|xdmp|xmldb)\\:/,end:/\\(/,excludeEnd:!0}]},{className:\"string\",variants:[{begin:/\"/,end:/\"/,contains:[{begin:/\"\"/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},{className:\"number\",begin:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},{className:\"comment\",begin:\"\\\\(:\",end:\":\\\\)\",relevance:10,contains:[{className:\"doctag\",begin:\"@\\\\w+\"}]},{className:\"meta\",begin:/%[\\w-:]+/},{className:\"title\",begin:/\\bxquery version \"[13]\\.[01]\"\\s?(?:encoding \".+\")?/,end:/;/},{beginKeywords:\"element attribute comment document processing-instruction\",end:\"{\",excludeEnd:!0},{begin:/<([\\w\\._:\\-]+)((\\s*.*)=('|\").*('|\"))?>/,end:/(\\/[\\w\\._:\\-]+>)/,subLanguage:\"xml\",contains:[{begin:\"{\",end:\"}\",subLanguage:\"xquery\"},\"self\"]}]}};var gl=function(e){var t={className:\"string\",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},a=e.UNDERSCORE_TITLE_MODE,n={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},r=\"namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined\";return{name:\"Zephir\",aliases:[\"zep\"],keywords:r,contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(\"/\\\\*\",\"\\\\*/\",{contains:[{className:\"doctag\",begin:\"@[A-Za-z]+\"}]}),{className:\"string\",begin:\"<<<['\\\"]?\\\\w+['\\\"]?$\",end:\"^\\\\w+;\",contains:[e.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/},{className:\"function\",beginKeywords:\"function fn\",end:/[;{]/,excludeEnd:!0,illegal:\"\\\\$|\\\\[|%\",contains:[a,{className:\"params\",begin:\"\\\\(\",end:\"\\\\)\",keywords:r,contains:[\"self\",e.C_BLOCK_COMMENT_MODE,t,n]}]},{className:\"class\",beginKeywords:\"class interface\",end:\"{\",excludeEnd:!0,illegal:/[:\\(\\$\"]/,contains:[{beginKeywords:\"extends implements\"},a]},{beginKeywords:\"namespace\",end:\";\",illegal:/[\\.']/,contains:[a]},{beginKeywords:\"use\",end:\";\",contains:[a]},{begin:\"=>\"},t,n]}};Hr.registerLanguage(\"1c\",Vr),Hr.registerLanguage(\"abnf\",qr),Hr.registerLanguage(\"accesslog\",zr),Hr.registerLanguage(\"actionscript\",Wr),Hr.registerLanguage(\"ada\",Qr),Hr.registerLanguage(\"angelscript\",$r),Hr.registerLanguage(\"apache\",Kr),Hr.registerLanguage(\"applescript\",jr),Hr.registerLanguage(\"arcade\",Xr),Hr.registerLanguage(\"c-like\",Zr),Hr.registerLanguage(\"cpp\",Jr),Hr.registerLanguage(\"arduino\",ei),Hr.registerLanguage(\"armasm\",ti),Hr.registerLanguage(\"xml\",ai),Hr.registerLanguage(\"asciidoc\",ni),Hr.registerLanguage(\"aspectj\",ri),Hr.registerLanguage(\"autohotkey\",ii),Hr.registerLanguage(\"autoit\",oi),Hr.registerLanguage(\"avrasm\",si),Hr.registerLanguage(\"awk\",li),Hr.registerLanguage(\"axapta\",_i),Hr.registerLanguage(\"bash\",ci),Hr.registerLanguage(\"basic\",di),Hr.registerLanguage(\"bnf\",ui),Hr.registerLanguage(\"brainfuck\",mi),Hr.registerLanguage(\"c\",pi),Hr.registerLanguage(\"cal\",Ei),Hr.registerLanguage(\"capnproto\",gi),Hr.registerLanguage(\"ceylon\",Si),Hr.registerLanguage(\"clean\",Ti),Hr.registerLanguage(\"clojure\",bi),Hr.registerLanguage(\"clojure-repl\",fi),Hr.registerLanguage(\"cmake\",Ci),Hr.registerLanguage(\"coffeescript\",Ri),Hr.registerLanguage(\"coq\",Ni),Hr.registerLanguage(\"cos\",Oi),Hr.registerLanguage(\"crmsh\",vi),Hr.registerLanguage(\"crystal\",Ii),Hr.registerLanguage(\"csharp\",hi),Hr.registerLanguage(\"csp\",Ai),Hr.registerLanguage(\"css\",yi),Hr.registerLanguage(\"d\",Di),Hr.registerLanguage(\"markdown\",Mi),Hr.registerLanguage(\"dart\",Li),Hr.registerLanguage(\"delphi\",xi),Hr.registerLanguage(\"diff\",wi),Hr.registerLanguage(\"django\",Pi),Hr.registerLanguage(\"dns\",ki),Hr.registerLanguage(\"dockerfile\",Ui),Hr.registerLanguage(\"dos\",Fi),Hr.registerLanguage(\"dsconfig\",Bi),Hr.registerLanguage(\"dts\",Gi),Hr.registerLanguage(\"dust\",Yi),Hr.registerLanguage(\"ebnf\",Hi),Hr.registerLanguage(\"elixir\",Vi),Hr.registerLanguage(\"elm\",qi),Hr.registerLanguage(\"ruby\",zi),Hr.registerLanguage(\"erb\",Wi),Hr.registerLanguage(\"erlang-repl\",Qi),Hr.registerLanguage(\"erlang\",$i),Hr.registerLanguage(\"excel\",Ki),Hr.registerLanguage(\"fix\",ji),Hr.registerLanguage(\"flix\",Xi),Hr.registerLanguage(\"fortran\",Zi),Hr.registerLanguage(\"fsharp\",Ji),Hr.registerLanguage(\"gams\",eo),Hr.registerLanguage(\"gauss\",to),Hr.registerLanguage(\"gcode\",ao),Hr.registerLanguage(\"gherkin\",no),Hr.registerLanguage(\"glsl\",ro),Hr.registerLanguage(\"gml\",io),Hr.registerLanguage(\"go\",oo),Hr.registerLanguage(\"golo\",so),Hr.registerLanguage(\"gradle\",lo),Hr.registerLanguage(\"groovy\",_o),Hr.registerLanguage(\"haml\",co),Hr.registerLanguage(\"handlebars\",uo),Hr.registerLanguage(\"haskell\",mo),Hr.registerLanguage(\"haxe\",po),Hr.registerLanguage(\"hsp\",Eo),Hr.registerLanguage(\"htmlbars\",go),Hr.registerLanguage(\"http\",So),Hr.registerLanguage(\"hy\",To),Hr.registerLanguage(\"inform7\",bo),Hr.registerLanguage(\"ini\",fo),Hr.registerLanguage(\"irpf90\",Co),Hr.registerLanguage(\"isbl\",Ro),Hr.registerLanguage(\"java\",No),Hr.registerLanguage(\"javascript\",Oo),Hr.registerLanguage(\"jboss-cli\",vo),Hr.registerLanguage(\"json\",Io),Hr.registerLanguage(\"julia\",ho),Hr.registerLanguage(\"julia-repl\",Ao),Hr.registerLanguage(\"kotlin\",yo),Hr.registerLanguage(\"lasso\",Do),Hr.registerLanguage(\"latex\",Mo),Hr.registerLanguage(\"ldif\",Lo),Hr.registerLanguage(\"leaf\",xo),Hr.registerLanguage(\"less\",wo),Hr.registerLanguage(\"lisp\",Po),Hr.registerLanguage(\"livecodeserver\",ko),Hr.registerLanguage(\"livescript\",Uo),Hr.registerLanguage(\"llvm\",Fo),Hr.registerLanguage(\"lsl\",Bo),Hr.registerLanguage(\"lua\",Go),Hr.registerLanguage(\"makefile\",Yo),Hr.registerLanguage(\"mathematica\",Ho),Hr.registerLanguage(\"matlab\",Vo),Hr.registerLanguage(\"maxima\",qo),Hr.registerLanguage(\"mel\",zo),Hr.registerLanguage(\"mercury\",Wo),Hr.registerLanguage(\"mipsasm\",Qo),Hr.registerLanguage(\"mizar\",$o),Hr.registerLanguage(\"perl\",Ko),Hr.registerLanguage(\"mojolicious\",jo),Hr.registerLanguage(\"monkey\",Xo),Hr.registerLanguage(\"moonscript\",Zo),Hr.registerLanguage(\"n1ql\",Jo),Hr.registerLanguage(\"nginx\",es),Hr.registerLanguage(\"nim\",ts),Hr.registerLanguage(\"nix\",as),Hr.registerLanguage(\"nsis\",ns),Hr.registerLanguage(\"objectivec\",rs),Hr.registerLanguage(\"ocaml\",is),Hr.registerLanguage(\"openscad\",os),Hr.registerLanguage(\"oxygene\",ss),Hr.registerLanguage(\"parser3\",ls),Hr.registerLanguage(\"pf\",_s),Hr.registerLanguage(\"pgsql\",cs),Hr.registerLanguage(\"php\",ds),Hr.registerLanguage(\"php-template\",us),Hr.registerLanguage(\"plaintext\",ms),Hr.registerLanguage(\"pony\",ps),Hr.registerLanguage(\"powershell\",Es),Hr.registerLanguage(\"processing\",gs),Hr.registerLanguage(\"profile\",Ss),Hr.registerLanguage(\"prolog\",Ts),Hr.registerLanguage(\"properties\",bs),Hr.registerLanguage(\"protobuf\",fs),Hr.registerLanguage(\"puppet\",Cs),Hr.registerLanguage(\"purebasic\",Rs),Hr.registerLanguage(\"python\",Ns),Hr.registerLanguage(\"python-repl\",Os),Hr.registerLanguage(\"q\",vs),Hr.registerLanguage(\"qml\",Is),Hr.registerLanguage(\"r\",hs),Hr.registerLanguage(\"reasonml\",As),Hr.registerLanguage(\"rib\",ys),Hr.registerLanguage(\"roboconf\",Ds),Hr.registerLanguage(\"routeros\",Ms),Hr.registerLanguage(\"rsl\",Ls),Hr.registerLanguage(\"ruleslanguage\",xs),Hr.registerLanguage(\"rust\",ws),Hr.registerLanguage(\"sas\",Ps),Hr.registerLanguage(\"scala\",ks),Hr.registerLanguage(\"scheme\",Us),Hr.registerLanguage(\"scilab\",Fs),Hr.registerLanguage(\"scss\",Bs),Hr.registerLanguage(\"shell\",Gs),Hr.registerLanguage(\"smali\",Ys),Hr.registerLanguage(\"smalltalk\",Hs),Hr.registerLanguage(\"sml\",Vs),Hr.registerLanguage(\"sqf\",qs),Hr.registerLanguage(\"sql\",zs),Hr.registerLanguage(\"stan\",Ws),Hr.registerLanguage(\"stata\",Qs),Hr.registerLanguage(\"step21\",$s),Hr.registerLanguage(\"stylus\",Ks),Hr.registerLanguage(\"subunit\",js),Hr.registerLanguage(\"swift\",Xs),Hr.registerLanguage(\"taggerscript\",Zs),Hr.registerLanguage(\"yaml\",Js),Hr.registerLanguage(\"tap\",el),Hr.registerLanguage(\"tcl\",tl),Hr.registerLanguage(\"thrift\",al),Hr.registerLanguage(\"tp\",nl),Hr.registerLanguage(\"twig\",rl),Hr.registerLanguage(\"typescript\",il),Hr.registerLanguage(\"vala\",ol),Hr.registerLanguage(\"vbnet\",sl),Hr.registerLanguage(\"vbscript\",ll),Hr.registerLanguage(\"vbscript-html\",_l),Hr.registerLanguage(\"verilog\",cl),Hr.registerLanguage(\"vhdl\",dl),Hr.registerLanguage(\"vim\",ul),Hr.registerLanguage(\"x86asm\",ml),Hr.registerLanguage(\"xl\",pl),Hr.registerLanguage(\"xquery\",El),Hr.registerLanguage(\"zephir\",gl);var Sl=Hr;!function(e,t){function a(a){try{var r=t.querySelectorAll(\"code.hljs,code.nohighlight\");for(var i in r)r.hasOwnProperty(i)&&n(r[i],a)}catch(t){e.console.error(\"LineNumbers error: \",t)}}function n(e,t){\"object\"==Ga(e)&&function(e){e()}((function(){e.innerHTML=r(e,t)}))}function r(e,t){var a=(t=t||{singleLine:!1}).singleLine?0:1;return function e(t){var a=t.childNodes;for(var n in a)if(a.hasOwnProperty(n)){var r=a[n];s(r.textContent)>0&&(r.childNodes.length>0?e(r):i(r.parentNode))}}(e),function(e,t){var a=o(e);if(\"\"===a[a.length-1].trim()&&a.pop(),a.length>t){for(var n=\"\",r=0,i=a.length;r<i;r++)n+=l('<tr><td class=\"{0}\"><div class=\"{1} {2}\" {3}=\"{5}\"></div></td><td class=\"{4}\"><div class=\"{1}\">{6}</div></td></tr>',[u,c,m,p,d,r+1,a[r].length>0?a[r]:\" \"]);return l('<table class=\"{0}\">{1}</table>',[_,n])}return e}(e.innerHTML,a)}function i(e){var t=e.className;if(/hljs-/.test(t)){for(var a=o(e.innerHTML),n=0,r=\"\";n<a.length;n++){r+=l('<span class=\"{0}\">{1}</span>\\n',[t,a[n].length>0?a[n]:\" \"])}e.innerHTML=r.trim()}}function o(e){return 0===e.length?[]:e.split(E)}function s(e){return(e.trim().match(E)||[]).length}function l(e,t){return e.replace(/\\{(\\d+)\\}/g,(function(e,a){return t[a]?t[a]:e}))}var _=\"hljs-ln\",c=\"hljs-ln-line\",d=\"hljs-ln-code\",u=\"hljs-ln-numbers\",m=\"hljs-ln-n\",p=\"data-line-number\",E=/\\r\\n|\\r|\\n/g;Sl?(Sl.initLineNumbersOnLoad=function(n){\"interactive\"===t.readyState||\"complete\"===t.readyState?a(n):e.addEventListener(\"DOMContentLoaded\",(function(){a(n)}))},Sl.lineNumbersBlock=n,Sl.lineNumbersValue=function(e,t){if(\"string\"==typeof e){var a=document.createElement(\"code\");return a.innerHTML=e,r(a,t)}},function(){var e=t.createElement(\"style\");e.type=\"text/css\",e.innerHTML=l(\".{0}{border-collapse:collapse}.{0} td{padding:0}.{1}:before{content:attr({2})}\",[_,m,p]),t.getElementsByTagName(\"head\")[0].appendChild(e)}()):e.console.error(\"highlight.js not detected!\")}(window,document);\n/*!\n\t * reveal.js plugin that adds syntax highlight support.\n\t */\nvar Tl={id:\"highlight\",HIGHLIGHT_STEP_DELIMITER:\"|\",HIGHLIGHT_LINE_DELIMITER:\",\",HIGHLIGHT_LINE_RANGE_DELIMITER:\"-\",hljs:Sl,init:function(e){var t=e.getConfig().highlight||{};t.highlightOnLoad=\"boolean\"!=typeof t.highlightOnLoad||t.highlightOnLoad,t.escapeHTML=\"boolean\"!=typeof t.escapeHTML||t.escapeHTML,[].slice.call(e.getRevealElement().querySelectorAll(\"pre code\")).forEach((function(e){e.hasAttribute(\"data-trim\")&&\"function\"==typeof e.innerHTML.trim&&(e.innerHTML=function(e){function t(e){return e.replace(/^[\\s\\uFEFF\\xA0]+/g,\"\")}return function(e){var a=function(e){for(var t=e.split(\"\\n\"),a=0;a<t.length&&\"\"===t[a].trim();a++)t.splice(a--,1);for(a=t.length-1;a>=0&&\"\"===t[a].trim();a--)t.splice(a,1);return t.join(\"\\n\")}(e.innerHTML).split(\"\\n\"),n=a.reduce((function(e,a){return a.length>0&&t(a).length>0&&e>a.length-t(a).length?a.length-t(a).length:e}),Number.POSITIVE_INFINITY);return a.map((function(e,t){return e.slice(n)})).join(\"\\n\")}(e)}(e)),t.escapeHTML&&!e.hasAttribute(\"data-noescape\")&&(e.innerHTML=e.innerHTML.replace(/</g,\"<\").replace(/>/g,\">\")),e.addEventListener(\"focusout\",(function(e){Sl.highlightBlock(e.currentTarget)}),!1),t.highlightOnLoad&&Tl.highlightBlock(e)})),e.on(\"pdf-ready\",(function(){[].slice.call(e.getRevealElement().querySelectorAll(\"pre code[data-line-numbers].current-fragment\")).forEach((function(e){Tl.scrollHighlightedLineIntoView(e,{},!0)}))}))},highlightBlock:function(e){if(Sl.highlightBlock(e),0!==e.innerHTML.trim().length&&e.hasAttribute(\"data-line-numbers\")){Sl.lineNumbersBlock(e,{singleLine:!0});var t={currentBlock:e},a=Tl.deserializeHighlightSteps(e.getAttribute(\"data-line-numbers\"));if(a.length>1){var n=parseInt(e.getAttribute(\"data-fragment-index\"),10);(\"number\"!=typeof n||isNaN(n))&&(n=null),a.slice(1).forEach((function(a){var r=e.cloneNode(!0);r.setAttribute(\"data-line-numbers\",Tl.serializeHighlightSteps([a])),r.classList.add(\"fragment\"),e.parentNode.appendChild(r),Tl.highlightLines(r),\"number\"==typeof n?(r.setAttribute(\"data-fragment-index\",n),n+=1):r.removeAttribute(\"data-fragment-index\"),r.addEventListener(\"visible\",Tl.scrollHighlightedLineIntoView.bind(Tl,r,t)),r.addEventListener(\"hidden\",Tl.scrollHighlightedLineIntoView.bind(Tl,r.previousSibling,t))})),e.removeAttribute(\"data-fragment-index\"),e.setAttribute(\"data-line-numbers\",Tl.serializeHighlightSteps([a[0]]))}var r=\"function\"==typeof e.closest?e.closest(\"section:not(.stack)\"):null;if(r){r.addEventListener(\"visible\",(function a(){Tl.scrollHighlightedLineIntoView(e,t,!0),r.removeEventListener(\"visible\",a)}))}Tl.highlightLines(e)}},scrollHighlightedLineIntoView:function(e,t,a){cancelAnimationFrame(t.animationFrameID),t.currentBlock&&(e.scrollTop=t.currentBlock.scrollTop),t.currentBlock=e;var n=this.getHighlightedLineBounds(e),r=e.offsetHeight,i=getComputedStyle(e);r-=parseInt(i.paddingTop)+parseInt(i.paddingBottom);var o=e.scrollTop,s=n.top+(Math.min(n.bottom-n.top,r)-r)/2,l=e.querySelector(\".hljs-ln\");if(l&&(s+=l.offsetTop-parseInt(i.paddingTop)),s=Math.max(Math.min(s,e.scrollHeight-r),0),!0===a||o===s)e.scrollTop=s;else{if(e.scrollHeight<=r)return;var _=0;!function a(){_=Math.min(_+.02,1),e.scrollTop=o+(s-o)*Tl.easeInOutQuart(_),_<1&&(t.animationFrameID=requestAnimationFrame(a))}()}},easeInOutQuart:function(e){return e<.5?8*e*e*e*e:1-8*--e*e*e*e},getHighlightedLineBounds:function(e){var t=e.querySelectorAll(\".highlight-line\");if(0===t.length)return{top:0,bottom:0};var a=t[0],n=t[t.length-1];return{top:a.offsetTop,bottom:n.offsetTop+n.offsetHeight}},highlightLines:function(e,t){var a=Tl.deserializeHighlightSteps(t||e.getAttribute(\"data-line-numbers\"));a.length&&a[0].forEach((function(t){var a=[];\"number\"==typeof t.end?a=[].slice.call(e.querySelectorAll(\"table tr:nth-child(n+\"+t.start+\"):nth-child(-n+\"+t.end+\")\")):\"number\"==typeof t.start&&(a=[].slice.call(e.querySelectorAll(\"table tr:nth-child(\"+t.start+\")\"))),a.length&&(a.forEach((function(e){e.classList.add(\"highlight-line\")})),e.classList.add(\"has-highlights\"))}))},deserializeHighlightSteps:function(e){return(e=(e=e.replace(/\\s/g,\"\")).split(Tl.HIGHLIGHT_STEP_DELIMITER)).map((function(e){return e.split(Tl.HIGHLIGHT_LINE_DELIMITER).map((function(e){if(/^[\\d-]+$/.test(e)){e=e.split(Tl.HIGHLIGHT_LINE_RANGE_DELIMITER);var t=parseInt(e[0],10),a=parseInt(e[1],10);return isNaN(a)?{start:t}:{start:t,end:a}}return{}}))}))},serializeHighlightSteps:function(e){return e.map((function(e){return e.map((function(e){return\"number\"==typeof e.end?e.start+Tl.HIGHLIGHT_LINE_RANGE_DELIMITER+e.end:\"number\"==typeof e.start?e.start:\"\"})).join(Tl.HIGHLIGHT_LINE_DELIMITER)})).join(Tl.HIGHLIGHT_STEP_DELIMITER)}};return function(){return Tl}}));\n",
"title": "$:/plugins/sukima/reveal-js/reveal-highlight.js",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/sukima/reveal-js/reveal-zoom.js": {
"text": "!function(e,t){\"object\"==typeof exports&&\"undefined\"!=typeof module?module.exports=t():\"function\"==typeof define&&define.amd?define(t):(e=e||self).RevealZoom=t()}(this,(function(){\"use strict\";\n/*!\n\t * reveal.js Zoom plugin\n\t */var e={id:\"zoom\",init:function(e){e.getRevealElement().addEventListener(\"mousedown\",(function(o){var n=/Linux/.test(window.navigator.platform)?\"ctrl\":\"alt\",i=(e.getConfig().zoomKey?e.getConfig().zoomKey:n)+\"Key\",d=e.getConfig().zoomLevel?e.getConfig().zoomLevel:2;o[i]&&!e.isOverview()&&(o.preventDefault(),t.to({x:o.clientX,y:o.clientY,scale:d,pan:!1}))}))}},t=function(){var e=1,o=0,n=0,i=-1,d=-1,s=\"WebkitTransform\"in document.body.style||\"MozTransform\"in document.body.style||\"msTransform\"in document.body.style||\"OTransform\"in document.body.style||\"transform\"in document.body.style;function r(t,o){var n=y();if(t.width=t.width||1,t.height=t.height||1,t.x-=(window.innerWidth-t.width*o)/2,t.y-=(window.innerHeight-t.height*o)/2,s)if(1===o)document.body.style.transform=\"\",document.body.style.OTransform=\"\",document.body.style.msTransform=\"\",document.body.style.MozTransform=\"\",document.body.style.WebkitTransform=\"\";else{var i=n.x+\"px \"+n.y+\"px\",d=\"translate(\"+-t.x+\"px,\"+-t.y+\"px) scale(\"+o+\")\";document.body.style.transformOrigin=i,document.body.style.OTransformOrigin=i,document.body.style.msTransformOrigin=i,document.body.style.MozTransformOrigin=i,document.body.style.WebkitTransformOrigin=i,document.body.style.transform=d,document.body.style.OTransform=d,document.body.style.msTransform=d,document.body.style.MozTransform=d,document.body.style.WebkitTransform=d}else 1===o?(document.body.style.position=\"\",document.body.style.left=\"\",document.body.style.top=\"\",document.body.style.width=\"\",document.body.style.height=\"\",document.body.style.zoom=\"\"):(document.body.style.position=\"relative\",document.body.style.left=-(n.x+t.x)/o+\"px\",document.body.style.top=-(n.y+t.y)/o+\"px\",document.body.style.width=100*o+\"%\",document.body.style.height=100*o+\"%\",document.body.style.zoom=o);e=o,document.documentElement.classList&&(1!==e?document.documentElement.classList.add(\"zoomed\"):document.documentElement.classList.remove(\"zoomed\"))}function m(){var t=.12*window.innerWidth,i=.12*window.innerHeight,d=y();n<i?window.scroll(d.x,d.y-14/e*(1-n/i)):n>window.innerHeight-i&&window.scroll(d.x,d.y+(1-(window.innerHeight-n)/i)*(14/e)),o<t?window.scroll(d.x-14/e*(1-o/t),d.y):o>window.innerWidth-t&&window.scroll(d.x+(1-(window.innerWidth-o)/t)*(14/e),d.y)}function y(){return{x:void 0!==window.scrollX?window.scrollX:window.pageXOffset,y:void 0!==window.scrollY?window.scrollY:window.pageYOffset}}return s&&(document.body.style.transition=\"transform 0.8s ease\",document.body.style.OTransition=\"-o-transform 0.8s ease\",document.body.style.msTransition=\"-ms-transform 0.8s ease\",document.body.style.MozTransition=\"-moz-transform 0.8s ease\",document.body.style.WebkitTransition=\"-webkit-transform 0.8s ease\"),document.addEventListener(\"keyup\",(function(o){1!==e&&27===o.keyCode&&t.out()})),document.addEventListener(\"mousemove\",(function(t){1!==e&&(o=t.clientX,n=t.clientY)})),{to:function(o){if(1!==e)t.out();else{if(o.x=o.x||0,o.y=o.y||0,o.element){var n=o.element.getBoundingClientRect();o.x=n.left-20,o.y=n.top-20,o.width=n.width+40,o.height=n.height+40}void 0!==o.width&&void 0!==o.height&&(o.scale=Math.max(Math.min(window.innerWidth/o.width,window.innerHeight/o.height),1)),o.scale>1&&(o.x*=o.scale,o.y*=o.scale,r(o,o.scale),!1!==o.pan&&(i=setTimeout((function(){d=setInterval(m,1e3/60)}),800)))}},out:function(){clearTimeout(i),clearInterval(d),r({x:0,y:0},1),e=1},magnify:function(e){this.to(e)},reset:function(){this.out()},zoomLevel:function(){return e}}}();return function(){return e}}));\n",
"title": "$:/plugins/sukima/reveal-js/reveal-zoom.js",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/sukima/reveal-js/reveal.css": {
"text": "/*!\n* reveal.js 4.0.2\n* https://revealjs.com\n* MIT licensed\n*\n* Copyright (C) 2020 Hakim El Hattab, https://hakim.se\n*/\n.reveal .r-stretch,.reveal .stretch{max-width:none;max-height:none}.reveal pre.r-stretch code,.reveal pre.stretch code{height:100%;max-height:100%;box-sizing:border-box}.reveal .r-stack{display:grid}.reveal .r-stack>*{grid-area:1/1;margin:auto}.reveal .r-hstack,.reveal .r-vstack{display:flex}.reveal .r-vstack{flex-direction:column;align-items:center;justify-content:center}.reveal .r-hstack{flex-direction:row;align-items:center;justify-content:center}.reveal .items-stretch{align-items:stretch}.reveal .items-start{align-items:flex-start}.reveal .items-center{align-items:center}.reveal .items-end{align-items:flex-end}.reveal .justify-between{justify-content:space-between}.reveal .justify-around{justify-content:space-around}.reveal .justify-start{justify-content:flex-start}.reveal .justify-center{justify-content:center}.reveal .justify-end{justify-content:flex-end}html.reveal-full-page{width:100%;height:100%;height:100vh;height:calc(var(--vh,1vh) * 100);overflow:hidden}.reveal-viewport{height:100%;overflow:hidden;position:relative;line-height:1;margin:0;background-color:#fff;color:#000}.reveal .slides section .fragment{opacity:0;visibility:hidden;transition:all .2s ease;will-change:opacity}.reveal .slides section .fragment.visible{opacity:1;visibility:inherit}.reveal .slides section .fragment.disabled{transition:none}.reveal .slides section .fragment.grow{opacity:1;visibility:inherit}.reveal .slides section .fragment.grow.visible{transform:scale(1.3)}.reveal .slides section .fragment.shrink{opacity:1;visibility:inherit}.reveal .slides section .fragment.shrink.visible{transform:scale(.7)}.reveal .slides section .fragment.zoom-in{transform:scale(.1)}.reveal .slides section .fragment.zoom-in.visible{transform:none}.reveal .slides section .fragment.fade-out{opacity:1;visibility:inherit}.reveal .slides section .fragment.fade-out.visible{opacity:0;visibility:hidden}.reveal .slides section .fragment.semi-fade-out{opacity:1;visibility:inherit}.reveal .slides section .fragment.semi-fade-out.visible{opacity:.5;visibility:inherit}.reveal .slides section .fragment.strike{opacity:1;visibility:inherit}.reveal .slides section .fragment.strike.visible{text-decoration:line-through}.reveal .slides section .fragment.fade-up{transform:translate(0,40px)}.reveal .slides section .fragment.fade-up.visible{transform:translate(0,0)}.reveal .slides section .fragment.fade-down{transform:translate(0,-40px)}.reveal .slides section .fragment.fade-down.visible{transform:translate(0,0)}.reveal .slides section .fragment.fade-right{transform:translate(-40px,0)}.reveal .slides section .fragment.fade-right.visible{transform:translate(0,0)}.reveal .slides section .fragment.fade-left{transform:translate(40px,0)}.reveal .slides section .fragment.fade-left.visible{transform:translate(0,0)}.reveal .slides section .fragment.current-visible,.reveal .slides section .fragment.fade-in-then-out{opacity:0;visibility:hidden}.reveal .slides section .fragment.current-visible.current-fragment,.reveal .slides section .fragment.fade-in-then-out.current-fragment{opacity:1;visibility:inherit}.reveal .slides section .fragment.fade-in-then-semi-out{opacity:0;visibility:hidden}.reveal .slides section .fragment.fade-in-then-semi-out.visible{opacity:.5;visibility:inherit}.reveal .slides section .fragment.fade-in-then-semi-out.current-fragment{opacity:1;visibility:inherit}.reveal .slides section .fragment.highlight-blue,.reveal .slides section .fragment.highlight-current-blue,.reveal .slides section .fragment.highlight-current-green,.reveal .slides section .fragment.highlight-current-red,.reveal .slides section .fragment.highlight-green,.reveal .slides section .fragment.highlight-red{opacity:1;visibility:inherit}.reveal .slides section .fragment.highlight-red.visible{color:#ff2c2d}.reveal .slides section .fragment.highlight-green.visible{color:#17ff2e}.reveal .slides section .fragment.highlight-blue.visible{color:#1b91ff}.reveal .slides section .fragment.highlight-current-red.current-fragment{color:#ff2c2d}.reveal .slides section .fragment.highlight-current-green.current-fragment{color:#17ff2e}.reveal .slides section .fragment.highlight-current-blue.current-fragment{color:#1b91ff}.reveal:after{content:'';font-style:italic}.reveal iframe{z-index:1}.reveal a{position:relative}@keyframes bounce-right{0%,10%,25%,40%,50%{transform:translateX(0)}20%{transform:translateX(10px)}30%{transform:translateX(-5px)}}@keyframes bounce-left{0%,10%,25%,40%,50%{transform:translateX(0)}20%{transform:translateX(-10px)}30%{transform:translateX(5px)}}@keyframes bounce-down{0%,10%,25%,40%,50%{transform:translateY(0)}20%{transform:translateY(10px)}30%{transform:translateY(-5px)}}.reveal .controls{display:none;position:absolute;top:auto;bottom:12px;right:12px;left:auto;z-index:11;color:#000;pointer-events:none;font-size:10px}.reveal .controls button{position:absolute;padding:0;background-color:transparent;border:0;outline:0;cursor:pointer;color:currentColor;transform:scale(.9999);transition:color .2s ease,opacity .2s ease,transform .2s ease;z-index:2;pointer-events:auto;font-size:inherit;visibility:hidden;opacity:0;-webkit-appearance:none;-webkit-tap-highlight-color:transparent}.reveal .controls .controls-arrow:after,.reveal .controls .controls-arrow:before{content:'';position:absolute;top:0;left:0;width:2.6em;height:.5em;border-radius:.25em;background-color:currentColor;transition:all .15s ease,background-color .8s ease;transform-origin:.2em 50%;will-change:transform}.reveal .controls .controls-arrow{position:relative;width:3.6em;height:3.6em}.reveal .controls .controls-arrow:before{transform:translateX(.5em) translateY(1.55em) rotate(45deg)}.reveal .controls .controls-arrow:after{transform:translateX(.5em) translateY(1.55em) rotate(-45deg)}.reveal .controls .controls-arrow:hover:before{transform:translateX(.5em) translateY(1.55em) rotate(40deg)}.reveal .controls .controls-arrow:hover:after{transform:translateX(.5em) translateY(1.55em) rotate(-40deg)}.reveal .controls .controls-arrow:active:before{transform:translateX(.5em) translateY(1.55em) rotate(36deg)}.reveal .controls .controls-arrow:active:after{transform:translateX(.5em) translateY(1.55em) rotate(-36deg)}.reveal .controls .navigate-left{right:6.4em;bottom:3.2em;transform:translateX(-10px)}.reveal .controls .navigate-left.highlight{animation:bounce-left 2s 50 both ease-out}.reveal .controls .navigate-right{right:0;bottom:3.2em;transform:translateX(10px)}.reveal .controls .navigate-right .controls-arrow{transform:rotate(180deg)}.reveal .controls .navigate-right.highlight{animation:bounce-right 2s 50 both ease-out}.reveal .controls .navigate-up{right:3.2em;bottom:6.4em;transform:translateY(-10px)}.reveal .controls .navigate-up .controls-arrow{transform:rotate(90deg)}.reveal .controls .navigate-down{right:3.2em;bottom:-1.4em;padding-bottom:1.4em;transform:translateY(10px)}.reveal .controls .navigate-down .controls-arrow{transform:rotate(-90deg)}.reveal .controls .navigate-down.highlight{animation:bounce-down 2s 50 both ease-out}.reveal .controls[data-controls-back-arrows=faded] .navigate-up.enabled{opacity:.3}.reveal .controls[data-controls-back-arrows=faded] .navigate-up.enabled:hover{opacity:1}.reveal .controls[data-controls-back-arrows=hidden] .navigate-up.enabled{opacity:0;visibility:hidden}.reveal .controls .enabled{visibility:visible;opacity:.9;cursor:pointer;transform:none}.reveal .controls .enabled.fragmented{opacity:.5}.reveal .controls .enabled.fragmented:hover,.reveal .controls .enabled:hover{opacity:1}.reveal:not(.rtl) .controls[data-controls-back-arrows=faded] .navigate-left.enabled{opacity:.3}.reveal:not(.rtl) .controls[data-controls-back-arrows=faded] .navigate-left.enabled:hover{opacity:1}.reveal:not(.rtl) .controls[data-controls-back-arrows=hidden] .navigate-left.enabled{opacity:0;visibility:hidden}.reveal.rtl .controls[data-controls-back-arrows=faded] .navigate-right.enabled{opacity:.3}.reveal.rtl .controls[data-controls-back-arrows=faded] .navigate-right.enabled:hover{opacity:1}.reveal.rtl .controls[data-controls-back-arrows=hidden] .navigate-right.enabled{opacity:0;visibility:hidden}.reveal[data-navigation-mode=linear].has-horizontal-slides .navigate-down,.reveal[data-navigation-mode=linear].has-horizontal-slides .navigate-up{display:none}.reveal:not(.has-vertical-slides) .controls .navigate-left,.reveal[data-navigation-mode=linear].has-horizontal-slides .navigate-left{bottom:1.4em;right:5.5em}.reveal:not(.has-vertical-slides) .controls .navigate-right,.reveal[data-navigation-mode=linear].has-horizontal-slides .navigate-right{bottom:1.4em;right:.5em}.reveal:not(.has-horizontal-slides) .controls .navigate-up{right:1.4em;bottom:5em}.reveal:not(.has-horizontal-slides) .controls .navigate-down{right:1.4em;bottom:.5em}.reveal.has-dark-background .controls{color:#fff}.reveal.has-light-background .controls{color:#000}.reveal.no-hover .controls .controls-arrow:active:before,.reveal.no-hover .controls .controls-arrow:hover:before{transform:translateX(.5em) translateY(1.55em) rotate(45deg)}.reveal.no-hover .controls .controls-arrow:active:after,.reveal.no-hover .controls .controls-arrow:hover:after{transform:translateX(.5em) translateY(1.55em) rotate(-45deg)}@media screen and (min-width:500px){.reveal .controls[data-controls-layout=edges]{top:0;right:0;bottom:0;left:0}.reveal .controls[data-controls-layout=edges] .navigate-down,.reveal .controls[data-controls-layout=edges] .navigate-left,.reveal .controls[data-controls-layout=edges] .navigate-right,.reveal .controls[data-controls-layout=edges] .navigate-up{bottom:auto;right:auto}.reveal .controls[data-controls-layout=edges] .navigate-left{top:50%;left:.8em;margin-top:-1.8em}.reveal .controls[data-controls-layout=edges] .navigate-right{top:50%;right:.8em;margin-top:-1.8em}.reveal .controls[data-controls-layout=edges] .navigate-up{top:.8em;left:50%;margin-left:-1.8em}.reveal .controls[data-controls-layout=edges] .navigate-down{bottom:-.3em;left:50%;margin-left:-1.8em}}.reveal .progress{position:absolute;display:none;height:3px;width:100%;bottom:0;left:0;z-index:10;background-color:rgba(0,0,0,.2);color:#fff}.reveal .progress:after{content:'';display:block;position:absolute;height:10px;width:100%;top:-10px}.reveal .progress span{display:block;height:100%;width:100%;background-color:currentColor;transition:transform .8s cubic-bezier(.26,.86,.44,.985);transform-origin:0 0;transform:scaleX(0)}.reveal .slide-number{position:absolute;display:block;right:8px;bottom:8px;z-index:31;font-family:Helvetica,sans-serif;font-size:12px;line-height:1;color:#fff;background-color:rgba(0,0,0,.4);padding:5px}.reveal .slide-number a{color:currentColor}.reveal .slide-number-delimiter{margin:0 3px}.reveal{position:relative;width:100%;height:100%;overflow:hidden;touch-action:pinch-zoom}.reveal.embedded{touch-action:pan-y}.reveal .slides{position:absolute;width:100%;height:100%;top:0;right:0;bottom:0;left:0;margin:auto;pointer-events:none;overflow:visible;z-index:1;text-align:center;perspective:600px;perspective-origin:50% 40%}.reveal .slides>section{perspective:600px}.reveal .slides>section,.reveal .slides>section>section{display:none;position:absolute;width:100%;padding:20px 0;pointer-events:auto;z-index:10;transform-style:flat;transition:transform-origin .8s cubic-bezier(.26,.86,.44,.985),transform .8s cubic-bezier(.26,.86,.44,.985),visibility .8s cubic-bezier(.26,.86,.44,.985),opacity .8s cubic-bezier(.26,.86,.44,.985)}.reveal[data-transition-speed=fast] .slides section{transition-duration:.4s}.reveal[data-transition-speed=slow] .slides section{transition-duration:1.2s}.reveal .slides section[data-transition-speed=fast]{transition-duration:.4s}.reveal .slides section[data-transition-speed=slow]{transition-duration:1.2s}.reveal .slides>section.stack{padding-top:0;padding-bottom:0;pointer-events:none;height:100%}.reveal .slides>section.present,.reveal .slides>section>section.present{display:block;z-index:11;opacity:1}.reveal .slides>section:empty,.reveal .slides>section>section:empty,.reveal .slides>section>section[data-background-interactive],.reveal .slides>section[data-background-interactive]{pointer-events:none}.reveal.center,.reveal.center .slides,.reveal.center .slides section{min-height:0!important}.reveal .slides>section:not(.present),.reveal .slides>section>section:not(.present){pointer-events:none}.reveal.overview .slides>section,.reveal.overview .slides>section>section{pointer-events:auto}.reveal .slides>section.future,.reveal .slides>section.past,.reveal .slides>section>section.future,.reveal .slides>section>section.past{opacity:0}.reveal.slide section{-webkit-backface-visibility:hidden;backface-visibility:hidden}.reveal .slides>section[data-transition=slide].past,.reveal .slides>section[data-transition~=slide-out].past,.reveal.slide .slides>section:not([data-transition]).past{transform:translate(-150%,0)}.reveal .slides>section[data-transition=slide].future,.reveal .slides>section[data-transition~=slide-in].future,.reveal.slide .slides>section:not([data-transition]).future{transform:translate(150%,0)}.reveal .slides>section>section[data-transition=slide].past,.reveal .slides>section>section[data-transition~=slide-out].past,.reveal.slide .slides>section>section:not([data-transition]).past{transform:translate(0,-150%)}.reveal .slides>section>section[data-transition=slide].future,.reveal .slides>section>section[data-transition~=slide-in].future,.reveal.slide .slides>section>section:not([data-transition]).future{transform:translate(0,150%)}.reveal.linear section{-webkit-backface-visibility:hidden;backface-visibility:hidden}.reveal .slides>section[data-transition=linear].past,.reveal .slides>section[data-transition~=linear-out].past,.reveal.linear .slides>section:not([data-transition]).past{transform:translate(-150%,0)}.reveal .slides>section[data-transition=linear].future,.reveal .slides>section[data-transition~=linear-in].future,.reveal.linear .slides>section:not([data-transition]).future{transform:translate(150%,0)}.reveal .slides>section>section[data-transition=linear].past,.reveal .slides>section>section[data-transition~=linear-out].past,.reveal.linear .slides>section>section:not([data-transition]).past{transform:translate(0,-150%)}.reveal .slides>section>section[data-transition=linear].future,.reveal .slides>section>section[data-transition~=linear-in].future,.reveal.linear .slides>section>section:not([data-transition]).future{transform:translate(0,150%)}.reveal .slides section[data-transition=default].stack,.reveal.default .slides section.stack{transform-style:preserve-3d}.reveal .slides>section[data-transition=default].past,.reveal .slides>section[data-transition~=default-out].past,.reveal.default .slides>section:not([data-transition]).past{transform:translate3d(-100%,0,0) rotateY(-90deg) translate3d(-100%,0,0)}.reveal .slides>section[data-transition=default].future,.reveal .slides>section[data-transition~=default-in].future,.reveal.default .slides>section:not([data-transition]).future{transform:translate3d(100%,0,0) rotateY(90deg) translate3d(100%,0,0)}.reveal .slides>section>section[data-transition=default].past,.reveal .slides>section>section[data-transition~=default-out].past,.reveal.default .slides>section>section:not([data-transition]).past{transform:translate3d(0,-300px,0) rotateX(70deg) translate3d(0,-300px,0)}.reveal .slides>section>section[data-transition=default].future,.reveal .slides>section>section[data-transition~=default-in].future,.reveal.default .slides>section>section:not([data-transition]).future{transform:translate3d(0,300px,0) rotateX(-70deg) translate3d(0,300px,0)}.reveal .slides section[data-transition=convex].stack,.reveal.convex .slides section.stack{transform-style:preserve-3d}.reveal .slides>section[data-transition=convex].past,.reveal .slides>section[data-transition~=convex-out].past,.reveal.convex .slides>section:not([data-transition]).past{transform:translate3d(-100%,0,0) rotateY(-90deg) translate3d(-100%,0,0)}.reveal .slides>section[data-transition=convex].future,.reveal .slides>section[data-transition~=convex-in].future,.reveal.convex .slides>section:not([data-transition]).future{transform:translate3d(100%,0,0) rotateY(90deg) translate3d(100%,0,0)}.reveal .slides>section>section[data-transition=convex].past,.reveal .slides>section>section[data-transition~=convex-out].past,.reveal.convex .slides>section>section:not([data-transition]).past{transform:translate3d(0,-300px,0) rotateX(70deg) translate3d(0,-300px,0)}.reveal .slides>section>section[data-transition=convex].future,.reveal .slides>section>section[data-transition~=convex-in].future,.reveal.convex .slides>section>section:not([data-transition]).future{transform:translate3d(0,300px,0) rotateX(-70deg) translate3d(0,300px,0)}.reveal .slides section[data-transition=concave].stack,.reveal.concave .slides section.stack{transform-style:preserve-3d}.reveal .slides>section[data-transition=concave].past,.reveal .slides>section[data-transition~=concave-out].past,.reveal.concave .slides>section:not([data-transition]).past{transform:translate3d(-100%,0,0) rotateY(90deg) translate3d(-100%,0,0)}.reveal .slides>section[data-transition=concave].future,.reveal .slides>section[data-transition~=concave-in].future,.reveal.concave .slides>section:not([data-transition]).future{transform:translate3d(100%,0,0) rotateY(-90deg) translate3d(100%,0,0)}.reveal .slides>section>section[data-transition=concave].past,.reveal .slides>section>section[data-transition~=concave-out].past,.reveal.concave .slides>section>section:not([data-transition]).past{transform:translate3d(0,-80%,0) rotateX(-70deg) translate3d(0,-80%,0)}.reveal .slides>section>section[data-transition=concave].future,.reveal .slides>section>section[data-transition~=concave-in].future,.reveal.concave .slides>section>section:not([data-transition]).future{transform:translate3d(0,80%,0) rotateX(70deg) translate3d(0,80%,0)}.reveal .slides section[data-transition=zoom],.reveal.zoom .slides section:not([data-transition]){transition-timing-function:ease}.reveal .slides>section[data-transition=zoom].past,.reveal .slides>section[data-transition~=zoom-out].past,.reveal.zoom .slides>section:not([data-transition]).past{visibility:hidden;transform:scale(16)}.reveal .slides>section[data-transition=zoom].future,.reveal .slides>section[data-transition~=zoom-in].future,.reveal.zoom .slides>section:not([data-transition]).future{visibility:hidden;transform:scale(.2)}.reveal .slides>section>section[data-transition=zoom].past,.reveal .slides>section>section[data-transition~=zoom-out].past,.reveal.zoom .slides>section>section:not([data-transition]).past{transform:scale(16)}.reveal .slides>section>section[data-transition=zoom].future,.reveal .slides>section>section[data-transition~=zoom-in].future,.reveal.zoom .slides>section>section:not([data-transition]).future{transform:scale(.2)}.reveal.cube .slides{perspective:1300px}.reveal.cube .slides section{padding:30px;min-height:700px;-webkit-backface-visibility:hidden;backface-visibility:hidden;box-sizing:border-box;transform-style:preserve-3d}.reveal.center.cube .slides section{min-height:0}.reveal.cube .slides section:not(.stack):before{content:'';position:absolute;display:block;width:100%;height:100%;left:0;top:0;background:rgba(0,0,0,.1);border-radius:4px;transform:translateZ(-20px)}.reveal.cube .slides section:not(.stack):after{content:'';position:absolute;display:block;width:90%;height:30px;left:5%;bottom:0;background:0 0;z-index:1;border-radius:4px;box-shadow:0 95px 25px rgba(0,0,0,.2);transform:translateZ(-90px) rotateX(65deg)}.reveal.cube .slides>section.stack{padding:0;background:0 0}.reveal.cube .slides>section.past{transform-origin:100% 0;transform:translate3d(-100%,0,0) rotateY(-90deg)}.reveal.cube .slides>section.future{transform-origin:0 0;transform:translate3d(100%,0,0) rotateY(90deg)}.reveal.cube .slides>section>section.past{transform-origin:0 100%;transform:translate3d(0,-100%,0) rotateX(90deg)}.reveal.cube .slides>section>section.future{transform-origin:0 0;transform:translate3d(0,100%,0) rotateX(-90deg)}.reveal.page .slides{perspective-origin:0 50%;perspective:3000px}.reveal.page .slides section{padding:30px;min-height:700px;box-sizing:border-box;transform-style:preserve-3d}.reveal.page .slides section.past{z-index:12}.reveal.page .slides section:not(.stack):before{content:'';position:absolute;display:block;width:100%;height:100%;left:0;top:0;background:rgba(0,0,0,.1);transform:translateZ(-20px)}.reveal.page .slides section:not(.stack):after{content:'';position:absolute;display:block;width:90%;height:30px;left:5%;bottom:0;background:0 0;z-index:1;border-radius:4px;box-shadow:0 95px 25px rgba(0,0,0,.2);-webkit-transform:translateZ(-90px) rotateX(65deg)}.reveal.page .slides>section.stack{padding:0;background:0 0}.reveal.page .slides>section.past{transform-origin:0 0;transform:translate3d(-40%,0,0) rotateY(-80deg)}.reveal.page .slides>section.future{transform-origin:100% 0;transform:translate3d(0,0,0)}.reveal.page .slides>section>section.past{transform-origin:0 0;transform:translate3d(0,-40%,0) rotateX(80deg)}.reveal.page .slides>section>section.future{transform-origin:0 100%;transform:translate3d(0,0,0)}.reveal .slides section[data-transition=fade],.reveal.fade .slides section:not([data-transition]),.reveal.fade .slides>section>section:not([data-transition]){transform:none;transition:opacity .5s}.reveal.fade.overview .slides section,.reveal.fade.overview .slides>section>section{transition:none}.reveal .slides section[data-transition=none],.reveal.none .slides section:not([data-transition]){transform:none;transition:none}.reveal .pause-overlay{position:absolute;top:0;left:0;width:100%;height:100%;background:#000;visibility:hidden;opacity:0;z-index:100;transition:all 1s ease}.reveal .pause-overlay .resume-button{position:absolute;bottom:20px;right:20px;color:#ccc;border-radius:2px;padding:6px 14px;border:2px solid #ccc;font-size:16px;background:0 0;cursor:pointer}.reveal .pause-overlay .resume-button:hover{color:#fff;border-color:#fff}.reveal.paused .pause-overlay{visibility:visible;opacity:1}.reveal .no-transition,.reveal .no-transition *,.reveal .slides.disable-slide-transitions section{transition:none!important}.reveal .slides.disable-slide-transitions section{transform:none!important}.reveal .backgrounds{position:absolute;width:100%;height:100%;top:0;left:0;perspective:600px}.reveal .slide-background{display:none;position:absolute;width:100%;height:100%;opacity:0;visibility:hidden;overflow:hidden;background-color:rgba(0,0,0,0);transition:all .8s cubic-bezier(.26,.86,.44,.985)}.reveal .slide-background-content{position:absolute;width:100%;height:100%;background-position:50% 50%;background-repeat:no-repeat;background-size:cover}.reveal .slide-background.stack{display:block}.reveal .slide-background.present{opacity:1;visibility:visible;z-index:2}.print-pdf .reveal .slide-background{opacity:1!important;visibility:visible!important}.reveal .slide-background video{position:absolute;width:100%;height:100%;max-width:none;max-height:none;top:0;left:0;-o-object-fit:cover;object-fit:cover}.reveal .slide-background[data-background-size=contain] video{-o-object-fit:contain;object-fit:contain}.reveal>.backgrounds .slide-background[data-background-transition=none],.reveal[data-background-transition=none]>.backgrounds .slide-background{transition:none}.reveal>.backgrounds .slide-background[data-background-transition=slide],.reveal[data-background-transition=slide]>.backgrounds .slide-background{opacity:1;-webkit-backface-visibility:hidden;backface-visibility:hidden}.reveal>.backgrounds .slide-background.past[data-background-transition=slide],.reveal[data-background-transition=slide]>.backgrounds .slide-background.past{transform:translate(-100%,0)}.reveal>.backgrounds .slide-background.future[data-background-transition=slide],.reveal[data-background-transition=slide]>.backgrounds .slide-background.future{transform:translate(100%,0)}.reveal>.backgrounds .slide-background>.slide-background.past[data-background-transition=slide],.reveal[data-background-transition=slide]>.backgrounds .slide-background>.slide-background.past{transform:translate(0,-100%)}.reveal>.backgrounds .slide-background>.slide-background.future[data-background-transition=slide],.reveal[data-background-transition=slide]>.backgrounds .slide-background>.slide-background.future{transform:translate(0,100%)}.reveal>.backgrounds .slide-background.past[data-background-transition=convex],.reveal[data-background-transition=convex]>.backgrounds .slide-background.past{opacity:0;transform:translate3d(-100%,0,0) rotateY(-90deg) translate3d(-100%,0,0)}.reveal>.backgrounds .slide-background.future[data-background-transition=convex],.reveal[data-background-transition=convex]>.backgrounds .slide-background.future{opacity:0;transform:translate3d(100%,0,0) rotateY(90deg) translate3d(100%,0,0)}.reveal>.backgrounds .slide-background>.slide-background.past[data-background-transition=convex],.reveal[data-background-transition=convex]>.backgrounds .slide-background>.slide-background.past{opacity:0;transform:translate3d(0,-100%,0) rotateX(90deg) translate3d(0,-100%,0)}.reveal>.backgrounds .slide-background>.slide-background.future[data-background-transition=convex],.reveal[data-background-transition=convex]>.backgrounds .slide-background>.slide-background.future{opacity:0;transform:translate3d(0,100%,0) rotateX(-90deg) translate3d(0,100%,0)}.reveal>.backgrounds .slide-background.past[data-background-transition=concave],.reveal[data-background-transition=concave]>.backgrounds .slide-background.past{opacity:0;transform:translate3d(-100%,0,0) rotateY(90deg) translate3d(-100%,0,0)}.reveal>.backgrounds .slide-background.future[data-background-transition=concave],.reveal[data-background-transition=concave]>.backgrounds .slide-background.future{opacity:0;transform:translate3d(100%,0,0) rotateY(-90deg) translate3d(100%,0,0)}.reveal>.backgrounds .slide-background>.slide-background.past[data-background-transition=concave],.reveal[data-background-transition=concave]>.backgrounds .slide-background>.slide-background.past{opacity:0;transform:translate3d(0,-100%,0) rotateX(-90deg) translate3d(0,-100%,0)}.reveal>.backgrounds .slide-background>.slide-background.future[data-background-transition=concave],.reveal[data-background-transition=concave]>.backgrounds .slide-background>.slide-background.future{opacity:0;transform:translate3d(0,100%,0) rotateX(90deg) translate3d(0,100%,0)}.reveal>.backgrounds .slide-background[data-background-transition=zoom],.reveal[data-background-transition=zoom]>.backgrounds .slide-background{transition-timing-function:ease}.reveal>.backgrounds .slide-background.past[data-background-transition=zoom],.reveal[data-background-transition=zoom]>.backgrounds .slide-background.past{opacity:0;visibility:hidden;transform:scale(16)}.reveal>.backgrounds .slide-background.future[data-background-transition=zoom],.reveal[data-background-transition=zoom]>.backgrounds .slide-background.future{opacity:0;visibility:hidden;transform:scale(.2)}.reveal>.backgrounds .slide-background>.slide-background.past[data-background-transition=zoom],.reveal[data-background-transition=zoom]>.backgrounds .slide-background>.slide-background.past{opacity:0;visibility:hidden;transform:scale(16)}.reveal>.backgrounds .slide-background>.slide-background.future[data-background-transition=zoom],.reveal[data-background-transition=zoom]>.backgrounds .slide-background>.slide-background.future{opacity:0;visibility:hidden;transform:scale(.2)}.reveal[data-transition-speed=fast]>.backgrounds .slide-background{transition-duration:.4s}.reveal[data-transition-speed=slow]>.backgrounds .slide-background{transition-duration:1.2s}.reveal [data-auto-animate-target^=unmatched]{will-change:opacity}.reveal section[data-auto-animate]:not(.stack):not([data-auto-animate=running]) [data-auto-animate-target^=unmatched]{opacity:0}.reveal.overview{perspective-origin:50% 50%;perspective:700px}.reveal.overview .slides{-moz-transform-style:preserve-3d}.reveal.overview .slides section{height:100%;top:0!important;opacity:1!important;overflow:hidden;visibility:visible!important;cursor:pointer;box-sizing:border-box}.reveal.overview .slides section.present,.reveal.overview .slides section:hover{outline:10px solid rgba(150,150,150,.4);outline-offset:10px}.reveal.overview .slides section .fragment{opacity:1;transition:none}.reveal.overview .slides section:after,.reveal.overview .slides section:before{display:none!important}.reveal.overview .slides>section.stack{padding:0;top:0!important;background:0 0;outline:0;overflow:visible}.reveal.overview .backgrounds{perspective:inherit;-moz-transform-style:preserve-3d}.reveal.overview .backgrounds .slide-background{opacity:1;visibility:visible;outline:10px solid rgba(150,150,150,.1);outline-offset:10px}.reveal.overview .backgrounds .slide-background.stack{overflow:visible}.reveal.overview .slides section,.reveal.overview-deactivating .slides section{transition:none}.reveal.overview .backgrounds .slide-background,.reveal.overview-deactivating .backgrounds .slide-background{transition:none}.reveal.rtl .slides,.reveal.rtl .slides h1,.reveal.rtl .slides h2,.reveal.rtl .slides h3,.reveal.rtl .slides h4,.reveal.rtl .slides h5,.reveal.rtl .slides h6{direction:rtl;font-family:sans-serif}.reveal.rtl code,.reveal.rtl pre{direction:ltr}.reveal.rtl ol,.reveal.rtl ul{text-align:right}.reveal.rtl .progress span{transform-origin:100% 0}.reveal.has-parallax-background .backgrounds{transition:all .8s ease}.reveal.has-parallax-background[data-transition-speed=fast] .backgrounds{transition-duration:.4s}.reveal.has-parallax-background[data-transition-speed=slow] .backgrounds{transition-duration:1.2s}.reveal>.overlay{position:absolute;top:0;left:0;width:100%;height:100%;z-index:1000;background:rgba(0,0,0,.9);transition:all .3s ease}.reveal>.overlay .spinner{position:absolute;display:block;top:50%;left:50%;width:32px;height:32px;margin:-16px 0 0 -16px;z-index:10;background-image:url(data:image/gif;base64,R0lGODlhIAAgAPMAAJmZmf%2F%2F%2F6%2Bvr8nJybW1tcDAwOjo6Nvb26ioqKOjo7Ozs%2FLy8vz8%2FAAAAAAAAAAAACH%2FC05FVFNDQVBFMi4wAwEAAAAh%2FhpDcmVhdGVkIHdpdGggYWpheGxvYWQuaW5mbwAh%2BQQJCgAAACwAAAAAIAAgAAAE5xDISWlhperN52JLhSSdRgwVo1ICQZRUsiwHpTJT4iowNS8vyW2icCF6k8HMMBkCEDskxTBDAZwuAkkqIfxIQyhBQBFvAQSDITM5VDW6XNE4KagNh6Bgwe60smQUB3d4Rz1ZBApnFASDd0hihh12BkE9kjAJVlycXIg7CQIFA6SlnJ87paqbSKiKoqusnbMdmDC2tXQlkUhziYtyWTxIfy6BE8WJt5YJvpJivxNaGmLHT0VnOgSYf0dZXS7APdpB309RnHOG5gDqXGLDaC457D1zZ%2FV%2FnmOM82XiHRLYKhKP1oZmADdEAAAh%2BQQJCgAAACwAAAAAIAAgAAAE6hDISWlZpOrNp1lGNRSdRpDUolIGw5RUYhhHukqFu8DsrEyqnWThGvAmhVlteBvojpTDDBUEIFwMFBRAmBkSgOrBFZogCASwBDEY%2FCZSg7GSE0gSCjQBMVG023xWBhklAnoEdhQEfyNqMIcKjhRsjEdnezB%2BA4k8gTwJhFuiW4dokXiloUepBAp5qaKpp6%2BHo7aWW54wl7obvEe0kRuoplCGepwSx2jJvqHEmGt6whJpGpfJCHmOoNHKaHx61WiSR92E4lbFoq%2BB6QDtuetcaBPnW6%2BO7wDHpIiK9SaVK5GgV543tzjgGcghAgAh%2BQQJCgAAACwAAAAAIAAgAAAE7hDISSkxpOrN5zFHNWRdhSiVoVLHspRUMoyUakyEe8PTPCATW9A14E0UvuAKMNAZKYUZCiBMuBakSQKG8G2FzUWox2AUtAQFcBKlVQoLgQReZhQlCIJesQXI5B0CBnUMOxMCenoCfTCEWBsJColTMANldx15BGs8B5wlCZ9Po6OJkwmRpnqkqnuSrayqfKmqpLajoiW5HJq7FL1Gr2mMMcKUMIiJgIemy7xZtJsTmsM4xHiKv5KMCXqfyUCJEonXPN2rAOIAmsfB3uPoAK%2B%2BG%2Bw48edZPK%2BM6hLJpQg484enXIdQFSS1u6UhksENEQAAIfkECQoAAAAsAAAAACAAIAAABOcQyEmpGKLqzWcZRVUQnZYg1aBSh2GUVEIQ2aQOE%2BG%2BcD4ntpWkZQj1JIiZIogDFFyHI0UxQwFugMSOFIPJftfVAEoZLBbcLEFhlQiqGp1Vd140AUklUN3eCA51C1EWMzMCezCBBmkxVIVHBWd3HHl9JQOIJSdSnJ0TDKChCwUJjoWMPaGqDKannasMo6WnM562R5YluZRwur0wpgqZE7NKUm%2BFNRPIhjBJxKZteWuIBMN4zRMIVIhffcgojwCF117i4nlLnY5ztRLsnOk%2BaV%2BoJY7V7m76PdkS4trKcdg0Zc0tTcKkRAAAIfkECQoAAAAsAAAAACAAIAAABO4QyEkpKqjqzScpRaVkXZWQEximw1BSCUEIlDohrft6cpKCk5xid5MNJTaAIkekKGQkWyKHkvhKsR7ARmitkAYDYRIbUQRQjWBwJRzChi9CRlBcY1UN4g0%2FVNB0AlcvcAYHRyZPdEQFYV8ccwR5HWxEJ02YmRMLnJ1xCYp0Y5idpQuhopmmC2KgojKasUQDk5BNAwwMOh2RtRq5uQuPZKGIJQIGwAwGf6I0JXMpC8C7kXWDBINFMxS4DKMAWVWAGYsAdNqW5uaRxkSKJOZKaU3tPOBZ4DuK2LATgJhkPJMgTwKCdFjyPHEnKxFCDhEAACH5BAkKAAAALAAAAAAgACAAAATzEMhJaVKp6s2nIkolIJ2WkBShpkVRWqqQrhLSEu9MZJKK9y1ZrqYK9WiClmvoUaF8gIQSNeF1Er4MNFn4SRSDARWroAIETg1iVwuHjYB1kYc1mwruwXKC9gmsJXliGxc%2BXiUCby9ydh1sOSdMkpMTBpaXBzsfhoc5l58Gm5yToAaZhaOUqjkDgCWNHAULCwOLaTmzswadEqggQwgHuQsHIoZCHQMMQgQGubVEcxOPFAcMDAYUA85eWARmfSRQCdcMe0zeP1AAygwLlJtPNAAL19DARdPzBOWSm1brJBi45soRAWQAAkrQIykShQ9wVhHCwCQCACH5BAkKAAAALAAAAAAgACAAAATrEMhJaVKp6s2nIkqFZF2VIBWhUsJaTokqUCoBq%2BE71SRQeyqUToLA7VxF0JDyIQh%2FMVVPMt1ECZlfcjZJ9mIKoaTl1MRIl5o4CUKXOwmyrCInCKqcWtvadL2SYhyASyNDJ0uIiRMDjI0Fd30%2FiI2UA5GSS5UDj2l6NoqgOgN4gksEBgYFf0FDqKgHnyZ9OX8HrgYHdHpcHQULXAS2qKpENRg7eAMLC7kTBaixUYFkKAzWAAnLC7FLVxLWDBLKCwaKTULgEwbLA4hJtOkSBNqITT3xEgfLpBtzE%2FjiuL04RGEBgwWhShRgQExHBAAh%2BQQJCgAAACwAAAAAIAAgAAAE7xDISWlSqerNpyJKhWRdlSAVoVLCWk6JKlAqAavhO9UkUHsqlE6CwO1cRdCQ8iEIfzFVTzLdRAmZX3I2SfZiCqGk5dTESJeaOAlClzsJsqwiJwiqnFrb2nS9kmIcgEsjQydLiIlHehhpejaIjzh9eomSjZR%2BipslWIRLAgMDOR2DOqKogTB9pCUJBagDBXR6XB0EBkIIsaRsGGMMAxoDBgYHTKJiUYEGDAzHC9EACcUGkIgFzgwZ0QsSBcXHiQvOwgDdEwfFs0sDzt4S6BK4xYjkDOzn0unFeBzOBijIm1Dgmg5YFQwsCMjp1oJ8LyIAACH5BAkKAAAALAAAAAAgACAAAATwEMhJaVKp6s2nIkqFZF2VIBWhUsJaTokqUCoBq%2BE71SRQeyqUToLA7VxF0JDyIQh%2FMVVPMt1ECZlfcjZJ9mIKoaTl1MRIl5o4CUKXOwmyrCInCKqcWtvadL2SYhyASyNDJ0uIiUd6GGl6NoiPOH16iZKNlH6KmyWFOggHhEEvAwwMA0N9GBsEC6amhnVcEwavDAazGwIDaH1ipaYLBUTCGgQDA8NdHz0FpqgTBwsLqAbWAAnIA4FWKdMLGdYGEgraigbT0OITBcg5QwPT4xLrROZL6AuQAPUS7bxLpoWidY0JtxLHKhwwMJBTHgPKdEQAACH5BAkKAAAALAAAAAAgACAAAATrEMhJaVKp6s2nIkqFZF2VIBWhUsJaTokqUCoBq%2BE71SRQeyqUToLA7VxF0JDyIQh%2FMVVPMt1ECZlfcjZJ9mIKoaTl1MRIl5o4CUKXOwmyrCInCKqcWtvadL2SYhyASyNDJ0uIiUd6GAULDJCRiXo1CpGXDJOUjY%2BYip9DhToJA4RBLwMLCwVDfRgbBAaqqoZ1XBMHswsHtxtFaH1iqaoGNgAIxRpbFAgfPQSqpbgGBqUD1wBXeCYp1AYZ19JJOYgH1KwA4UBvQwXUBxPqVD9L3sbp2BNk2xvvFPJd%2BMFCN6HAAIKgNggY0KtEBAAh%2BQQJCgAAACwAAAAAIAAgAAAE6BDISWlSqerNpyJKhWRdlSAVoVLCWk6JKlAqAavhO9UkUHsqlE6CwO1cRdCQ8iEIfzFVTzLdRAmZX3I2SfYIDMaAFdTESJeaEDAIMxYFqrOUaNW4E4ObYcCXaiBVEgULe0NJaxxtYksjh2NLkZISgDgJhHthkpU4mW6blRiYmZOlh4JWkDqILwUGBnE6TYEbCgevr0N1gH4At7gHiRpFaLNrrq8HNgAJA70AWxQIH1%2BvsYMDAzZQPC9VCNkDWUhGkuE5PxJNwiUK4UfLzOlD4WvzAHaoG9nxPi5d%2BjYUqfAhhykOFwJWiAAAIfkECQoAAAAsAAAAACAAIAAABPAQyElpUqnqzaciSoVkXVUMFaFSwlpOCcMYlErAavhOMnNLNo8KsZsMZItJEIDIFSkLGQoQTNhIsFehRww2CQLKF0tYGKYSg%2BygsZIuNqJksKgbfgIGepNo2cIUB3V1B3IvNiBYNQaDSTtfhhx0CwVPI0UJe0%2Bbm4g5VgcGoqOcnjmjqDSdnhgEoamcsZuXO1aWQy8KAwOAuTYYGwi7w5h%2BKr0SJ8MFihpNbx%2B4Erq7BYBuzsdiH1jCAzoSfl0rVirNbRXlBBlLX%2BBP0XJLAPGzTkAuAOqb0WT5AH7OcdCm5B8TgRwSRKIHQtaLCwg1RAAAOwAAAAAAAAAAAA%3D%3D);visibility:visible;opacity:.6;transition:all .3s ease}.reveal>.overlay header{position:absolute;left:0;top:0;width:100%;padding:5px;z-index:2;box-sizing:border-box}.reveal>.overlay header a{display:inline-block;width:40px;height:40px;line-height:36px;padding:0 10px;float:right;opacity:.6;box-sizing:border-box}.reveal>.overlay header a:hover{opacity:1}.reveal>.overlay header a .icon{display:inline-block;width:20px;height:20px;background-position:50% 50%;background-size:100%;background-repeat:no-repeat}.reveal>.overlay header a.close .icon{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAABkklEQVRYR8WX4VHDMAxG6wnoJrABZQPYBCaBTWAD2g1gE5gg6OOsXuxIlr40d81dfrSJ9V4c2VLK7spHuTJ/5wpM07QXuXc5X0opX2tEJcadjHuV80li/FgxTIEK/5QBCICBD6xEhSMGHgQPgBgLiYVAB1dpSqKDawxTohFw4JSEA3clzgIBPCURwE2JucBR7rhPJJv5OpJwDX+SfDjgx1wACQeJG1aChP9K/IMmdZ8DtESV1WyP3Bt4MwM6sj4NMxMYiqUWHQu4KYA/SYkIjOsm3BXYWMKFDwU2khjCQ4ELJUJ4SmClRArOCmSXGuKma0fYD5CbzHxFpCSGAhfAVSSUGDUk2BWZaff2g6GE15BsBQ9nwmpIGDiyHQddwNTMKkbZaf9fajXQca1EX44puJZUsnY0ObGmITE3GVLCbEhQUjGVt146j6oasWN+49Vph2w1pZ5EansNZqKBm1txbU57iRRcZ86RWMDdWtBJUHBHwoQPi1GV+JCbntmvok7iTX4/Up9mgyTc/FJYDTcndgH/AA5A/CHsyEkVAAAAAElFTkSuQmCC)}.reveal>.overlay header a.external .icon{background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAACAAAAAgCAYAAABzenr0AAAAcElEQVRYR+2WSQoAIQwEzf8f7XiOMkUQxUPlGkM3hVmiQfQR9GYnH1SsAQlI4DiBqkCMoNb9y2e90IAEJPAcgdznU9+engMaeJ7Azh5Y1U67gAho4DqBqmB1buAf0MB1AlVBek83ZPkmJMGc1wAR+AAqod/B97TRpQAAAABJRU5ErkJggg==)}.reveal>.overlay .viewport{position:absolute;display:flex;top:50px;right:0;bottom:0;left:0}.reveal>.overlay.overlay-preview .viewport iframe{width:100%;height:100%;max-width:100%;max-height:100%;border:0;opacity:0;visibility:hidden;transition:all .3s ease}.reveal>.overlay.overlay-preview.loaded .viewport iframe{opacity:1;visibility:visible}.reveal>.overlay.overlay-preview.loaded .viewport-inner{position:absolute;z-index:-1;left:0;top:45%;width:100%;text-align:center;letter-spacing:normal}.reveal>.overlay.overlay-preview .x-frame-error{opacity:0;transition:opacity .3s ease .3s}.reveal>.overlay.overlay-preview.loaded .x-frame-error{opacity:1}.reveal>.overlay.overlay-preview.loaded .spinner{opacity:0;visibility:hidden;transform:scale(.2)}.reveal>.overlay.overlay-help .viewport{overflow:auto;color:#fff}.reveal>.overlay.overlay-help .viewport .viewport-inner{width:600px;margin:auto;padding:20px 20px 80px 20px;text-align:center;letter-spacing:normal}.reveal>.overlay.overlay-help .viewport .viewport-inner .title{font-size:20px}.reveal>.overlay.overlay-help .viewport .viewport-inner table{border:1px solid #fff;border-collapse:collapse;font-size:16px}.reveal>.overlay.overlay-help .viewport .viewport-inner table td,.reveal>.overlay.overlay-help .viewport .viewport-inner table th{width:200px;padding:14px;border:1px solid #fff;vertical-align:middle}.reveal>.overlay.overlay-help .viewport .viewport-inner table th{padding-top:20px;padding-bottom:20px}.reveal .playback{position:absolute;left:15px;bottom:20px;z-index:30;cursor:pointer;transition:all .4s ease;-webkit-tap-highlight-color:transparent}.reveal.overview .playback{opacity:0;visibility:hidden}.reveal .hljs{min-height:100%}.reveal .hljs table{margin:initial}.reveal .hljs-ln-code,.reveal .hljs-ln-numbers{padding:0;border:0}.reveal .hljs-ln-numbers{opacity:.6;padding-right:.75em;text-align:right;vertical-align:top}.reveal .hljs.has-highlights tr:not(.highlight-line){opacity:.4}.reveal .hljs:not(:first-child).fragment{position:absolute;top:0;left:0;width:100%;box-sizing:border-box}.reveal pre[data-auto-animate-target]{overflow:hidden}.reveal pre[data-auto-animate-target] code{height:100%}.reveal .roll{display:inline-block;line-height:1.2;overflow:hidden;vertical-align:top;perspective:400px;perspective-origin:50% 50%}.reveal .roll:hover{background:0 0;text-shadow:none}.reveal .roll span{display:block;position:relative;padding:0 2px;pointer-events:none;transition:all .4s ease;transform-origin:50% 0;transform-style:preserve-3d;-webkit-backface-visibility:hidden;backface-visibility:hidden}.reveal .roll:hover span{background:rgba(0,0,0,.5);transform:translate3d(0,0,-45px) rotateX(90deg)}.reveal .roll span:after{content:attr(data-title);display:block;position:absolute;left:0;top:0;padding:0 2px;-webkit-backface-visibility:hidden;backface-visibility:hidden;transform-origin:50% 0;transform:translate3d(0,110%,0) rotateX(-90deg)}.reveal aside.notes{display:none}.reveal .speaker-notes{display:none;position:absolute;width:33.33333%;height:100%;top:0;left:100%;padding:14px 18px 14px 18px;z-index:1;font-size:18px;line-height:1.4;border:1px solid rgba(0,0,0,.05);color:#222;background-color:#f5f5f5;overflow:auto;box-sizing:border-box;text-align:left;font-family:Helvetica,sans-serif;-webkit-overflow-scrolling:touch}.reveal .speaker-notes .notes-placeholder{color:#ccc;font-style:italic}.reveal .speaker-notes:focus{outline:0}.reveal .speaker-notes:before{content:'Speaker notes';display:block;margin-bottom:10px;opacity:.5}.reveal.show-notes{max-width:75%;overflow:visible}.reveal.show-notes .speaker-notes{display:block}@media screen and (min-width:1600px){.reveal .speaker-notes{font-size:20px}}@media screen and (max-width:1024px){.reveal.show-notes{border-left:0;max-width:none;max-height:70%;max-height:70vh;overflow:visible}.reveal.show-notes .speaker-notes{top:100%;left:0;width:100%;height:42.85714%;height:30vh;border:0}}@media screen and (max-width:600px){.reveal.show-notes{max-height:60%;max-height:60vh}.reveal.show-notes .speaker-notes{top:100%;height:66.66667%;height:40vh}.reveal .speaker-notes{font-size:14px}}.zoomed .reveal *,.zoomed .reveal :after,.zoomed .reveal :before{-webkit-backface-visibility:visible!important;backface-visibility:visible!important}.zoomed .reveal .controls,.zoomed .reveal .progress{opacity:0}.zoomed .reveal .roll span{background:0 0}.zoomed .reveal .roll span:after{visibility:hidden}html.print-pdf *{-webkit-print-color-adjust:exact}html.print-pdf{width:100%;height:100%;overflow:visible}html.print-pdf body{margin:0 auto!important;border:0;padding:0;float:none!important;overflow:visible}html.print-pdf .nestedarrow,html.print-pdf .reveal .controls,html.print-pdf .reveal .playback,html.print-pdf .reveal .progress,html.print-pdf .reveal.overview,html.print-pdf .state-background{display:none!important}html.print-pdf .reveal pre code{overflow:hidden!important;font-family:Courier,'Courier New',monospace!important}html.print-pdf .reveal{width:auto!important;height:auto!important;overflow:hidden!important}html.print-pdf .reveal .slides{position:static;width:100%!important;height:auto!important;zoom:1!important;pointer-events:initial;left:auto;top:auto;margin:0!important;padding:0!important;overflow:visible;display:block;perspective:none;perspective-origin:50% 50%}html.print-pdf .reveal .slides .pdf-page{position:relative;overflow:hidden;z-index:1;page-break-after:always}html.print-pdf .reveal .slides section{visibility:visible!important;display:block!important;position:absolute!important;margin:0!important;padding:0!important;box-sizing:border-box!important;min-height:1px;opacity:1!important;transform-style:flat!important;transform:none!important}html.print-pdf .reveal section.stack{position:relative!important;margin:0!important;padding:0!important;page-break-after:avoid!important;height:auto!important;min-height:auto!important}html.print-pdf .reveal img{box-shadow:none}html.print-pdf .reveal .backgrounds{display:none}html.print-pdf .reveal .slide-background{display:block!important;position:absolute;top:0;left:0;width:100%;height:100%;z-index:auto!important}html.print-pdf .reveal.show-notes{max-width:none;max-height:none}html.print-pdf .reveal .speaker-notes-pdf{display:block;width:100%;height:auto;max-height:none;top:auto;right:auto;bottom:auto;left:auto;z-index:100}html.print-pdf .reveal .speaker-notes-pdf[data-layout=separate-page]{position:relative;color:inherit;background-color:transparent;padding:20px;page-break-after:always;border:0}html.print-pdf .reveal .slide-number-pdf{display:block;position:absolute;font-size:14px}html.print-pdf .aria-status{display:none}@media print{html:not(.print-pdf){background:#fff;width:auto;height:auto;overflow:visible}html:not(.print-pdf) body{background:#fff;font-size:20pt;width:auto;height:auto;border:0;margin:0 5%;padding:0;overflow:visible;float:none!important}html:not(.print-pdf) .controls,html:not(.print-pdf) .fork-reveal,html:not(.print-pdf) .nestedarrow,html:not(.print-pdf) .reveal .backgrounds,html:not(.print-pdf) .reveal .progress,html:not(.print-pdf) .reveal .slide-number,html:not(.print-pdf) .share-reveal,html:not(.print-pdf) .state-background{display:none!important}html:not(.print-pdf) body,html:not(.print-pdf) li,html:not(.print-pdf) p,html:not(.print-pdf) td{font-size:20pt!important;color:#000}html:not(.print-pdf) h1,html:not(.print-pdf) h2,html:not(.print-pdf) h3,html:not(.print-pdf) h4,html:not(.print-pdf) h5,html:not(.print-pdf) h6{color:#000!important;height:auto;line-height:normal;text-align:left;letter-spacing:normal}html:not(.print-pdf) h1{font-size:28pt!important}html:not(.print-pdf) h2{font-size:24pt!important}html:not(.print-pdf) h3{font-size:22pt!important}html:not(.print-pdf) h4{font-size:22pt!important;font-variant:small-caps}html:not(.print-pdf) h5{font-size:21pt!important}html:not(.print-pdf) h6{font-size:20pt!important;font-style:italic}html:not(.print-pdf) a:link,html:not(.print-pdf) a:visited{color:#000!important;font-weight:700;text-decoration:underline}html:not(.print-pdf) div,html:not(.print-pdf) ol,html:not(.print-pdf) p,html:not(.print-pdf) ul{visibility:visible;position:static;width:auto;height:auto;display:block;overflow:visible;margin:0;text-align:left!important}html:not(.print-pdf) .reveal pre,html:not(.print-pdf) .reveal table{margin-left:0;margin-right:0}html:not(.print-pdf) .reveal pre code{padding:20px}html:not(.print-pdf) .reveal blockquote{margin:20px 0}html:not(.print-pdf) .reveal .slides{position:static!important;width:auto!important;height:auto!important;left:0!important;top:0!important;margin-left:0!important;margin-top:0!important;padding:0!important;zoom:1!important;transform:none!important;overflow:visible!important;display:block!important;text-align:left!important;perspective:none;perspective-origin:50% 50%}html:not(.print-pdf) .reveal .slides section{visibility:visible!important;position:static!important;width:auto!important;height:auto!important;display:block!important;overflow:visible!important;left:0!important;top:0!important;margin-left:0!important;margin-top:0!important;padding:60px 20px!important;z-index:auto!important;opacity:1!important;page-break-after:always!important;transform-style:flat!important;transform:none!important;transition:none!important}html:not(.print-pdf) .reveal .slides section.stack{padding:0!important}html:not(.print-pdf) .reveal section:last-of-type{page-break-after:avoid!important}html:not(.print-pdf) .reveal section .fragment{opacity:1!important;visibility:visible!important;transform:none!important}html:not(.print-pdf) .reveal section img{display:block;margin:15px 0;background:#fff;border:1px solid #666;box-shadow:none}html:not(.print-pdf) .reveal section small{font-size:.8em}html:not(.print-pdf) .reveal .hljs{max-height:100%;white-space:pre-wrap;word-wrap:break-word;word-break:break-word;font-size:15pt}html:not(.print-pdf) .reveal .hljs .hljs-ln-numbers{white-space:nowrap}html:not(.print-pdf) .reveal .hljs td{font-size:inherit!important;color:inherit!important}}",
"title": "$:/plugins/sukima/reveal-js/reveal.css",
"type": "text/css",
"tags": [
"$:/tags/Stylesheet"
]
},
"$:/plugins/sukima/reveal-js/theme/simple.css": {
"text": "/**\n * A simple theme for reveal.js presentations, similar\n * to the default theme. The accent color is darkblue.\n *\n * This theme is Copyright (C) 2012 Owen Versteeg, https://github.com/StereotypicalApps. It is MIT licensed.\n * reveal.js is Copyright (C) 2011-2012 Hakim El Hattab, http://hakim.se\n */\n@import url(https://fonts.googleapis.com/css?family=News+Cycle:400,700);\n@import url(https://fonts.googleapis.com/css?family=Lato:400,700,400italic,700italic);\nsection.has-dark-background, section.has-dark-background h1, section.has-dark-background h2, section.has-dark-background h3, section.has-dark-background h4, section.has-dark-background h5, section.has-dark-background h6 {\n color: #fff; }\n\n/*********************************************\n * GLOBAL STYLES\n *********************************************/\n:root {\n --background-color: #fff;\n --main-font: Lato, sans-serif;\n --main-font-size: 40px;\n --main-color: #000;\n --block-margin: 20px;\n --heading-margin: 0 0 20px 0;\n --heading-font: News Cycle, Impact, sans-serif;\n --heading-color: #000;\n --heading-line-height: 1.2;\n --heading-letter-spacing: normal;\n --heading-text-transform: none;\n --heading-text-shadow: none;\n --heading-font-weight: normal;\n --heading1-text-shadow: none;\n --heading1-size: 3.77em;\n --heading2-size: 2.11em;\n --heading3-size: 1.55em;\n --heading4-size: 1em;\n --code-font: monospace;\n --link-color: #00008B;\n --link-color-hover: #0000f1;\n --selection-background-color: rgba(0, 0, 0, 0.99);\n --selection-color: #fff; }\n\n.reveal-viewport {\n background: #fff;\n background-color: #fff; }\n\n.reveal {\n font-family: \"Lato\", sans-serif;\n font-size: 40px;\n font-weight: normal;\n color: #000; }\n\n.reveal ::selection {\n color: #fff;\n background: rgba(0, 0, 0, 0.99);\n text-shadow: none; }\n\n.reveal ::-moz-selection {\n color: #fff;\n background: rgba(0, 0, 0, 0.99);\n text-shadow: none; }\n\n.reveal .slides section,\n.reveal .slides section > section {\n line-height: 1.3;\n font-weight: inherit; }\n\n/*********************************************\n * HEADERS\n *********************************************/\n.reveal h1,\n.reveal h2,\n.reveal h3,\n.reveal h4,\n.reveal h5,\n.reveal h6 {\n margin: 0 0 20px 0;\n color: #000;\n font-family: \"News Cycle\", Impact, sans-serif;\n font-weight: normal;\n line-height: 1.2;\n letter-spacing: normal;\n text-transform: none;\n text-shadow: none;\n word-wrap: break-word; }\n\n.reveal h1 {\n font-size: 3.77em; }\n\n.reveal h2 {\n font-size: 2.11em; }\n\n.reveal h3 {\n font-size: 1.55em; }\n\n.reveal h4 {\n font-size: 1em; }\n\n.reveal h1 {\n text-shadow: none; }\n\n/*********************************************\n * OTHER\n *********************************************/\n.reveal p {\n margin: 20px 0;\n line-height: 1.3; }\n\n/* Ensure certain elements are never larger than the slide itself */\n.reveal img,\n.reveal video,\n.reveal iframe {\n max-width: 95%;\n max-height: 95%; }\n\n.reveal strong,\n.reveal b {\n font-weight: bold; }\n\n.reveal em {\n font-style: italic; }\n\n.reveal ol,\n.reveal dl,\n.reveal ul {\n display: inline-block;\n text-align: left;\n margin: 0 0 0 1em; }\n\n.reveal ol {\n list-style-type: decimal; }\n\n.reveal ul {\n list-style-type: disc; }\n\n.reveal ul ul {\n list-style-type: square; }\n\n.reveal ul ul ul {\n list-style-type: circle; }\n\n.reveal ul ul,\n.reveal ul ol,\n.reveal ol ol,\n.reveal ol ul {\n display: block;\n margin-left: 40px; }\n\n.reveal dt {\n font-weight: bold; }\n\n.reveal dd {\n margin-left: 40px; }\n\n.reveal blockquote {\n display: block;\n position: relative;\n width: 70%;\n margin: 20px auto;\n padding: 5px;\n font-style: italic;\n background: rgba(255, 255, 255, 0.05);\n box-shadow: 0px 0px 2px rgba(0, 0, 0, 0.2); }\n\n.reveal blockquote p:first-child,\n.reveal blockquote p:last-child {\n display: inline-block; }\n\n.reveal q {\n font-style: italic; }\n\n.reveal pre {\n display: block;\n position: relative;\n width: 90%;\n margin: 20px auto;\n text-align: left;\n font-size: 0.55em;\n font-family: monospace;\n line-height: 1.2em;\n word-wrap: break-word;\n box-shadow: 0px 5px 15px rgba(0, 0, 0, 0.15); }\n\n.reveal code {\n font-family: monospace;\n text-transform: none; }\n\n.reveal pre code {\n display: block;\n padding: 5px;\n overflow: auto;\n max-height: 400px;\n word-wrap: normal; }\n\n.reveal table {\n margin: auto;\n border-collapse: collapse;\n border-spacing: 0; }\n\n.reveal table th {\n font-weight: bold; }\n\n.reveal table th,\n.reveal table td {\n text-align: left;\n padding: 0.2em 0.5em 0.2em 0.5em;\n border-bottom: 1px solid; }\n\n.reveal table th[align=\"center\"],\n.reveal table td[align=\"center\"] {\n text-align: center; }\n\n.reveal table th[align=\"right\"],\n.reveal table td[align=\"right\"] {\n text-align: right; }\n\n.reveal table tbody tr:last-child th,\n.reveal table tbody tr:last-child td {\n border-bottom: none; }\n\n.reveal sup {\n vertical-align: super;\n font-size: smaller; }\n\n.reveal sub {\n vertical-align: sub;\n font-size: smaller; }\n\n.reveal small {\n display: inline-block;\n font-size: 0.6em;\n line-height: 1.2em;\n vertical-align: top; }\n\n.reveal small * {\n vertical-align: top; }\n\n.reveal img {\n margin: 20px 0; }\n\n/*********************************************\n * LINKS\n *********************************************/\n.reveal a {\n color: #00008B;\n text-decoration: none;\n transition: color .15s ease; }\n\n.reveal a:hover {\n color: #0000f1;\n text-shadow: none;\n border: none; }\n\n.reveal .roll span:after {\n color: #fff;\n background: #00003f; }\n\n/*********************************************\n * Frame helper\n *********************************************/\n.reveal .r-frame {\n border: 4px solid #000;\n box-shadow: 0 0 10px rgba(0, 0, 0, 0.15); }\n\n.reveal a .r-frame {\n transition: all .15s linear; }\n\n.reveal a:hover .r-frame {\n border-color: #00008B;\n box-shadow: 0 0 20px rgba(0, 0, 0, 0.55); }\n\n/*********************************************\n * NAVIGATION CONTROLS\n *********************************************/\n.reveal .controls {\n color: #00008B; }\n\n/*********************************************\n * PROGRESS BAR\n *********************************************/\n.reveal .progress {\n background: rgba(0, 0, 0, 0.2);\n color: #00008B; }\n\n/*********************************************\n * PRINT BACKGROUND\n *********************************************/\n@media print {\n .backgrounds {\n background-color: #fff; } }\n",
"title": "$:/plugins/sukima/reveal-js/theme/simple.css",
"type": "text/css",
"tags": [
"$:/tags/Stylesheet"
]
},
"$:/plugins/sukima/reveal-js/license": {
"title": "$:/plugins/sukima/reveal-js/license",
"creator": "Sukima",
"text": "<style>\n.plugins-sukima-reveal-js-license > p {\n text-align: justify;\n}\n.plugins-sukima-reveal-js-license > p.copy {\n text-align: left;\n}\n</style>\n<div class=\"plugins-sukima-reveal-js-license\">\n\n@@.copy\nPlugin work Copyright © 2020 Devin Weaver, http://tritarget.org\n@@\n\n@@.copy\nLibrary work Copyright © 2020 Hakim El Hattab, http://hakim.se, and reveal.js contributors\n@@\n\nPermission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the \"Software\"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions:\n\nThe above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n\n</div>\n"
},
"$:/plugins/sukima/reveal-js/readme": {
"title": "$:/plugins/sukima/reveal-js/readme",
"creator": "Sukima",
"type": "text/vnd.tiddlywiki",
"text": "This plugin embeds [[Reveal.js|https://revealjs.com]] presentations into a TiddlyWiki.\n\nIt uses two widgets `<$presentation>` and `<$slide>`. A simple example:\n\n```xml\n<$presentation>\n<$slide>Welcome to ''Reveal.js''</$slide>\n<$slide>Embedded inside TiddlyWiki</$slide>\n<$slide>\n <$slide>Vertical Slides</$slide>\n <$slide>Can also me manged with one level of nesting</$slide>\n</$slide>\n</$presentation>\n```\n\nThe embedded presentation is configured to capture keyboard input only when focused. This means that to open the presentation into fullscreen mode first click the presentation to set it as the focus then press <kbd>F</kbd> to have it open in fullscreen mode.\n\nThe content of the `<$slide>` can be WikiText including transcluded tiddlers.\n\nA complementary plugin worth looking at: [[Talk Timer|https://sukima.github.io/tiddlywiki-talktimer]].\n\n!! Usage\n\nThe documentation for Reveal.js explains the use of `<section>` with `data-*` attributes to control the slide options. With the above two Widgets the same options are supported.\n\nAny options passed to `<$slide>` will be converted to from ''camelCase'' to their corresponding `data-*` attributes. See the [[documentation|https://revealjs.com/backgrounds/]] for the full list. Example:\n\n```xml\n<$slide backgroundColor=\"aquamarine\">\nWill make a `<section data-background-color=\"aquamarine\">`\n</$slide>\n```\n\nAny options passed to `<$presentation>` will be converted to `initialize(…)` options. See the [[documentation|https://revealjs.com/config/]] for the full list. Example:\n\n```xml\n<$presentation autoSlide=\"5000\" loop>\n<$slide>Slide will automatically move in 5 seconds</$slide>\n<$slide>This is the second slide</$slide>\n</$presentation>\n```\n\n!!! Default height\n\nBecause these presentations are embedded they must have a height. By default this is ''400px'' but can be overridden using the `$height` attribute.\n\n```xml\n<$presentation $height=\"600\">\n …\n</$presentation>\n```\n\nAny CSS unit is allowed but will default to `px` if no unit type is provided. For example `$height=\"1.2rem\"` is also acceptable.\n\n!! Using tiddlers for slides\n\nIt is also possible to have TiddlyWiki dynamically build the presentation for you using transclusion.\n\n```xml\n<$presentation>\n<$list filter=\"[tag[slide]sort[title]]\">\n<$slide tiddlerTitle={{!!title}} backgroundColor={{!!color}}>\n<$transclude mode=\"block\" />\n</$slide>\n</$list>\n</$presentation>\n```\n\n<small>`tiddlerTitle` is added so the rendered DOM node can have a `data-tiddler-title` attribute which a custom stylesheet could be used to further customize the slide.</small>\n\n!! Code Blocks\n\nReveal.js uses it's own code highlighting mechanics. To make things compatible and animate smoothly we have a custom `<$slidecodeblock>` component which takes the same attributes as the <code>[[<$codeblock>|https://tiddlywiki.com/#CodeBlockWidget]]</code> and also the ''camelCased'' option that Reveal.js understands.\n\n```xml\n<$slidecodeblock language-\"javascript\" trim lineNumbers=\"3-5\" code=\"\"\"\nimport { tracked } from '@glimmer/tracking';\n…\n\"\"\" />\n```\n\n!! Technical Details\n\nThe `<$presentation>` widget will create the following markup and instantiate and initialize an instance of a `new Reveal(…)` presentation.\n\n```xml\n<div class=\"reveal\">\n <div class=\"slides\">\n …\n </div>\n</div>\n```\n\nThe `<$slide>` widget will generate a `<section>` converting the ''camelCase'' attributes to `data-*` attributes.\n\n!! Known caveats\n\nTiddlers with an embedded presentation will ''not'' refresh when slides change. This is an unfortunate limitation with the Reveal.js library as it expects to be initialized once and not have the DOM mutate beneath it. This only affects dynamic adding and removing of slides via TiddlyWiki. Updating a slides content will be reflected in a currently rendered presentation. Close and reopen the presentation tiddler to get the updated slides.\n\nThe `hash` configuration options is not compatible with TiddlyWiki.\n\nThe Speaker notes does not work with TiddlyWiki as it depends on routing to the hash URL which TiddlyWiki intercepts.\n"
},
"$:/plugins/sukima/reveal-js/styles.css": {
"title": "$:/plugins/sukima/reveal-js/styles.css",
"creator": "Sukima",
"modifier": "Sukima",
"tags": "$:/tags/Stylesheet",
"type": "text/css",
"text": "pre table { border: none; }\n"
},
"$:/plugins/sukima/reveal-js/libs/utils.js": {
"title": "$:/plugins/sukima/reveal-js/libs/utils.js",
"text": "/*\\\ntitle: $:/plugins/sukima/reveal-js/libs/utils.js\ntype: application/javascript\nmodule-type: library\n\nCommon utils for this plugin\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.isNumeric = function(num) {\n\treturn !isNaN(parseFloat(num)) && isFinite(num);\n}\n\nexports.isBooleanTrue = function(value) {\n\treturn value === 'true';\n};\n\nexports.isBooleanFalse = function(value) {\n\treturn value === 'false';\n};\n\nexports.isEmpty = function(value) {\n\treturn value === '';\n};\n\nexports.convertDataValue = function(value) {\n\tif (exports.isEmpty(value)) { return null; }\n\tif (exports.isBooleanFalse(value)) { return null; }\n\tif (exports.isBooleanTrue(value)) { return true; }\n\tif (exports.isNumeric(value)) { return Number(value); }\n\treturn value;\n};\n\nexports.assignDataset = function(dataset, attributes) {\n\t$tw.utils.each(attributes, function(value, attr) {\n\t\tif (!attr.startsWith('$') && !attr.startsWith('data-')) {\n\t\t\tlet convertedValue = exports.convertDataValue(value);\n\t\t\tif (convertedValue !== null) { dataset[attr] = convertedValue; }\n\t\t}\n\t});\n\treturn dataset;\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "library"
},
"$:/plugins/sukima/reveal-js/widgets/presentation": {
"title": "$:/plugins/sukima/reveal-js/widgets/presentation",
"text": "/*\\\ntitle: $:/plugins/sukima/reveal-js/widgets/presentation\ntype: application/javascript\nmodule-type: widget\n\nEmbedded Reveal.js presentation\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nconst Widget = require('$:/core/modules/widgets/widget.js').widget;\nconst { assignDataset } = require('$:/plugins/sukima/reveal-js/libs/utils.js');\n\nfunction loadReveal() {\n\treturn [\n\t\trequire('$:/plugins/sukima/reveal-js/reveal.js'),\n\t\t[\n\t\t\trequire('$:/plugins/sukima/reveal-js/reveal-highlight.js'),\n\t\t\trequire('$:/plugins/sukima/reveal-js/reveal-zoom.js')\n\t\t]\n\t];\n}\n\nclass PresentationWidget extends Widget {\n\n\trender(parent, nextSibling) {\n\t\tlet [Reveal, revealPlugins] = loadReveal();\n\t\tthis.parentDomNode = parent;\n\t\tthis.computeAttributes();\n\t\tthis.execute();\n\t\tlet revealNode = this.document.createElement('DIV');\n\t\tlet slidesNode = this.document.createElement('DIV');\n\t\trevealNode.classList.add('reveal');\n\t\trevealNode.style.height = this.getHeight();\n\t\tslidesNode.classList.add('slides');\n\t\tthis.renderChildren(slidesNode);\n\t\tthis.pruneErroneousWrappings(slidesNode);\n\t\trevealNode.appendChild(slidesNode);\n\t\tparent.insertBefore(revealNode,nextSibling);\n\t\tthis.domNodes.push(revealNode);\n\t\tthis.revealInstance = new Reveal(revealNode, {\n\t\t\tembedded: true,\n\t\t\tkeyboardCondition: 'focused',\n\t\t\tplugins: revealPlugins\n\t\t});\n\t\tthis.revealInstance.initialize(assignDataset({}, this.attributes));\n\t}\n\n\trefresh(changedTiddlers) {\n\t\tlet shouldRerender = this.refreshChildren(changedTiddlers);\n\t\tif (shouldRerender) { this.refreshSelf(); }\n\t\treturn shouldRerender;\n\t}\n\n\tgetHeight() {\n\t\tlet height = this.getAttribute('$height', '400');\n\t\tif (/[0-9]$/.test(height)) {\n\t\t\theight = `${height}px`;\n\t\t}\n\t\treturn height;\n\t}\n\n\tpruneErroneousWrappings(root) {\n\t\tlet sections = root.querySelectorAll('section');\n\t\tfor (let section of sections) {\n\t\t\tif (section.parentNode.tagName !== 'P') { continue; }\n\t\t\tthis.pruneErroneousWrapping(section.parentNode);\n\t\t}\n\t}\n\n\tpruneErroneousWrapping(el) {\n\t\tlet parent = el.parentNode;\n\t\twhile (el.firstChild) { parent.insertBefore(el.firstChild, el); }\n\t\tparent.removeChild(el);\n\t}\n\n}\n\nexports.presentation = PresentationWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/sukima/reveal-js/widgets/slide": {
"title": "$:/plugins/sukima/reveal-js/widgets/slide",
"text": "/*\\\ntitle: $:/plugins/sukima/reveal-js/widgets/slide\ntype: application/javascript\nmodule-type: widget\n\nA slide for Reveal.js presentation\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nconst Widget = require(\"$:/core/modules/widgets/widget.js\").widget;\nconst { assignDataset } = require('$:/plugins/sukima/reveal-js/libs/utils.js');\n\nclass SlideWidget extends Widget {\n\n\trender(parent, nextSibling) {\n\t\tthis.parentDomNode = parent;\n\t\tthis.computeAttributes();\n\t\tthis.execute();\n\t\tlet slideNode = this.document.createElement('SECTION');\n\t\tassignDataset(slideNode.dataset, this.attributes);\n\t\tthis.renderChildren(slideNode);\n\t\tparent.insertBefore(slideNode,nextSibling);\n\t\tthis.domNodes.push(slideNode);\n\t}\n\n}\n\nexports.slide = SlideWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/sukima/reveal-js/widgets/slidecodeblock": {
"title": "$:/plugins/sukima/reveal-js/widgets/slidecodeblock",
"text": "/*\\\ntitle: $:/plugins/sukima/reveal-js/widgets/slidecodeblock\ntype: application/javascript\nmodule-type: widget\n\nRenders Reveal.js compatible codeblocks. Use this is you wish to allow better\nline based animations with Reveal.js\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nconst CodeBlockWidget = require(\"$:/core/modules/widgets/codeblock.js\").codeblock;\nconst { assignDataset } = require('$:/plugins/sukima/reveal-js/libs/utils.js');\n\nclass SlideCodeblockWidget extends CodeBlockWidget {\n\n\tpostRender() {\n\t\tlet { code, language, id, ...dataAttrs } = this.attributes;\n\t\tthis.domNodes[0].children[0].classList.add(language);\n\t\tassignDataset(this.domNodes[0].children[0].dataset, dataAttrs);\n\t\tassignDataset(this.domNodes[0].dataset, { id });\n\t\tthis.domNodes[0].querySelectorAll('table').forEach(t => t.style.border = 'none');\n\t}\n\n}\n\nexports.slidecodeblock = SlideCodeblockWidget;\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
}
}
}
{
"tiddlers": {
"$:/config/Comments/EnableFilter": {
"title": "$:/config/Comments/EnableFilter",
"text": "[all[current]!is[system]]\n"
},
"$:/plugins/tiddlywiki/comments/above-story": {
"title": "$:/plugins/tiddlywiki/comments/above-story",
"tags": "$:/tags/AboveStory",
"text": "<$reveal state=\"$:/config/Comments/EnableWikiComments\" type=\"match\" text=\"yes\" default=\"no\">\n\n<$tiddler tiddler=\"$:/SiteTitle\">\n\n<$transclude tiddler=\"$:/plugins/tiddlywiki/comments/comments-template\" mode=\"inline\"/>\n\n</$tiddler>\n\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/comments/add-comment-button-actions": {
"title": "$:/plugins/tiddlywiki/comments/add-comment-button-actions",
"text": "<$set name=\"username\" value={{$:/status/UserName}} emptyValue=\"(anonymous)\">\n<$set name=\"target\" filter=\"[<currentTiddler>]\">\n<$action-createtiddler $basetitle={{{ [[Comment by ']addsuffix<username>addsuffix[' on ']addsuffix<currentTiddler>addsuffix[']] }}} role=\"comment\" list=<<target>> text=\"\" edit-mode=\"yes\"/>\n</$set>\n</$set>\n"
},
"$:/plugins/tiddlywiki/comments/add-comment-button": {
"title": "$:/plugins/tiddlywiki/comments/add-comment-button",
"text": "<$reveal state=\"$:/status/IsReadOnly\" type=\"match\" text=\"no\" default=\"no\" tag=\"div\" class=\"tc-comment-button\">\n<$button class=\"tc-btn-invisible\" actions={{$:/plugins/tiddlywiki/comments/add-comment-button-actions}}>\nadd comment {{$:/core/images/add-comment}}\n</$button>\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/comments/comments-template": {
"title": "$:/plugins/tiddlywiki/comments/comments-template",
"text": "<div class=\"tc-comments\">\n<ol class=\"tc-comment-list\">\n<$list filter=\"[all[tiddlers+shadows]role[comment]contains<currentTiddler>sort[created]!has[draft.of]]\">\n<li>\n<div class=\"tc-comment-entry\">\n<div class=\"tc-comment-entry-heading\">\n<$link>{{!!creator}} at <$view field=\"modified\" format=\"date\" template=\"0hh:0mm:0ss DDD DDth MMM YYYY\"/></$link>\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/CommentToolbarButton]!has[draft.of]]\" variable=\"listItem\">\n<$transclude tiddler=<<listItem>> mode=\"inline\"/>\n</$list>\n</div>\n<div class=\"tc-comment-entry-body\">\n<$reveal type=\"match\" state=\"!!edit-mode\" text=\"yes\">\n<$edit-text tiddler=<<currentTiddler>> tag=\"textarea\" focus=\"true\"/>\n</$reveal>\n<$reveal type=\"nomatch\" state=\"!!edit-mode\" text=\"yes\">\n<$transclude tiddler=<<currentTiddler>> mode=\"block\"/>\n<$transclude tiddler=\"$:/plugins/tiddlywiki/comments/add-comment-button\" mode=\"inline\"/>\n</$reveal>\n</div>\n</div>\n<$transclude tiddler=\"$:/plugins/tiddlywiki/comments/comments-template\" mode=\"inline\"/>\n</li>\n</$list>\n</ol>\n</div>\n"
},
"$:/plugins/tiddlywiki/comments/config": {
"title": "$:/plugins/tiddlywiki/comments/config",
"text": "\\define select(description,filter)\n<$button>\n<$action-setfield $tiddler=\"$:/config/Comments/EnableFilter\" $value=<<__filter__>>/>\n$description$\n</$button>\n\\end\n\n! Wiki Comments\n\n<$checkbox tiddler=\"$:/config/Comments/EnableWikiComments\" field=\"text\" checked=\"yes\" unchecked=\"no\" default=\"no\"> <$link to=\"$:/config/Comments/EnableWikiComments\">Allow wiki-level comments as well as tiddler comments</$link> </$checkbox>\n\n! Tiddler Comments\n\nThis filter expression determines which tiddlers will have commenting enabled:\n\n<$edit-text tiddler=\"$:/config/Comments/EnableFilter\" tag=\"input\"/>\n\nOr you can choose a preselected filter:\n\n* <<select \"All tiddlers except system tiddlers\" \"[all[current]!is[system]]\">>\n* <<select \"Only tiddlers tagged 'commentable'\" \"[all[current]tag[commentable]]\">>\n* <<select \"Disable all commenting\" \"\">>\n"
},
"$:/plugins/tiddlywiki/comments/filter-all-comments": {
"title": "$:/plugins/tiddlywiki/comments/filter-all-comments",
"tags": "$:/tags/Filter",
"filter": "[role[comment]!sort[modified]]",
"description": "All comments",
"text": ""
},
"$:/plugins/tiddlywiki/comments/footer-view-template-segment": {
"title": "$:/plugins/tiddlywiki/comments/footer-view-template-segment",
"tags": "$:/tags/ViewTemplate",
"list-after": "$:/core/ui/ViewTemplate/body",
"text": "<$list filter={{$:/config/Comments/EnableFilter}} variable=\"ignore\">\n<div class=\"tc-comments-segment\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/comments/add-comment-button\" mode=\"inline\"/>\n<$transclude tiddler=\"$:/plugins/tiddlywiki/comments/comments-template\" mode=\"inline\"/>\n</div>\n</$list>"
},
"$:/plugins/tiddlywiki/comments/header-view-template-segment": {
"title": "$:/plugins/tiddlywiki/comments/header-view-template-segment",
"tags": "$:/tags/ViewTemplate",
"list-before": "$:/core/ui/ViewTemplate/body",
"text": "\\define display-original-comment()\n<$link><$text text=<<currentTiddler>>/></$link>\n\\end\n\n\\define find-original-comment(exclude)\n<$list filter=\"[<currentTiddler>role[comment]]\" emptyMessage=<<display-original-comment>> variable=\"ignore\">\n<$list filter=\"[list<currentTiddler>sort[title]] -[enlist<__exclude__>]\">\n<$set name=\"newExclude\" filter=\"[enlist<__exclude__>] [<currentTiddler>]\">\n<$macrocall $name=\"find-original-comment\" exclude=<<newExclude>>/>\n</$set>\n</$list>\n</$list>\n\\end\n\n<$list filter=\"[all[current]role[comment]]\" variable=\"ignore\">\n<div class=\"tc-is-comment-header\">\n<p>\nThis tiddler is a comment on\n<$list filter=\"[list<currentTiddler>sort[title]]\">\n<span class=\"tc-small-gap-right\"><<find-original-comment>></span>\n</$list>\n</p>\n<$list filter=\"[list<currentTiddler>role[comment]sort[title]limit[1]]\" variable=\"ignore\">\n<p>\nParent comments:\n</p>\n<ul>\n<$list filter=\"[list<currentTiddler>role[comment]sort[title]]\">\n<li>\n<$link to=<<currentTiddler>>><$text text=<<currentTiddler>>/></$link>\n</li>\n</$list>\n</ul>\n</$list>\n</div>\n</$list>\n"
},
"$:/plugins/tiddlywiki/comments/readme": {
"title": "$:/plugins/tiddlywiki/comments/readme",
"text": "This plugin provides a simple means for adding threaded comments to tiddlers.\n\n* Click the \"add comment\" button to make a new comment, and then click the \"save\" button to save it\n* You can comment on a tiddler itself, or add a comment to an existing comment\n* The sidebar tab ''Comments'' lists a timeline of all comments\n* Comments are attributed to the username stored in the system tiddler [[$:/status/UserName]]\n* By default, comments are available on all non-system tiddlers. The ''config'' tab lets you customise which tiddlers can accept comments by specifying a filter extension\n* The buttons for adding and editing comments are only available if the system tiddler [[$:/status/IsReadOnly]] is not set to `yes`\n* Use the \"All comments\" option in the $:/AdvancedSearch ''Filter'' tab to see or export all comments\n\n!! Data Model\n\nThe data model employed by the comments plugin is very simple:\n\n* Comment tiddlers are identified by the `role` field being set to `comment`\n* The `list` field of comment tiddlers lists the tiddlers to which this comment applies\n** It is thus possible for a comment to be applied to multiple tiddlers at once\n** The links between comments can be preserved when renaming them by using the relink checkbox in the edit template\n* The `edit-mode` field of comment tiddlers is set to `yes` to display it in edit mode, or `no` to display it in view mode\n* The `saved-text` field is updated when switching to edit mode so that it can be restored if the user cancels\n\n"
},
"$:/plugins/tiddlywiki/comments/sidebar-segment": {
"title": "$:/plugins/tiddlywiki/comments/sidebar-segment",
"tags": "$:/tags/SideBarSegment",
"list-after": "$:/core/ui/SideBarSegments/site-subtitle",
"text": "<$reveal state=\"$:/config/Comments/EnableWikiComments\" type=\"match\" text=\"yes\" default=\"no\">\n<$tiddler tiddler=\"$:/SiteTitle\">\n<$transclude tiddler=\"$:/plugins/tiddlywiki/comments/add-comment-button\" mode=\"inline\"/>\n</$tiddler>\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/comments/sidebar": {
"title": "$:/plugins/tiddlywiki/comments/sidebar",
"tags": "$:/tags/SideBar",
"caption": "Comments",
"text": "<div class=\"tc-timeline\">\n<$list filter=\"[all[tiddlers+shadows]role[comment]has[modified]!sort[modified]eachday[modified]]\">\n<div class=\"tc-menu-list-item\">\n<$view field=\"modified\" format=\"date\" template=\"DDth MMM YYYY\"/>\n<$list filter=\"[all[tiddlers+shadows]role[comment]sameday:modified{!!modified}!sort[modified]]\">\n<div class=\"tc-menu-list-subitem\">\n<$link>Comment by '<$view field=\"modifier\">(anonymous)</$view>'</$link> on\n<$list filter=\"[list<currentTiddler>sort[title]]\">\n<$link to=<<currentTiddler>>><$text text=<<currentTiddler>>/></$link>\n</$list>\n</div>\n</$list>\n</div>\n</$list>\n</div>\n"
},
"$:/plugins/tiddlywiki/comments/styles": {
"title": "$:/plugins/tiddlywiki/comments/styles",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline\n\n.tc-is-comment-header {\n\tpadding: 0.25em;\n\tborder: 2px solid #c1e1ea;\n\tborder-radius: 4px;\n\tbackground: #f1fcff;\n}\n\n.tc-comments-segment {\n\tborder-top: 2px solid #d7eef4;\n}\n\n.tc-comment-button button {\n\twidth: 100%;\n\ttext-align: right;\n}\n\n.tc-sidebar-scrollable .tc-comment-button button {\n\twidth: auto;\n\ttext-align: right;\n}\n\n.tc-comment-button button svg {\n\tfill: #26cb56;\n\theight: 2em;\n\twidth: 2em;\n}\n\n.tc-comments {\n}\n\n.tc-comment-list {\n\tlist-style: none;\n padding-left: 0;\n}\n\n.tc-comment-list .tc-comments {\n\tpadding-left: 1em;\n}\n\n.tc-comment-entry {\n\tposition: relative;\n\tborder: 2px solid #c1e1ea;\n\tborder-radius: 4px;\n\tmargin: 0.5em 0 0 0;\n\tbackground: #f1fcff;\n}\n\n.tc-comment-entry-heading {\n\tfont-size: 0.7em;\n\tfont-weight: bold;\n\ttext-transform: uppercase;\n\tbackground: #d7eef4;\n\tcolor: #5B6D80;\n\tpadding: 0 0.5em;\n}\n\n.tc-comment-entry-body {\n\tfont-size: 0.8em;\n\tpadding: 0 0.5em;\n}\n\n.tc-comment-entry-body textarea {\n\tfont-size: 1.1em;\n\twidth: 100%\n}\n"
},
"$:/tags/CommentToolbarButton": {
"title": "$:/tags/CommentToolbarButton",
"list": "[[$:/plugins/tiddlywiki/comments/toolbar-button-cancel]] [[$:/plugins/tiddlywiki/comments/toolbar-button-delete]] [[$:/plugins/tiddlywiki/comments/toolbar-button-save]] [[$:/plugins/tiddlywiki/comments/toolbar-button-edit]]"
},
"$:/plugins/tiddlywiki/comments/toolbar-button-cancel": {
"title": "$:/plugins/tiddlywiki/comments/toolbar-button-cancel",
"tags": "$:/tags/CommentToolbarButton",
"text": "<$reveal state=\"$:/status/IsReadOnly\" type=\"match\" text=\"no\" default=\"no\" tag=\"span\">\n<$reveal type=\"match\" state=\"!!edit-mode\" text=\"yes\">\n<$button>\n<$action-setfield $tiddler=<<currentTiddler>> $field=\"edit-mode\" $value=\"no\"/>\n<$action-setfield $tiddler=<<currentTiddler>> $field=\"text\" $value={{!!saved-text}}/>\ncancel\n</$button>\n</$reveal>\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/comments/toolbar-button-delete": {
"title": "$:/plugins/tiddlywiki/comments/toolbar-button-delete",
"tags": "$:/tags/CommentToolbarButton",
"text": "<$reveal state=\"$:/status/IsReadOnly\" type=\"match\" text=\"no\" default=\"no\" tag=\"span\">\n<$reveal type=\"match\" state=\"!!edit-mode\" text=\"yes\">\n<$button>\n<$action-deletetiddler $tiddler=<<currentTiddler>>/>\ndelete\n</$button>\n</$reveal>\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/comments/toolbar-button-edit": {
"title": "$:/plugins/tiddlywiki/comments/toolbar-button-edit",
"tags": "$:/tags/CommentToolbarButton",
"text": "<$reveal state=\"$:/status/IsReadOnly\" type=\"match\" text=\"no\" default=\"no\" tag=\"span\">\n<$reveal type=\"nomatch\" state=\"!!edit-mode\" text=\"yes\">\n<$button>\n<$action-setfield $tiddler=<<currentTiddler>> $field=\"edit-mode\" $value=\"yes\"/>\n<$action-setfield $tiddler=<<currentTiddler>> $field=\"saved-text\" $value={{!!text}}/>\nedit\n</$button>\n</$reveal>\n</$reveal>\n"
},
"$:/plugins/tiddlywiki/comments/toolbar-button-save": {
"title": "$:/plugins/tiddlywiki/comments/toolbar-button-save",
"tags": "$:/tags/CommentToolbarButton",
"text": "<$reveal state=\"$:/status/IsReadOnly\" type=\"match\" text=\"no\" default=\"no\" tag=\"span\">\n<$reveal type=\"match\" state=\"!!edit-mode\" text=\"yes\">\n<$button>\n<$action-setfield $tiddler=<<currentTiddler>> $field=\"edit-mode\" $value=\"no\"/>\nsave\n</$button>\n</$reveal>\n</$reveal>\n"
}
}
}
{
"tiddlers": {
"$:/config/HighlightPlugin/TypeMappings/application/javascript": {
"title": "$:/config/HighlightPlugin/TypeMappings/application/javascript",
"text": "javascript"
},
"$:/config/HighlightPlugin/TypeMappings/application/json": {
"title": "$:/config/HighlightPlugin/TypeMappings/application/json",
"text": "json"
},
"$:/config/HighlightPlugin/TypeMappings/text/css": {
"title": "$:/config/HighlightPlugin/TypeMappings/text/css",
"text": "css"
},
"$:/config/HighlightPlugin/TypeMappings/text/html": {
"title": "$:/config/HighlightPlugin/TypeMappings/text/html",
"text": "html"
},
"$:/config/HighlightPlugin/TypeMappings/image/svg+xml": {
"title": "$:/config/HighlightPlugin/TypeMappings/image/svg+xml",
"text": "xml"
},
"$:/config/HighlightPlugin/TypeMappings/text/x-markdown": {
"title": "$:/config/HighlightPlugin/TypeMappings/text/x-markdown",
"text": "markdown"
},
"$:/plugins/tiddlywiki/highlight/highlight.js": {
"text": "var hljs = require(\"$:/plugins/tiddlywiki/highlight/highlight.js\");\n/*! highlight.js v9.18.1 | BSD3 License | git.io/hljslicense */\n!function(e){var n=\"object\"==typeof window&&window||\"object\"==typeof self&&self;\"undefined\"==typeof exports||exports.nodeType?n&&(n.hljs=e({}),\"function\"==typeof define&&define.amd&&define([],function(){return n.hljs})):e(exports)}(function(a){var f=[],i=Object.keys,_={},c={},C=!0,n=/^(no-?highlight|plain|text)$/i,l=/\\blang(?:uage)?-([\\w-]+)\\b/i,t=/((^(<[^>]+>|\\t|)+|(?:\\n)))/gm,r={case_insensitive:\"cI\",lexemes:\"l\",contains:\"c\",keywords:\"k\",subLanguage:\"sL\",className:\"cN\",begin:\"b\",beginKeywords:\"bK\",end:\"e\",endsWithParent:\"eW\",illegal:\"i\",excludeBegin:\"eB\",excludeEnd:\"eE\",returnBegin:\"rB\",returnEnd:\"rE\",variants:\"v\",IDENT_RE:\"IR\",UNDERSCORE_IDENT_RE:\"UIR\",NUMBER_RE:\"NR\",C_NUMBER_RE:\"CNR\",BINARY_NUMBER_RE:\"BNR\",RE_STARTERS_RE:\"RSR\",BACKSLASH_ESCAPE:\"BE\",APOS_STRING_MODE:\"ASM\",QUOTE_STRING_MODE:\"QSM\",PHRASAL_WORDS_MODE:\"PWM\",C_LINE_COMMENT_MODE:\"CLCM\",C_BLOCK_COMMENT_MODE:\"CBCM\",HASH_COMMENT_MODE:\"HCM\",NUMBER_MODE:\"NM\",C_NUMBER_MODE:\"CNM\",BINARY_NUMBER_MODE:\"BNM\",CSS_NUMBER_MODE:\"CSSNM\",REGEXP_MODE:\"RM\",TITLE_MODE:\"TM\",UNDERSCORE_TITLE_MODE:\"UTM\",COMMENT:\"C\",beginRe:\"bR\",endRe:\"eR\",illegalRe:\"iR\",lexemesRe:\"lR\",terminators:\"t\",terminator_end:\"tE\"},m=\"</span>\",O=\"Could not find the language '{}', did you forget to load/include a language module?\",B={classPrefix:\"hljs-\",tabReplace:null,useBR:!1,languages:void 0},o=\"of and for in not or if then\".split(\" \");function x(e){return e.replace(/&/g,\"&\").replace(/</g,\"<\").replace(/>/g,\">\")}function g(e){return e.nodeName.toLowerCase()}function u(e){return n.test(e)}function s(e){var n,t={},r=Array.prototype.slice.call(arguments,1);for(n in e)t[n]=e[n];return r.forEach(function(e){for(n in e)t[n]=e[n]}),t}function E(e){var a=[];return function e(n,t){for(var r=n.firstChild;r;r=r.nextSibling)3===r.nodeType?t+=r.nodeValue.length:1===r.nodeType&&(a.push({event:\"start\",offset:t,node:r}),t=e(r,t),g(r).match(/br|hr|img|input/)||a.push({event:\"stop\",offset:t,node:r}));return t}(e,0),a}function d(e,n,t){var r=0,a=\"\",i=[];function o(){return e.length&&n.length?e[0].offset!==n[0].offset?e[0].offset<n[0].offset?e:n:\"start\"===n[0].event?e:n:e.length?e:n}function c(e){a+=\"<\"+g(e)+f.map.call(e.attributes,function(e){return\" \"+e.nodeName+'=\"'+x(e.value).replace(/\"/g,\""\")+'\"'}).join(\"\")+\">\"}function l(e){a+=\"</\"+g(e)+\">\"}function u(e){(\"start\"===e.event?c:l)(e.node)}for(;e.length||n.length;){var s=o();if(a+=x(t.substring(r,s[0].offset)),r=s[0].offset,s===e){for(i.reverse().forEach(l);u(s.splice(0,1)[0]),(s=o())===e&&s.length&&s[0].offset===r;);i.reverse().forEach(c)}else\"start\"===s[0].event?i.push(s[0].node):i.pop(),u(s.splice(0,1)[0])}return a+x(t.substr(r))}function R(n){return n.v&&!n.cached_variants&&(n.cached_variants=n.v.map(function(e){return s(n,{v:null},e)})),n.cached_variants?n.cached_variants:function e(n){return!!n&&(n.eW||e(n.starts))}(n)?[s(n,{starts:n.starts?s(n.starts):null})]:Object.isFrozen(n)?[s(n)]:[n]}function p(e){if(r&&!e.langApiRestored){for(var n in e.langApiRestored=!0,r)e[n]&&(e[r[n]]=e[n]);(e.c||[]).concat(e.v||[]).forEach(p)}}function v(n,r){var a={};return\"string\"==typeof n?t(\"keyword\",n):i(n).forEach(function(e){t(e,n[e])}),a;function t(t,e){r&&(e=e.toLowerCase()),e.split(\" \").forEach(function(e){var n=e.split(\"|\");a[n[0]]=[t,function(e,n){return n?Number(n):function(e){return-1!=o.indexOf(e.toLowerCase())}(e)?0:1}(n[0],n[1])]})}}function S(r){function s(e){return e&&e.source||e}function f(e,n){return new RegExp(s(e),\"m\"+(r.cI?\"i\":\"\")+(n?\"g\":\"\"))}function a(a){var i,e,o={},c=[],l={},t=1;function n(e,n){o[t]=e,c.push([e,n]),t+=function(e){return new RegExp(e.toString()+\"|\").exec(\"\").length-1}(n)+1}for(var r=0;r<a.c.length;r++){n(e=a.c[r],e.bK?\"\\\\.?(?:\"+e.b+\")\\\\.?\":e.b)}a.tE&&n(\"end\",a.tE),a.i&&n(\"illegal\",a.i);var u=c.map(function(e){return e[1]});return i=f(function(e,n){for(var t=/\\[(?:[^\\\\\\]]|\\\\.)*\\]|\\(\\??|\\\\([1-9][0-9]*)|\\\\./,r=0,a=\"\",i=0;i<e.length;i++){var o=r+=1,c=s(e[i]);for(0<i&&(a+=n),a+=\"(\";0<c.length;){var l=t.exec(c);if(null==l){a+=c;break}a+=c.substring(0,l.index),c=c.substring(l.index+l[0].length),\"\\\\\"==l[0][0]&&l[1]?a+=\"\\\\\"+String(Number(l[1])+o):(a+=l[0],\"(\"==l[0]&&r++)}a+=\")\"}return a}(u,\"|\"),!0),l.lastIndex=0,l.exec=function(e){var n;if(0===c.length)return null;i.lastIndex=l.lastIndex;var t=i.exec(e);if(!t)return null;for(var r=0;r<t.length;r++)if(null!=t[r]&&null!=o[\"\"+r]){n=o[\"\"+r];break}return\"string\"==typeof n?(t.type=n,t.extra=[a.i,a.tE]):(t.type=\"begin\",t.rule=n),t},l}if(r.c&&-1!=r.c.indexOf(\"self\")){if(!C)throw new Error(\"ERR: contains `self` is not supported at the top-level of a language. See documentation.\");r.c=r.c.filter(function(e){return\"self\"!=e})}!function n(t,e){t.compiled||(t.compiled=!0,t.k=t.k||t.bK,t.k&&(t.k=v(t.k,r.cI)),t.lR=f(t.l||/\\w+/,!0),e&&(t.bK&&(t.b=\"\\\\b(\"+t.bK.split(\" \").join(\"|\")+\")\\\\b\"),t.b||(t.b=/\\B|\\b/),t.bR=f(t.b),t.endSameAsBegin&&(t.e=t.b),t.e||t.eW||(t.e=/\\B|\\b/),t.e&&(t.eR=f(t.e)),t.tE=s(t.e)||\"\",t.eW&&e.tE&&(t.tE+=(t.e?\"|\":\"\")+e.tE)),t.i&&(t.iR=f(t.i)),null==t.relevance&&(t.relevance=1),t.c||(t.c=[]),t.c=Array.prototype.concat.apply([],t.c.map(function(e){return R(\"self\"===e?t:e)})),t.c.forEach(function(e){n(e,t)}),t.starts&&n(t.starts,e),t.t=a(t))}(r)}function T(n,e,a,t){var i=e;function o(e,n){if(function(e,n){var t=e&&e.exec(n);return t&&0===t.index}(e.eR,n)){for(;e.endsParent&&e.parent;)e=e.parent;return e}if(e.eW)return o(e.parent,n)}function c(e,n,t,r){if(!t&&\"\"===n)return\"\";if(!e)return n;var a='<span class=\"'+(r?\"\":B.classPrefix);return(a+=e+'\">')+n+(t?\"\":m)}function l(){p+=null!=d.sL?function(){var e=\"string\"==typeof d.sL;if(e&&!_[d.sL])return x(v);var n=e?T(d.sL,v,!0,R[d.sL]):w(v,d.sL.length?d.sL:void 0);return 0<d.relevance&&(M+=n.relevance),e&&(R[d.sL]=n.top),c(n.language,n.value,!1,!0)}():function(){var e,n,t,r,a,i,o;if(!d.k)return x(v);for(r=\"\",n=0,d.lR.lastIndex=0,t=d.lR.exec(v);t;)r+=x(v.substring(n,t.index)),a=d,i=t,void 0,o=g.cI?i[0].toLowerCase():i[0],(e=a.k.hasOwnProperty(o)&&a.k[o])?(M+=e[1],r+=c(e[0],x(t[0]))):r+=x(t[0]),n=d.lR.lastIndex,t=d.lR.exec(v);return r+x(v.substr(n))}(),v=\"\"}function u(e){p+=e.cN?c(e.cN,\"\",!0):\"\",d=Object.create(e,{parent:{value:d}})}function s(e){var n=e[0],t=e.rule;return t&&t.endSameAsBegin&&(t.eR=function(e){return new RegExp(e.replace(/[-\\/\\\\^$*+?.()|[\\]{}]/g,\"\\\\$&\"),\"m\")}(n)),t.skip?v+=n:(t.eB&&(v+=n),l(),t.rB||t.eB||(v=n)),u(t),t.rB?0:n.length}var f={};function r(e,n){var t=n&&n[0];if(v+=e,null==t)return l(),0;if(\"begin\"==f.type&&\"end\"==n.type&&f.index==n.index&&\"\"===t)return v+=i.slice(n.index,n.index+1),1;if(\"begin\"===(f=n).type)return s(n);if(\"illegal\"===n.type&&!a)throw new Error('Illegal lexeme \"'+t+'\" for mode \"'+(d.cN||\"<unnamed>\")+'\"');if(\"end\"===n.type){var r=function(e){var n=e[0],t=i.substr(e.index),r=o(d,t);if(r){var a=d;for(a.skip?v+=n:(a.rE||a.eE||(v+=n),l(),a.eE&&(v=n));d.cN&&(p+=m),d.skip||d.sL||(M+=d.relevance),(d=d.parent)!==r.parent;);return r.starts&&(r.endSameAsBegin&&(r.starts.eR=r.eR),u(r.starts)),a.rE?0:n.length}}(n);if(null!=r)return r}return v+=t,t.length}var g=D(n);if(!g)throw console.error(O.replace(\"{}\",n)),new Error('Unknown language: \"'+n+'\"');S(g);var E,d=t||g,R={},p=\"\";for(E=d;E!==g;E=E.parent)E.cN&&(p=c(E.cN,\"\",!0)+p);var v=\"\",M=0;try{for(var b,h,N=0;d.t.lastIndex=N,b=d.t.exec(i);)h=r(i.substring(N,b.index),b),N=b.index+h;for(r(i.substr(N)),E=d;E.parent;E=E.parent)E.cN&&(p+=m);return{relevance:M,value:p,i:!1,language:n,top:d}}catch(e){if(e.message&&-1!==e.message.indexOf(\"Illegal\"))return{i:!0,relevance:0,value:x(i)};if(C)return{relevance:0,value:x(i),language:n,top:d,errorRaised:e};throw e}}function w(t,e){e=e||B.languages||i(_);var r={relevance:0,value:x(t)},a=r;return e.filter(D).filter(L).forEach(function(e){var n=T(e,t,!1);n.language=e,n.relevance>a.relevance&&(a=n),n.relevance>r.relevance&&(a=r,r=n)}),a.language&&(r.second_best=a),r}function M(e){return B.tabReplace||B.useBR?e.replace(t,function(e,n){return B.useBR&&\"\\n\"===e?\"<br>\":B.tabReplace?n.replace(/\\t/g,B.tabReplace):\"\"}):e}function b(e){var n,t,r,a,i,o=function(e){var n,t,r,a,i=e.className+\" \";if(i+=e.parentNode?e.parentNode.className:\"\",t=l.exec(i)){var o=D(t[1]);return o||(console.warn(O.replace(\"{}\",t[1])),console.warn(\"Falling back to no-highlight mode for this block.\",e)),o?t[1]:\"no-highlight\"}for(n=0,r=(i=i.split(/\\s+/)).length;n<r;n++)if(u(a=i[n])||D(a))return a}(e);u(o)||(B.useBR?(n=document.createElement(\"div\")).innerHTML=e.innerHTML.replace(/\\n/g,\"\").replace(/<br[ \\/]*>/g,\"\\n\"):n=e,i=n.textContent,r=o?T(o,i,!0):w(i),(t=E(n)).length&&((a=document.createElement(\"div\")).innerHTML=r.value,r.value=d(t,E(a),i)),r.value=M(r.value),e.innerHTML=r.value,e.className=function(e,n,t){var r=n?c[n]:t,a=[e.trim()];return e.match(/\\bhljs\\b/)||a.push(\"hljs\"),-1===e.indexOf(r)&&a.push(r),a.join(\" \").trim()}(e.className,o,r.language),e.result={language:r.language,re:r.relevance},r.second_best&&(e.second_best={language:r.second_best.language,re:r.second_best.relevance}))}function h(){if(!h.called){h.called=!0;var e=document.querySelectorAll(\"pre code\");f.forEach.call(e,b)}}var N={disableAutodetect:!0};function D(e){return e=(e||\"\").toLowerCase(),_[e]||_[c[e]]}function L(e){var n=D(e);return n&&!n.disableAutodetect}return a.highlight=T,a.highlightAuto=w,a.fixMarkup=M,a.highlightBlock=b,a.configure=function(e){B=s(B,e)},a.initHighlighting=h,a.initHighlightingOnLoad=function(){window.addEventListener(\"DOMContentLoaded\",h,!1),window.addEventListener(\"load\",h,!1)},a.registerLanguage=function(n,e){var t;try{t=e(a)}catch(e){if(console.error(\"Language definition for '{}' could not be registered.\".replace(\"{}\",n)),!C)throw e;console.error(e),t=N}p(_[n]=t),t.rawDefinition=e.bind(null,a),t.aliases&&t.aliases.forEach(function(e){c[e]=n})},a.listLanguages=function(){return i(_)},a.getLanguage=D,a.requireLanguage=function(e){var n=D(e);if(n)return n;throw new Error(\"The '{}' language is required, but not loaded.\".replace(\"{}\",e))},a.autoDetection=L,a.inherit=s,a.debugMode=function(){C=!1},a.IR=a.IDENT_RE=\"[a-zA-Z]\\\\w*\",a.UIR=a.UNDERSCORE_IDENT_RE=\"[a-zA-Z_]\\\\w*\",a.NR=a.NUMBER_RE=\"\\\\b\\\\d+(\\\\.\\\\d+)?\",a.CNR=a.C_NUMBER_RE=\"(-?)(\\\\b0[xX][a-fA-F0-9]+|(\\\\b\\\\d+(\\\\.\\\\d*)?|\\\\.\\\\d+)([eE][-+]?\\\\d+)?)\",a.BNR=a.BINARY_NUMBER_RE=\"\\\\b(0b[01]+)\",a.RSR=a.RE_STARTERS_RE=\"!|!=|!==|%|%=|&|&&|&=|\\\\*|\\\\*=|\\\\+|\\\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\\\?|\\\\[|\\\\{|\\\\(|\\\\^|\\\\^=|\\\\||\\\\|=|\\\\|\\\\||~\",a.BE=a.BACKSLASH_ESCAPE={b:\"\\\\\\\\[\\\\s\\\\S]\",relevance:0},a.ASM=a.APOS_STRING_MODE={cN:\"string\",b:\"'\",e:\"'\",i:\"\\\\n\",c:[a.BE]},a.QSM=a.QUOTE_STRING_MODE={cN:\"string\",b:'\"',e:'\"',i:\"\\\\n\",c:[a.BE]},a.PWM=a.PHRASAL_WORDS_MODE={b:/\\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\\b/},a.C=a.COMMENT=function(e,n,t){var r=a.inherit({cN:\"comment\",b:e,e:n,c:[]},t||{});return r.c.push(a.PWM),r.c.push({cN:\"doctag\",b:\"(?:TODO|FIXME|NOTE|BUG|XXX):\",relevance:0}),r},a.CLCM=a.C_LINE_COMMENT_MODE=a.C(\"//\",\"$\"),a.CBCM=a.C_BLOCK_COMMENT_MODE=a.C(\"/\\\\*\",\"\\\\*/\"),a.HCM=a.HASH_COMMENT_MODE=a.C(\"#\",\"$\"),a.NM=a.NUMBER_MODE={cN:\"number\",b:a.NR,relevance:0},a.CNM=a.C_NUMBER_MODE={cN:\"number\",b:a.CNR,relevance:0},a.BNM=a.BINARY_NUMBER_MODE={cN:\"number\",b:a.BNR,relevance:0},a.CSSNM=a.CSS_NUMBER_MODE={cN:\"number\",b:a.NR+\"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?\",relevance:0},a.RM=a.REGEXP_MODE={cN:\"regexp\",b:/\\//,e:/\\/[gimuy]*/,i:/\\n/,c:[a.BE,{b:/\\[/,e:/\\]/,relevance:0,c:[a.BE]}]},a.TM=a.TITLE_MODE={cN:\"title\",b:a.IR,relevance:0},a.UTM=a.UNDERSCORE_TITLE_MODE={cN:\"title\",b:a.UIR,relevance:0},a.METHOD_GUARD={b:\"\\\\.\\\\s*\"+a.UIR,relevance:0},[a.BE,a.ASM,a.QSM,a.PWM,a.C,a.CLCM,a.CBCM,a.HCM,a.NM,a.CNM,a.BNM,a.CSSNM,a.RM,a.TM,a.UTM,a.METHOD_GUARD].forEach(function(e){!function n(t){Object.freeze(t);var r=\"function\"==typeof t;Object.getOwnPropertyNames(t).forEach(function(e){!t.hasOwnProperty(e)||null===t[e]||\"object\"!=typeof t[e]&&\"function\"!=typeof t[e]||r&&(\"caller\"===e||\"callee\"===e||\"arguments\"===e)||Object.isFrozen(t[e])||n(t[e])});return t}(e)}),a});hljs.registerLanguage(\"swift\",function(e){var i={keyword:\"#available #colorLiteral #column #else #elseif #endif #file #fileLiteral #function #if #imageLiteral #line #selector #sourceLocation _ __COLUMN__ __FILE__ __FUNCTION__ __LINE__ Any as as! as? associatedtype associativity break case catch class continue convenience default defer deinit didSet do dynamic dynamicType else enum extension fallthrough false fileprivate final for func get guard if import in indirect infix init inout internal is lazy left let mutating nil none nonmutating open operator optional override postfix precedence prefix private protocol Protocol public repeat required rethrows return right self Self set static struct subscript super switch throw throws true try try! try? Type typealias unowned var weak where while willSet\",literal:\"true false nil\",built_in:\"abs advance alignof alignofValue anyGenerator assert assertionFailure bridgeFromObjectiveC bridgeFromObjectiveCUnconditional bridgeToObjectiveC bridgeToObjectiveCUnconditional c contains count countElements countLeadingZeros debugPrint debugPrintln distance dropFirst dropLast dump encodeBitsAsWords enumerate equal fatalError filter find getBridgedObjectiveCType getVaList indices insertionSort isBridgedToObjectiveC isBridgedVerbatimToObjectiveC isUniquelyReferenced isUniquelyReferencedNonObjC join lazy lexicographicalCompare map max maxElement min minElement numericCast overlaps partition posix precondition preconditionFailure print println quickSort readLine reduce reflect reinterpretCast reverse roundUpToAlignment sizeof sizeofValue sort split startsWith stride strideof strideofValue swap toString transcode underestimateCount unsafeAddressOf unsafeBitCast unsafeDowncast unsafeUnwrap unsafeReflect withExtendedLifetime withObjectAtPlusZero withUnsafePointer withUnsafePointerToObject withUnsafeMutablePointer withUnsafeMutablePointers withUnsafePointer withUnsafePointers withVaList zip\"},t=e.C(\"/\\\\*\",\"\\\\*/\",{c:[\"self\"]}),n={cN:\"subst\",b:/\\\\\\(/,e:\"\\\\)\",k:i,c:[]},r={cN:\"string\",c:[e.BE,n],v:[{b:/\"\"\"/,e:/\"\"\"/},{b:/\"/,e:/\"/}]},a={cN:\"number\",b:\"\\\\b([\\\\d_]+(\\\\.[\\\\deE_]+)?|0x[a-fA-F0-9_]+(\\\\.[a-fA-F0-9p_]+)?|0b[01_]+|0o[0-7_]+)\\\\b\",relevance:0};return n.c=[a],{k:i,c:[r,e.CLCM,t,{cN:\"type\",b:\"\\\\b[A-Z][\\\\wÀ-ʸ']*[!?]\"},{cN:\"type\",b:\"\\\\b[A-Z][\\\\wÀ-ʸ']*\",relevance:0},a,{cN:\"function\",bK:\"func\",e:\"{\",eE:!0,c:[e.inherit(e.TM,{b:/[A-Za-z$_][0-9A-Za-z$_]*/}),{b:/</,e:/>/},{cN:\"params\",b:/\\(/,e:/\\)/,endsParent:!0,k:i,c:[\"self\",a,r,e.CBCM,{b:\":\"}],i:/[\"']/}],i:/\\[|%/},{cN:\"class\",bK:\"struct protocol class extension enum\",k:i,e:\"\\\\{\",eE:!0,c:[e.inherit(e.TM,{b:/[A-Za-z$_][\\u00C0-\\u02B80-9A-Za-z$_]*/})]},{cN:\"meta\",b:\"(@discardableResult|@warn_unused_result|@exported|@lazy|@noescape|@NSCopying|@NSManaged|@objc|@objcMembers|@convention|@required|@noreturn|@IBAction|@IBDesignable|@IBInspectable|@IBOutlet|@infix|@prefix|@postfix|@autoclosure|@testable|@available|@nonobjc|@NSApplicationMain|@UIApplicationMain|@dynamicMemberLookup|@propertyWrapper)\"},{bK:\"import\",e:/$/,c:[e.CLCM,t]}]}});hljs.registerLanguage(\"less\",function(e){function r(e){return{cN:\"string\",b:\"~?\"+e+\".*?\"+e}}function t(e,r,t){return{cN:e,b:r,relevance:t}}var a=\"[\\\\w-]+\",c=\"(\"+a+\"|@{\"+a+\"})\",s=[],n=[],b={b:\"\\\\(\",e:\"\\\\)\",c:n,relevance:0};n.push(e.CLCM,e.CBCM,r(\"'\"),r('\"'),e.CSSNM,{b:\"(url|data-uri)\\\\(\",starts:{cN:\"string\",e:\"[\\\\)\\\\n]\",eE:!0}},t(\"number\",\"#[0-9A-Fa-f]+\\\\b\"),b,t(\"variable\",\"@@?\"+a,10),t(\"variable\",\"@{\"+a+\"}\"),t(\"built_in\",\"~?`[^`]*?`\"),{cN:\"attribute\",b:a+\"\\\\s*:\",e:\":\",rB:!0,eE:!0},{cN:\"meta\",b:\"!important\"});var i=n.concat({b:\"{\",e:\"}\",c:s}),l={bK:\"when\",eW:!0,c:[{bK:\"and not\"}].concat(n)},o={b:c+\"\\\\s*:\",rB:!0,e:\"[;}]\",relevance:0,c:[{cN:\"attribute\",b:c,e:\":\",eE:!0,starts:{eW:!0,i:\"[<=$]\",relevance:0,c:n}}]},u={cN:\"keyword\",b:\"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\\\b\",starts:{e:\"[;{}]\",rE:!0,c:n,relevance:0}},v={cN:\"variable\",v:[{b:\"@\"+a+\"\\\\s*:\",relevance:15},{b:\"@\"+a}],starts:{e:\"[;}]\",rE:!0,c:i}},C={v:[{b:\"[\\\\.#:&\\\\[>]\",e:\"[;{}]\"},{b:c,e:\"{\"}],rB:!0,rE:!0,i:\"[<='$\\\"]\",relevance:0,c:[e.CLCM,e.CBCM,l,t(\"keyword\",\"all\\\\b\"),t(\"variable\",\"@{\"+a+\"}\"),t(\"selector-tag\",c+\"%?\",0),t(\"selector-id\",\"#\"+c),t(\"selector-class\",\"\\\\.\"+c,0),t(\"selector-tag\",\"&\",0),{cN:\"selector-attr\",b:\"\\\\[\",e:\"\\\\]\"},{cN:\"selector-pseudo\",b:/:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/},{b:\"\\\\(\",e:\"\\\\)\",c:i},{b:\"!important\"}]};return s.push(e.CLCM,e.CBCM,u,v,o,C),{cI:!0,i:\"[=>'/<($\\\"]\",c:s}});hljs.registerLanguage(\"armasm\",function(s){return{cI:!0,aliases:[\"arm\"],l:\"\\\\.?\"+s.IR,k:{meta:\".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND \",built_in:\"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @\"},c:[{cN:\"keyword\",b:\"\\\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?\",e:\"\\\\s\"},s.C(\"[;@]\",\"$\",{relevance:0}),s.CBCM,s.QSM,{cN:\"string\",b:\"'\",e:\"[^\\\\\\\\]'\",relevance:0},{cN:\"title\",b:\"\\\\|\",e:\"\\\\|\",i:\"\\\\n\",relevance:0},{cN:\"number\",v:[{b:\"[#$=]?0x[0-9a-f]+\"},{b:\"[#$=]?0b[01]+\"},{b:\"[#$=]\\\\d+\"},{b:\"\\\\b\\\\d+\"}],relevance:0},{cN:\"symbol\",v:[{b:\"^[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+\"},{b:\"^\\\\s*[a-z_\\\\.\\\\$][a-z0-9_\\\\.\\\\$]+:\"},{b:\"[=#]\\\\w+\"}],relevance:0}]}});hljs.registerLanguage(\"ruby\",function(e){var c=\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?\",b={keyword:\"and then defined module in return redo if BEGIN retry end for self when next until do begin unless END rescue else break undef not super class case require yield alias while ensure elsif or include attr_reader attr_writer attr_accessor\",literal:\"true false nil\"},r={cN:\"doctag\",b:\"@[A-Za-z]+\"},a={b:\"#<\",e:\">\"},n=[e.C(\"#\",\"$\",{c:[r]}),e.C(\"^\\\\=begin\",\"^\\\\=end\",{c:[r],relevance:10}),e.C(\"^__END__\",\"\\\\n$\")],s={cN:\"subst\",b:\"#\\\\{\",e:\"}\",k:b},t={cN:\"string\",c:[e.BE,s],v:[{b:/'/,e:/'/},{b:/\"/,e:/\"/},{b:/`/,e:/`/},{b:\"%[qQwWx]?\\\\(\",e:\"\\\\)\"},{b:\"%[qQwWx]?\\\\[\",e:\"\\\\]\"},{b:\"%[qQwWx]?{\",e:\"}\"},{b:\"%[qQwWx]?<\",e:\">\"},{b:\"%[qQwWx]?/\",e:\"/\"},{b:\"%[qQwWx]?%\",e:\"%\"},{b:\"%[qQwWx]?-\",e:\"-\"},{b:\"%[qQwWx]?\\\\|\",e:\"\\\\|\"},{b:/\\B\\?(\\\\\\d{1,3}|\\\\x[A-Fa-f0-9]{1,2}|\\\\u[A-Fa-f0-9]{4}|\\\\?\\S)\\b/},{b:/<<[-~]?'?(\\w+)(?:.|\\n)*?\\n\\s*\\1\\b/,rB:!0,c:[{b:/<<[-~]?'?/},{b:/\\w+/,endSameAsBegin:!0,c:[e.BE,s]}]}]},i={cN:\"params\",b:\"\\\\(\",e:\"\\\\)\",endsParent:!0,k:b},l=[t,a,{cN:\"class\",bK:\"class module\",e:\"$|;\",i:/=/,c:[e.inherit(e.TM,{b:\"[A-Za-z_]\\\\w*(::\\\\w+)*(\\\\?|\\\\!)?\"}),{b:\"<\\\\s*\",c:[{b:\"(\"+e.IR+\"::)?\"+e.IR}]}].concat(n)},{cN:\"function\",bK:\"def\",e:\"$|;\",c:[e.inherit(e.TM,{b:c}),i].concat(n)},{b:e.IR+\"::\"},{cN:\"symbol\",b:e.UIR+\"(\\\\!|\\\\?)?:\",relevance:0},{cN:\"symbol\",b:\":(?!\\\\s)\",c:[t,{b:c}],relevance:0},{cN:\"number\",b:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},{b:\"(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))\"},{cN:\"params\",b:/\\|/,e:/\\|/,k:b},{b:\"(\"+e.RSR+\"|unless)\\\\s*\",k:\"unless\",c:[a,{cN:\"regexp\",c:[e.BE,s],i:/\\n/,v:[{b:\"/\",e:\"/[a-z]*\"},{b:\"%r{\",e:\"}[a-z]*\"},{b:\"%r\\\\(\",e:\"\\\\)[a-z]*\"},{b:\"%r!\",e:\"![a-z]*\"},{b:\"%r\\\\[\",e:\"\\\\][a-z]*\"}]}].concat(n),relevance:0}].concat(n);s.c=l;var d=[{b:/^\\s*=>/,starts:{e:\"$\",c:i.c=l}},{cN:\"meta\",b:\"^([>?]>|[\\\\w#]+\\\\(\\\\w+\\\\):\\\\d+:\\\\d+>|(\\\\w+-)?\\\\d+\\\\.\\\\d+\\\\.\\\\d(p\\\\d+)?[^>]+>)\",starts:{e:\"$\",c:l}}];return{aliases:[\"rb\",\"gemspec\",\"podspec\",\"thor\",\"irb\"],k:b,i:/\\/\\*/,c:n.concat(d).concat(l)}});hljs.registerLanguage(\"lua\",function(e){var t=\"\\\\[=*\\\\[\",a=\"\\\\]=*\\\\]\",n={b:t,e:a,c:[\"self\"]},l=[e.C(\"--(?!\"+t+\")\",\"$\"),e.C(\"--\"+t,a,{c:[n],relevance:10})];return{l:e.UIR,k:{literal:\"true false nil\",keyword:\"and break do else elseif end for goto if in local not or repeat return then until while\",built_in:\"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstringmodule next pairs pcall print rawequal rawget rawset require select setfenvsetmetatable tonumber tostring type unpack xpcall arg selfcoroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove\"},c:l.concat([{cN:\"function\",bK:\"function\",e:\"\\\\)\",c:[e.inherit(e.TM,{b:\"([_a-zA-Z]\\\\w*\\\\.)*([_a-zA-Z]\\\\w*:)?[_a-zA-Z]\\\\w*\"}),{cN:\"params\",b:\"\\\\(\",eW:!0,c:l}].concat(l)},e.CNM,e.ASM,e.QSM,{cN:\"string\",b:t,e:a,c:[n],relevance:5}])}});hljs.registerLanguage(\"matlab\",function(e){var a=\"('|\\\\.')+\",s={relevance:0,c:[{b:a}]};return{k:{keyword:\"break case catch classdef continue else elseif end enumerated events for function global if methods otherwise parfor persistent properties return spmd switch try while\",built_in:\"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i inf nan isnan isinf isfinite j why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell \"},i:'(//|\"|#|/\\\\*|\\\\s+/\\\\w+)',c:[{cN:\"function\",bK:\"function\",e:\"$\",c:[e.UTM,{cN:\"params\",v:[{b:\"\\\\(\",e:\"\\\\)\"},{b:\"\\\\[\",e:\"\\\\]\"}]}]},{cN:\"built_in\",b:/true|false/,relevance:0,starts:s},{b:\"[a-zA-Z][a-zA-Z_0-9]*\"+a,relevance:0},{cN:\"number\",b:e.CNR,relevance:0,starts:s},{cN:\"string\",b:\"'\",e:\"'\",c:[e.BE,{b:\"''\"}]},{b:/\\]|}|\\)/,relevance:0,starts:s},{cN:\"string\",b:'\"',e:'\"',c:[e.BE,{b:'\"\"'}],starts:s},e.C(\"^\\\\s*\\\\%\\\\{\\\\s*$\",\"^\\\\s*\\\\%\\\\}\\\\s*$\"),e.C(\"\\\\%\",\"$\")]}});hljs.registerLanguage(\"apache\",function(e){var r={cN:\"number\",b:\"[\\\\$%]\\\\d+\"};return{aliases:[\"apacheconf\"],cI:!0,c:[e.HCM,{cN:\"section\",b:\"</?\",e:\">\"},{cN:\"attribute\",b:/\\w+/,relevance:0,k:{nomarkup:\"order deny allow setenv rewriterule rewriteengine rewritecond documentroot sethandler errordocument loadmodule options header listen serverroot servername\"},starts:{e:/$/,relevance:0,k:{literal:\"on off all\"},c:[{cN:\"meta\",b:\"\\\\s\\\\[\",e:\"\\\\]$\"},{cN:\"variable\",b:\"[\\\\$%]\\\\{\",e:\"\\\\}\",c:[\"self\",r]},r,e.QSM]}}],i:/\\S/}});hljs.registerLanguage(\"yaml\",function(e){var b=\"true false yes no null\",a={cN:\"string\",relevance:0,v:[{b:/'/,e:/'/},{b:/\"/,e:/\"/},{b:/\\S+/}],c:[e.BE,{cN:\"template-variable\",v:[{b:\"{{\",e:\"}}\"},{b:\"%{\",e:\"}\"}]}]};return{cI:!0,aliases:[\"yml\",\"YAML\",\"yaml\"],c:[{cN:\"attr\",v:[{b:\"\\\\w[\\\\w :\\\\/.-]*:(?=[ \\t]|$)\"},{b:'\"\\\\w[\\\\w :\\\\/.-]*\":(?=[ \\t]|$)'},{b:\"'\\\\w[\\\\w :\\\\/.-]*':(?=[ \\t]|$)\"}]},{cN:\"meta\",b:\"^---s*$\",relevance:10},{cN:\"string\",b:\"[\\\\|>]([0-9]?[+-])?[ ]*\\\\n( *)[\\\\S ]+\\\\n(\\\\2[\\\\S ]+\\\\n?)*\"},{b:\"<%[%=-]?\",e:\"[%-]?%>\",sL:\"ruby\",eB:!0,eE:!0,relevance:0},{cN:\"type\",b:\"!\"+e.UIR},{cN:\"type\",b:\"!!\"+e.UIR},{cN:\"meta\",b:\"&\"+e.UIR+\"$\"},{cN:\"meta\",b:\"\\\\*\"+e.UIR+\"$\"},{cN:\"bullet\",b:\"\\\\-(?=[ ]|$)\",relevance:0},e.HCM,{bK:b,k:{literal:b}},{cN:\"number\",b:e.CNR+\"\\\\b\"},a]}});hljs.registerLanguage(\"plaintext\",function(e){return{disableAutodetect:!0}});hljs.registerLanguage(\"erlang-repl\",function(e){return{k:{built_in:\"spawn spawn_link self\",keyword:\"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor\"},c:[{cN:\"meta\",b:\"^[0-9]+> \",relevance:10},e.C(\"%\",\"$\"),{cN:\"number\",b:\"\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)\",relevance:0},e.ASM,e.QSM,{b:\"\\\\?(::)?([A-Z]\\\\w*(::)?)+\"},{b:\"->\"},{b:\"ok\"},{b:\"!\"},{b:\"(\\\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\\\b[a-z'][a-zA-Z0-9_']*)\",relevance:0},{b:\"[A-Z][a-zA-Z0-9_']*\",relevance:0}]}});hljs.registerLanguage(\"cmake\",function(e){return{aliases:[\"cmake.in\"],cI:!0,k:{keyword:\"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined\"},c:[{cN:\"variable\",b:\"\\\\${\",e:\"}\"},e.HCM,e.QSM,e.NM]}});hljs.registerLanguage(\"kotlin\",function(e){var t={keyword:\"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual trait volatile transient native default\",built_in:\"Byte Short Char Int Long Boolean Float Double Void Unit Nothing\",literal:\"true false null\"},a={cN:\"symbol\",b:e.UIR+\"@\"},n={cN:\"subst\",b:\"\\\\${\",e:\"}\",c:[e.CNM]},c={cN:\"variable\",b:\"\\\\$\"+e.UIR},r={cN:\"string\",v:[{b:'\"\"\"',e:'\"\"\"(?=[^\"])',c:[c,n]},{b:\"'\",e:\"'\",i:/\\n/,c:[e.BE]},{b:'\"',e:'\"',i:/\\n/,c:[e.BE,c,n]}]};n.c.push(r);var i={cN:\"meta\",b:\"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\\\s*:(?:\\\\s*\"+e.UIR+\")?\"},l={cN:\"meta\",b:\"@\"+e.UIR,c:[{b:/\\(/,e:/\\)/,c:[e.inherit(r,{cN:\"meta-string\"})]}]},s={cN:\"number\",b:\"\\\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?|\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))([eE][-+]?\\\\d+)?)[lLfF]?\",relevance:0},b=e.C(\"/\\\\*\",\"\\\\*/\",{c:[e.CBCM]}),o={v:[{cN:\"type\",b:e.UIR},{b:/\\(/,e:/\\)/,c:[]}]},d=o;return d.v[1].c=[o],o.v[1].c=[d],{aliases:[\"kt\"],k:t,c:[e.C(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,c:[{cN:\"doctag\",b:\"@[A-Za-z]+\"}]}),e.CLCM,b,{cN:\"keyword\",b:/\\b(break|continue|return|this)\\b/,starts:{c:[{cN:\"symbol\",b:/@\\w+/}]}},a,i,l,{cN:\"function\",bK:\"fun\",e:\"[(]|$\",rB:!0,eE:!0,k:t,i:/fun\\s+(<.*>)?[^\\s\\(]+(\\s+[^\\s\\(]+)\\s*=/,relevance:5,c:[{b:e.UIR+\"\\\\s*\\\\(\",rB:!0,relevance:0,c:[e.UTM]},{cN:\"type\",b:/</,e:/>/,k:\"reified\",relevance:0},{cN:\"params\",b:/\\(/,e:/\\)/,endsParent:!0,k:t,relevance:0,c:[{b:/:/,e:/[=,\\/]/,eW:!0,c:[o,e.CLCM,b],relevance:0},e.CLCM,b,i,l,r,e.CNM]},b]},{cN:\"class\",bK:\"class interface trait\",e:/[:\\{(]|$/,eE:!0,i:\"extends implements\",c:[{bK:\"public protected internal private constructor\"},e.UTM,{cN:\"type\",b:/</,e:/>/,eB:!0,eE:!0,relevance:0},{cN:\"type\",b:/[,:]\\s*/,e:/[<\\(,]|$/,eB:!0,rE:!0},i,l]},r,{cN:\"meta\",b:\"^#!/usr/bin/env\",e:\"$\",i:\"\\n\"},s]}});hljs.registerLanguage(\"javascript\",function(e){var r=\"<>\",a=\"</>\",t={b:/<[A-Za-z0-9\\\\._:-]+/,e:/\\/[A-Za-z0-9\\\\._:-]+>|\\/>/},c=\"[A-Za-z$_][0-9A-Za-z$_]*\",n={keyword:\"in of if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await static import from as\",literal:\"true false null undefined NaN Infinity\",built_in:\"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Promise\"},s={cN:\"number\",v:[{b:\"\\\\b(0[bB][01]+)n?\"},{b:\"\\\\b(0[oO][0-7]+)n?\"},{b:e.CNR+\"n?\"}],relevance:0},o={cN:\"subst\",b:\"\\\\$\\\\{\",e:\"\\\\}\",k:n,c:[]},i={b:\"html`\",e:\"\",starts:{e:\"`\",rE:!1,c:[e.BE,o],sL:\"xml\"}},b={b:\"css`\",e:\"\",starts:{e:\"`\",rE:!1,c:[e.BE,o],sL:\"css\"}},l={cN:\"string\",b:\"`\",e:\"`\",c:[e.BE,o]};o.c=[e.ASM,e.QSM,i,b,l,s,e.RM];var u=o.c.concat([e.CBCM,e.CLCM]);return{aliases:[\"js\",\"jsx\",\"mjs\",\"cjs\"],k:n,c:[{cN:\"meta\",relevance:10,b:/^\\s*['\"]use (strict|asm)['\"]/},{cN:\"meta\",b:/^#!/,e:/$/},e.ASM,e.QSM,i,b,l,e.CLCM,e.C(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,c:[{cN:\"doctag\",b:\"@[A-Za-z]+\",c:[{cN:\"type\",b:\"\\\\{\",e:\"\\\\}\",relevance:0},{cN:\"variable\",b:c+\"(?=\\\\s*(-)|$)\",endsParent:!0,relevance:0},{b:/(?=[^\\n])\\s/,relevance:0}]}]}),e.CBCM,s,{b:/[{,\\n]\\s*/,relevance:0,c:[{b:c+\"\\\\s*:\",rB:!0,relevance:0,c:[{cN:\"attr\",b:c,relevance:0}]}]},{b:\"(\"+e.RSR+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",k:\"return throw case\",c:[e.CLCM,e.CBCM,e.RM,{cN:\"function\",b:\"(\\\\(.*?\\\\)|\"+c+\")\\\\s*=>\",rB:!0,e:\"\\\\s*=>\",c:[{cN:\"params\",v:[{b:c},{b:/\\(\\s*\\)/},{b:/\\(/,e:/\\)/,eB:!0,eE:!0,k:n,c:u}]}]},{cN:\"\",b:/\\s/,e:/\\s*/,skip:!0},{v:[{b:r,e:a},{b:t.b,e:t.e}],sL:\"xml\",c:[{b:t.b,e:t.e,skip:!0,c:[\"self\"]}]}],relevance:0},{cN:\"function\",bK:\"function\",e:/\\{/,eE:!0,c:[e.inherit(e.TM,{b:c}),{cN:\"params\",b:/\\(/,e:/\\)/,eB:!0,eE:!0,c:u}],i:/\\[|%/},{b:/\\$[(.]/},e.METHOD_GUARD,{cN:\"class\",bK:\"class\",e:/[{;=]/,eE:!0,i:/[:\"\\[\\]]/,c:[{bK:\"extends\"},e.UTM]},{bK:\"constructor get set\",e:/\\{/,eE:!0}],i:/#(?!!)/}});hljs.registerLanguage(\"scss\",function(e){var t=\"@[a-z-]+\",r={cN:\"variable\",b:\"(\\\\$[a-zA-Z-][a-zA-Z0-9_-]*)\\\\b\"},i={cN:\"number\",b:\"#[0-9A-Fa-f]+\"};e.CSSNM,e.QSM,e.ASM,e.CBCM;return{cI:!0,i:\"[=/|']\",c:[e.CLCM,e.CBCM,{cN:\"selector-id\",b:\"\\\\#[A-Za-z0-9_-]+\",relevance:0},{cN:\"selector-class\",b:\"\\\\.[A-Za-z0-9_-]+\",relevance:0},{cN:\"selector-attr\",b:\"\\\\[\",e:\"\\\\]\",i:\"$\"},{cN:\"selector-tag\",b:\"\\\\b(a|abbr|acronym|address|area|article|aside|audio|b|base|big|blockquote|body|br|button|canvas|caption|cite|code|col|colgroup|command|datalist|dd|del|details|dfn|div|dl|dt|em|embed|fieldset|figcaption|figure|footer|form|frame|frameset|(h[1-6])|head|header|hgroup|hr|html|i|iframe|img|input|ins|kbd|keygen|label|legend|li|link|map|mark|meta|meter|nav|noframes|noscript|object|ol|optgroup|option|output|p|param|pre|progress|q|rp|rt|ruby|samp|script|section|select|small|span|strike|strong|style|sub|sup|table|tbody|td|textarea|tfoot|th|thead|time|title|tr|tt|ul|var|video)\\\\b\",relevance:0},{cN:\"selector-pseudo\",b:\":(visited|valid|root|right|required|read-write|read-only|out-range|optional|only-of-type|only-child|nth-of-type|nth-last-of-type|nth-last-child|nth-child|not|link|left|last-of-type|last-child|lang|invalid|indeterminate|in-range|hover|focus|first-of-type|first-line|first-letter|first-child|first|enabled|empty|disabled|default|checked|before|after|active)\"},{cN:\"selector-pseudo\",b:\"::(after|before|choices|first-letter|first-line|repeat-index|repeat-item|selection|value)\"},r,{cN:\"attribute\",b:\"\\\\b(src|z-index|word-wrap|word-spacing|word-break|width|widows|white-space|visibility|vertical-align|unicode-bidi|transition-timing-function|transition-property|transition-duration|transition-delay|transition|transform-style|transform-origin|transform|top|text-underline-position|text-transform|text-shadow|text-rendering|text-overflow|text-indent|text-decoration-style|text-decoration-line|text-decoration-color|text-decoration|text-align-last|text-align|tab-size|table-layout|right|resize|quotes|position|pointer-events|perspective-origin|perspective|page-break-inside|page-break-before|page-break-after|padding-top|padding-right|padding-left|padding-bottom|padding|overflow-y|overflow-x|overflow-wrap|overflow|outline-width|outline-style|outline-offset|outline-color|outline|orphans|order|opacity|object-position|object-fit|normal|none|nav-up|nav-right|nav-left|nav-index|nav-down|min-width|min-height|max-width|max-height|mask|marks|margin-top|margin-right|margin-left|margin-bottom|margin|list-style-type|list-style-position|list-style-image|list-style|line-height|letter-spacing|left|justify-content|initial|inherit|ime-mode|image-orientation|image-resolution|image-rendering|icon|hyphens|height|font-weight|font-variant-ligatures|font-variant|font-style|font-stretch|font-size-adjust|font-size|font-language-override|font-kerning|font-feature-settings|font-family|font|float|flex-wrap|flex-shrink|flex-grow|flex-flow|flex-direction|flex-basis|flex|filter|empty-cells|display|direction|cursor|counter-reset|counter-increment|content|column-width|column-span|column-rule-width|column-rule-style|column-rule-color|column-rule|column-gap|column-fill|column-count|columns|color|clip-path|clip|clear|caption-side|break-inside|break-before|break-after|box-sizing|box-shadow|box-decoration-break|bottom|border-width|border-top-width|border-top-style|border-top-right-radius|border-top-left-radius|border-top-color|border-top|border-style|border-spacing|border-right-width|border-right-style|border-right-color|border-right|border-radius|border-left-width|border-left-style|border-left-color|border-left|border-image-width|border-image-source|border-image-slice|border-image-repeat|border-image-outset|border-image|border-color|border-collapse|border-bottom-width|border-bottom-style|border-bottom-right-radius|border-bottom-left-radius|border-bottom-color|border-bottom|border|background-size|background-repeat|background-position|background-origin|background-image|background-color|background-clip|background-attachment|background-blend-mode|background|backface-visibility|auto|animation-timing-function|animation-play-state|animation-name|animation-iteration-count|animation-fill-mode|animation-duration|animation-direction|animation-delay|animation|align-self|align-items|align-content)\\\\b\",i:\"[^\\\\s]\"},{b:\"\\\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\\\b\"},{b:\":\",e:\";\",c:[r,i,e.CSSNM,e.QSM,e.ASM,{cN:\"meta\",b:\"!important\"}]},{b:\"@(page|font-face)\",l:t,k:\"@page @font-face\"},{b:\"@\",e:\"[{;]\",rB:!0,k:\"and or not only\",c:[{b:t,cN:\"keyword\"},r,e.QSM,e.ASM,i,e.CSSNM]}]}});hljs.registerLanguage(\"perl\",function(e){var t=\"getpwent getservent quotemeta msgrcv scalar kill dbmclose undef lc ma syswrite tr send umask sysopen shmwrite vec qx utime local oct semctl localtime readpipe do return format read sprintf dbmopen pop getpgrp not getpwnam rewinddir qqfileno qw endprotoent wait sethostent bless s|0 opendir continue each sleep endgrent shutdown dump chomp connect getsockname die socketpair close flock exists index shmgetsub for endpwent redo lstat msgctl setpgrp abs exit select print ref gethostbyaddr unshift fcntl syscall goto getnetbyaddr join gmtime symlink semget splice x|0 getpeername recv log setsockopt cos last reverse gethostbyname getgrnam study formline endhostent times chop length gethostent getnetent pack getprotoent getservbyname rand mkdir pos chmod y|0 substr endnetent printf next open msgsnd readdir use unlink getsockopt getpriority rindex wantarray hex system getservbyport endservent int chr untie rmdir prototype tell listen fork shmread ucfirst setprotoent else sysseek link getgrgid shmctl waitpid unpack getnetbyname reset chdir grep split require caller lcfirst until warn while values shift telldir getpwuid my getprotobynumber delete and sort uc defined srand accept package seekdir getprotobyname semop our rename seek if q|0 chroot sysread setpwent no crypt getc chown sqrt write setnetent setpriority foreach tie sin msgget map stat getlogin unless elsif truncate exec keys glob tied closedirioctl socket readlink eval xor readline binmode setservent eof ord bind alarm pipe atan2 getgrent exp time push setgrent gt lt or ne m|0 break given say state when\",r={cN:\"subst\",b:\"[$@]\\\\{\",e:\"\\\\}\",k:t},s={b:\"->{\",e:\"}\"},n={v:[{b:/\\$\\d/},{b:/[\\$%@](\\^\\w\\b|#\\w+(::\\w+)*|{\\w+}|\\w+(::\\w*)*)/},{b:/[\\$%@][^\\s\\w{]/,relevance:0}]},c=[e.BE,r,n],a=[n,e.HCM,e.C(\"^\\\\=\\\\w\",\"\\\\=cut\",{eW:!0}),s,{cN:\"string\",c:c,v:[{b:\"q[qwxr]?\\\\s*\\\\(\",e:\"\\\\)\",relevance:5},{b:\"q[qwxr]?\\\\s*\\\\[\",e:\"\\\\]\",relevance:5},{b:\"q[qwxr]?\\\\s*\\\\{\",e:\"\\\\}\",relevance:5},{b:\"q[qwxr]?\\\\s*\\\\|\",e:\"\\\\|\",relevance:5},{b:\"q[qwxr]?\\\\s*\\\\<\",e:\"\\\\>\",relevance:5},{b:\"qw\\\\s+q\",e:\"q\",relevance:5},{b:\"'\",e:\"'\",c:[e.BE]},{b:'\"',e:'\"'},{b:\"`\",e:\"`\",c:[e.BE]},{b:\"{\\\\w+}\",c:[],relevance:0},{b:\"-?\\\\w+\\\\s*\\\\=\\\\>\",c:[],relevance:0}]},{cN:\"number\",b:\"(\\\\b0[0-7_]+)|(\\\\b0x[0-9a-fA-F_]+)|(\\\\b[1-9][0-9_]*(\\\\.[0-9_]+)?)|[0_]\\\\b\",relevance:0},{b:\"(\\\\/\\\\/|\"+e.RSR+\"|\\\\b(split|return|print|reverse|grep)\\\\b)\\\\s*\",k:\"split return print reverse grep\",relevance:0,c:[e.HCM,{cN:\"regexp\",b:\"(s|tr|y)/(\\\\\\\\.|[^/])*/(\\\\\\\\.|[^/])*/[a-z]*\",relevance:10},{cN:\"regexp\",b:\"(m|qr)?/\",e:\"/[a-z]*\",c:[e.BE],relevance:0}]},{cN:\"function\",bK:\"sub\",e:\"(\\\\s*\\\\(.*?\\\\))?[;{]\",eE:!0,relevance:5,c:[e.TM]},{b:\"-\\\\w\\\\b\",relevance:0},{b:\"^__DATA__$\",e:\"^__END__$\",sL:\"mojolicious\",c:[{b:\"^@@.*\",e:\"$\",cN:\"comment\"}]}];return r.c=a,{aliases:[\"pl\",\"pm\"],l:/[\\w\\.]+/,k:t,c:s.c=a}});hljs.registerLanguage(\"go\",function(e){var n={keyword:\"break default func interface select case map struct chan else goto package switch const fallthrough if range type continue for import return var go defer bool byte complex64 complex128 float32 float64 int8 int16 int32 int64 string uint8 uint16 uint32 uint64 int uint uintptr rune\",literal:\"true false iota nil\",built_in:\"append cap close complex copy imag len make new panic print println real recover delete\"};return{aliases:[\"golang\"],k:n,i:\"</\",c:[e.CLCM,e.CBCM,{cN:\"string\",v:[e.QSM,e.ASM,{b:\"`\",e:\"`\"}]},{cN:\"number\",v:[{b:e.CNR+\"[i]\",relevance:1},e.CNM]},{b:/:=/},{cN:\"function\",bK:\"func\",e:\"\\\\s*(\\\\{|$)\",eE:!0,c:[e.TM,{cN:\"params\",b:/\\(/,e:/\\)/,k:n,i:/[\"']/}]}]}});hljs.registerLanguage(\"x86asm\",function(s){return{cI:!0,l:\"[.%]?\"+s.IR,k:{keyword:\"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63\",built_in:\"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr\",meta:\"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__\"},c:[s.C(\";\",\"$\",{relevance:0}),{cN:\"number\",v:[{b:\"\\\\b(?:([0-9][0-9_]*)?\\\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*\\\\.?[0-9_]*(?:[pP](?:[+-]?[0-9_]+)?)?)\\\\b\",relevance:0},{b:\"\\\\$[0-9][0-9A-Fa-f]*\",relevance:0},{b:\"\\\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\\\b\"},{b:\"\\\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\\\b\"}]},s.QSM,{cN:\"string\",v:[{b:\"'\",e:\"[^\\\\\\\\]'\"},{b:\"`\",e:\"[^\\\\\\\\]`\"}],relevance:0},{cN:\"symbol\",v:[{b:\"^\\\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\\\s+label)\"},{b:\"^\\\\s*%%[A-Za-z0-9_$#@~.?]*:\"}],relevance:0},{cN:\"subst\",b:\"%[0-9]+\",relevance:0},{cN:\"subst\",b:\"%!S+\",relevance:0},{cN:\"meta\",b:/^\\s*\\.[\\w_-]+/}]}});hljs.registerLanguage(\"cpp\",function(e){function t(e){return\"(?:\"+e+\")?\"}var r=\"decltype\\\\(auto\\\\)\",a=\"[a-zA-Z_]\\\\w*::\",i=\"(\"+r+\"|\"+t(a)+\"[a-zA-Z_]\\\\w*\"+t(\"<.*?>\")+\")\",c={cN:\"keyword\",b:\"\\\\b[a-z\\\\d_]*_t\\\\b\"},s={cN:\"string\",v:[{b:'(u8?|U|L)?\"',e:'\"',i:\"\\\\n\",c:[e.BE]},{b:\"(u8?|U|L)?'(\\\\\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\\\S)|.)\",e:\"'\",i:\".\"},{b:/(?:u8?|U|L)?R\"([^()\\\\ ]{0,16})\\((?:.|\\n)*?\\)\\1\"/}]},n={cN:\"number\",v:[{b:\"\\\\b(0b[01']+)\"},{b:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)(u|U|l|L|ul|UL|f|F|b|B)\"},{b:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},o={cN:\"meta\",b:/#\\s*[a-z]+\\b/,e:/$/,k:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include\"},c:[{b:/\\\\\\n/,relevance:0},e.inherit(s,{cN:\"meta-string\"}),{cN:\"meta-string\",b:/<.*?>/,e:/$/,i:\"\\\\n\"},e.CLCM,e.CBCM]},l={cN:\"title\",b:t(a)+e.IR,relevance:0},u=t(a)+e.IR+\"\\\\s*\\\\(\",p={keyword:\"int float while private char char8_t char16_t char32_t catch import module export virtual operator sizeof dynamic_cast|10 typedef const_cast|10 const for static_cast|10 union namespace unsigned long volatile static protected bool template mutable if public friend do goto auto void enum else break extern using asm case typeid wchar_tshort reinterpret_cast|10 default double register explicit signed typename try this switch continue inline delete alignas alignof constexpr consteval constinit decltype concept co_await co_return co_yield requires noexcept static_assert thread_local restrict final override atomic_bool atomic_char atomic_schar atomic_uchar atomic_short atomic_ushort atomic_int atomic_uint atomic_long atomic_ulong atomic_llong atomic_ullong new throw return and and_eq bitand bitor compl not not_eq or or_eq xor xor_eq\",built_in:\"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr _Bool complex _Complex imaginary _Imaginary\",literal:\"true false nullptr NULL\"},m=[c,e.CLCM,e.CBCM,n,s],d={v:[{b:/=/,e:/;/},{b:/\\(/,e:/\\)/},{bK:\"new throw return else\",e:/;/}],k:p,c:m.concat([{b:/\\(/,e:/\\)/,k:p,c:m.concat([\"self\"]),relevance:0}]),relevance:0},b={cN:\"function\",b:\"(\"+i+\"[\\\\*&\\\\s]+)+\"+u,rB:!0,e:/[{;=]/,eE:!0,k:p,i:/[^\\w\\s\\*&:<>]/,c:[{b:r,k:p,relevance:0},{b:u,rB:!0,c:[l],relevance:0},{cN:\"params\",b:/\\(/,e:/\\)/,k:p,relevance:0,c:[e.CLCM,e.CBCM,s,n,c,{b:/\\(/,e:/\\)/,k:p,relevance:0,c:[\"self\",e.CLCM,e.CBCM,s,n,c]}]},c,e.CLCM,e.CBCM,o]};return{aliases:[\"c\",\"cc\",\"h\",\"c++\",\"h++\",\"hpp\",\"hh\",\"hxx\",\"cxx\"],k:p,i:\"</\",c:[].concat(d,b,m,[o,{b:\"\\\\b(deque|list|queue|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array)\\\\s*<\",e:\">\",k:p,c:[\"self\",c]},{b:e.IR+\"::\",k:p},{cN:\"class\",bK:\"class struct\",e:/[{;:]/,c:[{b:/</,e:/>/,c:[\"self\"]},e.TM]}]),exports:{preprocessor:o,strings:s,k:p}}});hljs.registerLanguage(\"arduino\",function(e){var t=\"boolean byte word String\",r=\"setup loopKeyboardController MouseController SoftwareSerial EthernetServer EthernetClient LiquidCrystal RobotControl GSMVoiceCall EthernetUDP EsploraTFT HttpClient RobotMotor WiFiClient GSMScanner FileSystem Scheduler GSMServer YunClient YunServer IPAddress GSMClient GSMModem Keyboard Ethernet Console GSMBand Esplora Stepper Process WiFiUDP GSM_SMS Mailbox USBHost Firmata PImage Client Server GSMPIN FileIO Bridge Serial EEPROM Stream Mouse Audio Servo File Task GPRS WiFi Wire TFT GSM SPI SD runShellCommandAsynchronously analogWriteResolution retrieveCallingNumber printFirmwareVersion analogReadResolution sendDigitalPortPair noListenOnLocalhost readJoystickButton setFirmwareVersion readJoystickSwitch scrollDisplayRight getVoiceCallStatus scrollDisplayLeft writeMicroseconds delayMicroseconds beginTransmission getSignalStrength runAsynchronously getAsynchronously listenOnLocalhost getCurrentCarrier readAccelerometer messageAvailable sendDigitalPorts lineFollowConfig countryNameWrite runShellCommand readStringUntil rewindDirectory readTemperature setClockDivider readLightSensor endTransmission analogReference detachInterrupt countryNameRead attachInterrupt encryptionType readBytesUntil robotNameWrite readMicrophone robotNameRead cityNameWrite userNameWrite readJoystickY readJoystickX mouseReleased openNextFile scanNetworks noInterrupts digitalWrite beginSpeaker mousePressed isActionDone mouseDragged displayLogos noAutoscroll addParameter remoteNumber getModifiers keyboardRead userNameRead waitContinue processInput parseCommand printVersion readNetworks writeMessage blinkVersion cityNameRead readMessage setDataMode parsePacket isListening setBitOrder beginPacket isDirectory motorsWrite drawCompass digitalRead clearScreen serialEvent rightToLeft setTextSize leftToRight requestFrom keyReleased compassRead analogWrite interrupts WiFiServer disconnect playMelody parseFloat autoscroll getPINUsed setPINUsed setTimeout sendAnalog readSlider analogRead beginWrite createChar motorsStop keyPressed tempoWrite readButton subnetMask debugPrint macAddress writeGreen randomSeed attachGPRS readString sendString remotePort releaseAll mouseMoved background getXChange getYChange answerCall getResult voiceCall endPacket constrain getSocket writeJSON getButton available connected findUntil readBytes exitValue readGreen writeBlue startLoop IPAddress isPressed sendSysex pauseMode gatewayIP setCursor getOemKey tuneWrite noDisplay loadImage switchPIN onRequest onReceive changePIN playFile noBuffer parseInt overflow checkPIN knobRead beginTFT bitClear updateIR bitWrite position writeRGB highByte writeRed setSpeed readBlue noStroke remoteIP transfer shutdown hangCall beginSMS endWrite attached maintain noCursor checkReg checkPUK shiftOut isValid shiftIn pulseIn connect println localIP pinMode getIMEI display noBlink process getBand running beginSD drawBMP lowByte setBand release bitRead prepare pointTo readRed setMode noFill remove listen stroke detach attach noTone exists buffer height bitSet circle config cursor random IRread setDNS endSMS getKey micros millis begin print write ready flush width isPIN blink clear press mkdir rmdir close point yield image BSSID click delay read text move peek beep rect line open seek fill size turn stop home find step tone sqrt RSSI SSID end bit tan cos sin pow map abs max min get run put\",i=\"DIGITAL_MESSAGE FIRMATA_STRING ANALOG_MESSAGE REPORT_DIGITAL REPORT_ANALOG INPUT_PULLUP SET_PIN_MODE INTERNAL2V56 SYSTEM_RESET LED_BUILTIN INTERNAL1V1 SYSEX_START INTERNAL EXTERNAL DEFAULT OUTPUT INPUT HIGH LOW\",o=e.requireLanguage(\"cpp\").rawDefinition(),a=o.k;return a.keyword+=\" \"+t,a.literal+=\" \"+i,a.built_in+=\" \"+r,o});hljs.registerLanguage(\"nginx\",function(e){var r={cN:\"variable\",v:[{b:/\\$\\d+/},{b:/\\$\\{/,e:/}/},{b:\"[\\\\$\\\\@]\"+e.UIR}]},b={eW:!0,l:\"[a-z/_]+\",k:{literal:\"on off yes no true false none blocked debug info notice warn error crit select break last permanent redirect kqueue rtsig epoll poll /dev/poll\"},relevance:0,i:\"=>\",c:[e.HCM,{cN:\"string\",c:[e.BE,r],v:[{b:/\"/,e:/\"/},{b:/'/,e:/'/}]},{b:\"([a-z]+):/\",e:\"\\\\s\",eW:!0,eE:!0,c:[r]},{cN:\"regexp\",c:[e.BE,r],v:[{b:\"\\\\s\\\\^\",e:\"\\\\s|{|;\",rE:!0},{b:\"~\\\\*?\\\\s+\",e:\"\\\\s|{|;\",rE:!0},{b:\"\\\\*(\\\\.[a-z\\\\-]+)+\"},{b:\"([a-z\\\\-]+\\\\.)+\\\\*\"}]},{cN:\"number\",b:\"\\\\b\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}\\\\.\\\\d{1,3}(:\\\\d{1,5})?\\\\b\"},{cN:\"number\",b:\"\\\\b\\\\d+[kKmMgGdshdwy]*\\\\b\",relevance:0},r]};return{aliases:[\"nginxconf\"],c:[e.HCM,{b:e.UIR+\"\\\\s+{\",rB:!0,e:\"{\",c:[{cN:\"section\",b:e.UIR}],relevance:0},{b:e.UIR+\"\\\\s\",e:\";|{\",rB:!0,c:[{cN:\"attribute\",b:e.UIR,starts:b}],relevance:0}],i:\"[^\\\\s\\\\}]\"}});hljs.registerLanguage(\"xml\",function(e){var c={cN:\"symbol\",b:\"&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;\"},s={b:\"\\\\s\",c:[{cN:\"meta-keyword\",b:\"#?[a-z_][a-z1-9_-]+\",i:\"\\\\n\"}]},a=e.inherit(s,{b:\"\\\\(\",e:\"\\\\)\"}),t=e.inherit(e.ASM,{cN:\"meta-string\"}),l=e.inherit(e.QSM,{cN:\"meta-string\"}),r={eW:!0,i:/</,relevance:0,c:[{cN:\"attr\",b:\"[A-Za-z0-9\\\\._:-]+\",relevance:0},{b:/=\\s*/,relevance:0,c:[{cN:\"string\",endsParent:!0,v:[{b:/\"/,e:/\"/,c:[c]},{b:/'/,e:/'/,c:[c]},{b:/[^\\s\"'=<>`]+/}]}]}]};return{aliases:[\"html\",\"xhtml\",\"rss\",\"atom\",\"xjb\",\"xsd\",\"xsl\",\"plist\",\"wsf\",\"svg\"],cI:!0,c:[{cN:\"meta\",b:\"<![a-z]\",e:\">\",relevance:10,c:[s,l,t,a,{b:\"\\\\[\",e:\"\\\\]\",c:[{cN:\"meta\",b:\"<![a-z]\",e:\">\",c:[s,a,l,t]}]}]},e.C(\"\\x3c!--\",\"--\\x3e\",{relevance:10}),{b:\"<\\\\!\\\\[CDATA\\\\[\",e:\"\\\\]\\\\]>\",relevance:10},c,{cN:\"meta\",b:/<\\?xml/,e:/\\?>/,relevance:10},{b:/<\\?(php)?/,e:/\\?>/,sL:\"php\",c:[{b:\"/\\\\*\",e:\"\\\\*/\",skip:!0},{b:'b\"',e:'\"',skip:!0},{b:\"b'\",e:\"'\",skip:!0},e.inherit(e.ASM,{i:null,cN:null,c:null,skip:!0}),e.inherit(e.QSM,{i:null,cN:null,c:null,skip:!0})]},{cN:\"tag\",b:\"<style(?=\\\\s|>)\",e:\">\",k:{name:\"style\"},c:[r],starts:{e:\"</style>\",rE:!0,sL:[\"css\",\"xml\"]}},{cN:\"tag\",b:\"<script(?=\\\\s|>)\",e:\">\",k:{name:\"script\"},c:[r],starts:{e:\"<\\/script>\",rE:!0,sL:[\"actionscript\",\"javascript\",\"handlebars\",\"xml\"]}},{cN:\"tag\",b:\"</?\",e:\"/?>\",c:[{cN:\"name\",b:/[^\\/><\\s]+/,relevance:0},r]}]}});hljs.registerLanguage(\"markdown\",function(e){return{aliases:[\"md\",\"mkdown\",\"mkd\"],c:[{cN:\"section\",v:[{b:\"^#{1,6}\",e:\"$\"},{b:\"^.+?\\\\n[=-]{2,}$\"}]},{b:\"<\",e:\">\",sL:\"xml\",relevance:0},{cN:\"bullet\",b:\"^\\\\s*([*+-]|(\\\\d+\\\\.))\\\\s+\"},{cN:\"strong\",b:\"[*_]{2}.+?[*_]{2}\"},{cN:\"emphasis\",v:[{b:\"\\\\*.+?\\\\*\"},{b:\"_.+?_\",relevance:0}]},{cN:\"quote\",b:\"^>\\\\s+\",e:\"$\"},{cN:\"code\",v:[{b:\"^```\\\\w*\\\\s*$\",e:\"^```[ ]*$\"},{b:\"`.+?`\"},{b:\"^( {4}|\\\\t)\",e:\"$\",relevance:0}]},{b:\"^[-\\\\*]{3,}\",e:\"$\"},{b:\"\\\\[.+?\\\\][\\\\(\\\\[].*?[\\\\)\\\\]]\",rB:!0,c:[{cN:\"string\",b:\"\\\\[\",e:\"\\\\]\",eB:!0,rE:!0,relevance:0},{cN:\"link\",b:\"\\\\]\\\\(\",e:\"\\\\)\",eB:!0,eE:!0},{cN:\"symbol\",b:\"\\\\]\\\\[\",e:\"\\\\]\",eB:!0,eE:!0}],relevance:10},{b:/^\\[[^\\n]+\\]:/,rB:!0,c:[{cN:\"symbol\",b:/\\[/,e:/\\]/,eB:!0,eE:!0},{cN:\"link\",b:/:\\s*/,e:/$/,eB:!0}]}]}});hljs.registerLanguage(\"properties\",function(e){var r=\"[ \\\\t\\\\f]*\",t=\"(\"+r+\"[:=]\"+r+\"|[ \\\\t\\\\f]+)\",n=\"([^\\\\\\\\\\\\W:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\",a=\"([^\\\\\\\\:= \\\\t\\\\f\\\\n]|\\\\\\\\.)+\",c={e:t,relevance:0,starts:{cN:\"string\",e:/$/,relevance:0,c:[{b:\"\\\\\\\\\\\\n\"}]}};return{cI:!0,i:/\\S/,c:[e.C(\"^\\\\s*[!#]\",\"$\"),{b:n+t,rB:!0,c:[{cN:\"attr\",b:n,endsParent:!0,relevance:0}],starts:c},{b:a+t,rB:!0,relevance:0,c:[{cN:\"meta\",b:a,endsParent:!0,relevance:0}],starts:c},{cN:\"attr\",relevance:0,b:a+r+\"$\"}]}});hljs.registerLanguage(\"bash\",function(e){var t={cN:\"variable\",v:[{b:/\\$[\\w\\d#@][\\w\\d_]*/},{b:/\\$\\{(.*?)}/}]},a={cN:\"string\",b:/\"/,e:/\"/,c:[e.BE,t,{cN:\"variable\",b:/\\$\\(/,e:/\\)/,c:[e.BE]}]};return{aliases:[\"sh\",\"zsh\"],l:/\\b-?[a-z\\._]+\\b/,k:{keyword:\"if then else elif fi for while in do done case esac function\",literal:\"true false\",built_in:\"break cd continue eval exec exit export getopts hash pwd readonly return shift test times trap umask unset alias bind builtin caller command declare echo enable help let local logout mapfile printf read readarray source type typeset ulimit unalias set shopt autoload bg bindkey bye cap chdir clone comparguments compcall compctl compdescribe compfiles compgroups compquote comptags comptry compvalues dirs disable disown echotc echoti emulate fc fg float functions getcap getln history integer jobs kill limit log noglob popd print pushd pushln rehash sched setcap setopt stat suspend ttyctl unfunction unhash unlimit unsetopt vared wait whence where which zcompile zformat zftp zle zmodload zparseopts zprof zpty zregexparse zsocket zstyle ztcp\",_:\"-ne -eq -lt -gt -f -d -e -s -l -a\"},c:[{cN:\"meta\",b:/^#![^\\n]+sh\\s*$/,relevance:10},{cN:\"function\",b:/\\w[\\w\\d_]*\\s*\\(\\s*\\)\\s*\\{/,rB:!0,c:[e.inherit(e.TM,{b:/\\w[\\w\\d_]*/})],relevance:0},e.HCM,a,{cN:\"\",b:/\\\\\"/},{cN:\"string\",b:/'/,e:/'/},t]}});hljs.registerLanguage(\"dockerfile\",function(e){return{aliases:[\"docker\"],cI:!0,k:\"from maintainer expose env arg user onbuild stopsignal\",c:[e.HCM,e.ASM,e.QSM,e.NM,{bK:\"run cmd entrypoint volume add copy workdir label healthcheck shell\",starts:{e:/[^\\\\]$/,sL:\"bash\"}}],i:\"</\"}});hljs.registerLanguage(\"python\",function(e){var r={keyword:\"and elif is global as in if from raise for except finally print import pass return exec else break not with class assert yield try while continue del or def lambda async await nonlocal|10\",built_in:\"Ellipsis NotImplemented\",literal:\"False None True\"},b={cN:\"meta\",b:/^(>>>|\\.\\.\\.) /},c={cN:\"subst\",b:/\\{/,e:/\\}/,k:r,i:/#/},a={b:/\\{\\{/,relevance:0},l={cN:\"string\",c:[e.BE],v:[{b:/(u|b)?r?'''/,e:/'''/,c:[e.BE,b],relevance:10},{b:/(u|b)?r?\"\"\"/,e:/\"\"\"/,c:[e.BE,b],relevance:10},{b:/(fr|rf|f)'''/,e:/'''/,c:[e.BE,b,a,c]},{b:/(fr|rf|f)\"\"\"/,e:/\"\"\"/,c:[e.BE,b,a,c]},{b:/(u|r|ur)'/,e:/'/,relevance:10},{b:/(u|r|ur)\"/,e:/\"/,relevance:10},{b:/(b|br)'/,e:/'/},{b:/(b|br)\"/,e:/\"/},{b:/(fr|rf|f)'/,e:/'/,c:[e.BE,a,c]},{b:/(fr|rf|f)\"/,e:/\"/,c:[e.BE,a,c]},e.ASM,e.QSM]},n={cN:\"number\",relevance:0,v:[{b:e.BNR+\"[lLjJ]?\"},{b:\"\\\\b(0o[0-7]+)[lLjJ]?\"},{b:e.CNR+\"[lLjJ]?\"}]},i={cN:\"params\",b:/\\(/,e:/\\)/,c:[\"self\",b,n,l,e.HCM]};return c.c=[l,n,b],{aliases:[\"py\",\"gyp\",\"ipython\"],k:r,i:/(<\\/|->|\\?)|=>/,c:[b,n,{bK:\"if\",relevance:0},l,e.HCM,{v:[{cN:\"function\",bK:\"def\"},{cN:\"class\",bK:\"class\"}],e:/:/,i:/[${=;\\n,]/,c:[e.UTM,i,{b:/->/,eW:!0,k:\"None\"}]},{cN:\"meta\",b:/^[\\t ]*@/,e:/$/},{b:/\\b(print|exec)\\(/}]}});hljs.registerLanguage(\"ini\",function(e){var b={cN:\"number\",relevance:0,v:[{b:/([\\+\\-]+)?[\\d]+_[\\d_]+/},{b:e.NR}]},a=e.C();a.v=[{b:/;/,e:/$/},{b:/#/,e:/$/}];var c={cN:\"variable\",v:[{b:/\\$[\\w\\d\"][\\w\\d_]*/},{b:/\\$\\{(.*?)}/}]},r={cN:\"literal\",b:/\\bon|off|true|false|yes|no\\b/},n={cN:\"string\",c:[e.BE],v:[{b:\"'''\",e:\"'''\",relevance:10},{b:'\"\"\"',e:'\"\"\"',relevance:10},{b:'\"',e:'\"'},{b:\"'\",e:\"'\"}]};return{aliases:[\"toml\"],cI:!0,i:/\\S/,c:[a,{cN:\"section\",b:/\\[+/,e:/\\]+/},{b:/^[a-z0-9\\[\\]_\\.-]+(?=\\s*=\\s*)/,cN:\"attr\",starts:{e:/$/,c:[a,{b:/\\[/,e:/\\]/,c:[a,r,c,n,b,\"self\"],relevance:0},r,c,n,b]}}]}});hljs.registerLanguage(\"diff\",function(e){return{aliases:[\"patch\"],c:[{cN:\"meta\",relevance:10,v:[{b:/^@@ +\\-\\d+,\\d+ +\\+\\d+,\\d+ +@@$/},{b:/^\\*\\*\\* +\\d+,\\d+ +\\*\\*\\*\\*$/},{b:/^\\-\\-\\- +\\d+,\\d+ +\\-\\-\\-\\-$/}]},{cN:\"comment\",v:[{b:/Index: /,e:/$/},{b:/={3,}/,e:/$/},{b:/^\\-{3}/,e:/$/},{b:/^\\*{3} /,e:/$/},{b:/^\\+{3}/,e:/$/},{b:/^\\*{15}$/}]},{cN:\"addition\",b:\"^\\\\+\",e:\"$\"},{cN:\"deletion\",b:\"^\\\\-\",e:\"$\"},{cN:\"addition\",b:\"^\\\\!\",e:\"$\"}]}});hljs.registerLanguage(\"http\",function(e){var t=\"HTTP/[0-9\\\\.]+\";return{aliases:[\"https\"],i:\"\\\\S\",c:[{b:\"^\"+t,e:\"$\",c:[{cN:\"number\",b:\"\\\\b\\\\d{3}\\\\b\"}]},{b:\"^[A-Z]+ (.*?) \"+t+\"$\",rB:!0,e:\"$\",c:[{cN:\"string\",b:\" \",e:\" \",eB:!0,eE:!0},{b:t},{cN:\"keyword\",b:\"[A-Z]+\"}]},{cN:\"attribute\",b:\"^\\\\w\",e:\": \",eE:!0,i:\"\\\\n|\\\\s|=\",starts:{e:\"$\",relevance:0}},{b:\"\\\\n\\\\n\",starts:{sL:[],eW:!0}}]}});hljs.registerLanguage(\"sql\",function(e){var t=e.C(\"--\",\"$\");return{cI:!0,i:/[<>{}*]/,c:[{bK:\"begin end start commit rollback savepoint lock alter create drop rename call delete do handler insert load replace select truncate update set show pragma grant merge describe use explain help declare prepare execute deallocate release unlock purge reset change stop analyze cache flush optimize repair kill install uninstall checksum restore check backup revoke comment values with\",e:/;/,eW:!0,l:/[\\w\\.]+/,k:{keyword:\"as abort abs absolute acc acce accep accept access accessed accessible account acos action activate add addtime admin administer advanced advise aes_decrypt aes_encrypt after agent aggregate ali alia alias all allocate allow alter always analyze ancillary and anti any anydata anydataset anyschema anytype apply archive archived archivelog are as asc ascii asin assembly assertion associate asynchronous at atan atn2 attr attri attrib attribu attribut attribute attributes audit authenticated authentication authid authors auto autoallocate autodblink autoextend automatic availability avg backup badfile basicfile before begin beginning benchmark between bfile bfile_base big bigfile bin binary_double binary_float binlog bit_and bit_count bit_length bit_or bit_xor bitmap blob_base block blocksize body both bound bucket buffer_cache buffer_pool build bulk by byte byteordermark bytes cache caching call calling cancel capacity cascade cascaded case cast catalog category ceil ceiling chain change changed char_base char_length character_length characters characterset charindex charset charsetform charsetid check checksum checksum_agg child choose chr chunk class cleanup clear client clob clob_base clone close cluster_id cluster_probability cluster_set clustering coalesce coercibility col collate collation collect colu colum column column_value columns columns_updated comment commit compact compatibility compiled complete composite_limit compound compress compute concat concat_ws concurrent confirm conn connec connect connect_by_iscycle connect_by_isleaf connect_by_root connect_time connection consider consistent constant constraint constraints constructor container content contents context contributors controlfile conv convert convert_tz corr corr_k corr_s corresponding corruption cos cost count count_big counted covar_pop covar_samp cpu_per_call cpu_per_session crc32 create creation critical cross cube cume_dist curdate current current_date current_time current_timestamp current_user cursor curtime customdatum cycle data database databases datafile datafiles datalength date_add date_cache date_format date_sub dateadd datediff datefromparts datename datepart datetime2fromparts day day_to_second dayname dayofmonth dayofweek dayofyear days db_role_change dbtimezone ddl deallocate declare decode decompose decrement decrypt deduplicate def defa defau defaul default defaults deferred defi defin define degrees delayed delegate delete delete_all delimited demand dense_rank depth dequeue des_decrypt des_encrypt des_key_file desc descr descri describ describe descriptor deterministic diagnostics difference dimension direct_load directory disable disable_all disallow disassociate discardfile disconnect diskgroup distinct distinctrow distribute distributed div do document domain dotnet double downgrade drop dumpfile duplicate duration each edition editionable editions element ellipsis else elsif elt empty enable enable_all enclosed encode encoding encrypt end end-exec endian enforced engine engines enqueue enterprise entityescaping eomonth error errors escaped evalname evaluate event eventdata events except exception exceptions exchange exclude excluding execu execut execute exempt exists exit exp expire explain explode export export_set extended extent external external_1 external_2 externally extract failed failed_login_attempts failover failure far fast feature_set feature_value fetch field fields file file_name_convert filesystem_like_logging final finish first first_value fixed flash_cache flashback floor flush following follows for forall force foreign form forma format found found_rows freelist freelists freepools fresh from from_base64 from_days ftp full function general generated get get_format get_lock getdate getutcdate global global_name globally go goto grant grants greatest group group_concat group_id grouping grouping_id groups gtid_subtract guarantee guard handler hash hashkeys having hea head headi headin heading heap help hex hierarchy high high_priority hosts hour hours http id ident_current ident_incr ident_seed identified identity idle_time if ifnull ignore iif ilike ilm immediate import in include including increment index indexes indexing indextype indicator indices inet6_aton inet6_ntoa inet_aton inet_ntoa infile initial initialized initially initrans inmemory inner innodb input insert install instance instantiable instr interface interleaved intersect into invalidate invisible is is_free_lock is_ipv4 is_ipv4_compat is_not is_not_null is_used_lock isdate isnull isolation iterate java join json json_exists keep keep_duplicates key keys kill language large last last_day last_insert_id last_value lateral lax lcase lead leading least leaves left len lenght length less level levels library like like2 like4 likec limit lines link list listagg little ln load load_file lob lobs local localtime localtimestamp locate locator lock locked log log10 log2 logfile logfiles logging logical logical_reads_per_call logoff logon logs long loop low low_priority lower lpad lrtrim ltrim main make_set makedate maketime managed management manual map mapping mask master master_pos_wait match matched materialized max maxextents maximize maxinstances maxlen maxlogfiles maxloghistory maxlogmembers maxsize maxtrans md5 measures median medium member memcompress memory merge microsecond mid migration min minextents minimum mining minus minute minutes minvalue missing mod mode model modification modify module monitoring month months mount move movement multiset mutex name name_const names nan national native natural nav nchar nclob nested never new newline next nextval no no_write_to_binlog noarchivelog noaudit nobadfile nocheck nocompress nocopy nocycle nodelay nodiscardfile noentityescaping noguarantee nokeep nologfile nomapping nomaxvalue nominimize nominvalue nomonitoring none noneditionable nonschema noorder nopr nopro noprom nopromp noprompt norely noresetlogs noreverse normal norowdependencies noschemacheck noswitch not nothing notice notnull notrim novalidate now nowait nth_value nullif nulls num numb numbe nvarchar nvarchar2 object ocicoll ocidate ocidatetime ociduration ociinterval ociloblocator ocinumber ociref ocirefcursor ocirowid ocistring ocitype oct octet_length of off offline offset oid oidindex old on online only opaque open operations operator optimal optimize option optionally or oracle oracle_date oradata ord ordaudio orddicom orddoc order ordimage ordinality ordvideo organization orlany orlvary out outer outfile outline output over overflow overriding package pad parallel parallel_enable parameters parent parse partial partition partitions pascal passing password password_grace_time password_lock_time password_reuse_max password_reuse_time password_verify_function patch path patindex pctincrease pctthreshold pctused pctversion percent percent_rank percentile_cont percentile_disc performance period period_add period_diff permanent physical pi pipe pipelined pivot pluggable plugin policy position post_transaction pow power pragma prebuilt precedes preceding precision prediction prediction_cost prediction_details prediction_probability prediction_set prepare present preserve prior priority private private_sga privileges procedural procedure procedure_analyze processlist profiles project prompt protection public publishingservername purge quarter query quick quiesce quota quotename radians raise rand range rank raw read reads readsize rebuild record records recover recovery recursive recycle redo reduced ref reference referenced references referencing refresh regexp_like register regr_avgx regr_avgy regr_count regr_intercept regr_r2 regr_slope regr_sxx regr_sxy reject rekey relational relative relaylog release release_lock relies_on relocate rely rem remainder rename repair repeat replace replicate replication required reset resetlogs resize resource respect restore restricted result result_cache resumable resume retention return returning returns reuse reverse revoke right rlike role roles rollback rolling rollup round row row_count rowdependencies rowid rownum rows rtrim rules safe salt sample save savepoint sb1 sb2 sb4 scan schema schemacheck scn scope scroll sdo_georaster sdo_topo_geometry search sec_to_time second seconds section securefile security seed segment select self semi sequence sequential serializable server servererror session session_user sessions_per_user set sets settings sha sha1 sha2 share shared shared_pool short show shrink shutdown si_averagecolor si_colorhistogram si_featurelist si_positionalcolor si_stillimage si_texture siblings sid sign sin size size_t sizes skip slave sleep smalldatetimefromparts smallfile snapshot some soname sort soundex source space sparse spfile split sql sql_big_result sql_buffer_result sql_cache sql_calc_found_rows sql_small_result sql_variant_property sqlcode sqldata sqlerror sqlname sqlstate sqrt square standalone standby start starting startup statement static statistics stats_binomial_test stats_crosstab stats_ks_test stats_mode stats_mw_test stats_one_way_anova stats_t_test_ stats_t_test_indep stats_t_test_one stats_t_test_paired stats_wsr_test status std stddev stddev_pop stddev_samp stdev stop storage store stored str str_to_date straight_join strcmp strict string struct stuff style subdate subpartition subpartitions substitutable substr substring subtime subtring_index subtype success sum suspend switch switchoffset switchover sync synchronous synonym sys sys_xmlagg sysasm sysaux sysdate sysdatetimeoffset sysdba sysoper system system_user sysutcdatetime table tables tablespace tablesample tan tdo template temporary terminated tertiary_weights test than then thread through tier ties time time_format time_zone timediff timefromparts timeout timestamp timestampadd timestampdiff timezone_abbr timezone_minute timezone_region to to_base64 to_date to_days to_seconds todatetimeoffset trace tracking transaction transactional translate translation treat trigger trigger_nestlevel triggers trim truncate try_cast try_convert try_parse type ub1 ub2 ub4 ucase unarchived unbounded uncompress under undo unhex unicode uniform uninstall union unique unix_timestamp unknown unlimited unlock unnest unpivot unrecoverable unsafe unsigned until untrusted unusable unused update updated upgrade upped upper upsert url urowid usable usage use use_stored_outlines user user_data user_resources users using utc_date utc_timestamp uuid uuid_short validate validate_password_strength validation valist value values var var_samp varcharc vari varia variab variabl variable variables variance varp varraw varrawc varray verify version versions view virtual visible void wait wallet warning warnings week weekday weekofyear wellformed when whene whenev wheneve whenever where while whitespace window with within without work wrapped xdb xml xmlagg xmlattributes xmlcast xmlcolattval xmlelement xmlexists xmlforest xmlindex xmlnamespaces xmlpi xmlquery xmlroot xmlschema xmlserialize xmltable xmltype xor year year_to_month years yearweek\",literal:\"true false null unknown\",built_in:\"array bigint binary bit blob bool boolean char character date dec decimal float int int8 integer interval number numeric real record serial serial8 smallint text time timestamp tinyint varchar varchar2 varying void\"},c:[{cN:\"string\",b:\"'\",e:\"'\",c:[{b:\"''\"}]},{cN:\"string\",b:'\"',e:'\"',c:[{b:'\"\"'}]},{cN:\"string\",b:\"`\",e:\"`\"},e.CNM,e.CBCM,t,e.HCM]},e.CBCM,t,e.HCM]}});hljs.registerLanguage(\"vala\",function(e){return{k:{keyword:\"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var\",built_in:\"DBus GLib CCode Gee Object Gtk Posix\",literal:\"false true null\"},c:[{cN:\"class\",bK:\"class interface namespace\",e:\"{\",eE:!0,i:\"[^,:\\\\n\\\\s\\\\.]\",c:[e.UTM]},e.CLCM,e.CBCM,{cN:\"string\",b:'\"\"\"',e:'\"\"\"',relevance:5},e.ASM,e.QSM,e.CNM,{cN:\"meta\",b:\"^#\",e:\"$\",relevance:2}]}});hljs.registerLanguage(\"asciidoc\",function(e){return{aliases:[\"adoc\"],c:[e.C(\"^/{4,}\\\\n\",\"\\\\n/{4,}$\",{relevance:10}),e.C(\"^//\",\"$\",{relevance:0}),{cN:\"title\",b:\"^\\\\.\\\\w.*$\"},{b:\"^[=\\\\*]{4,}\\\\n\",e:\"\\\\n^[=\\\\*]{4,}$\",relevance:10},{cN:\"section\",relevance:10,v:[{b:\"^(={1,5}) .+?( \\\\1)?$\"},{b:\"^[^\\\\[\\\\]\\\\n]+?\\\\n[=\\\\-~\\\\^\\\\+]{2,}$\"}]},{cN:\"meta\",b:\"^:.+?:\",e:\"\\\\s\",eE:!0,relevance:10},{cN:\"meta\",b:\"^\\\\[.+?\\\\]$\",relevance:0},{cN:\"quote\",b:\"^_{4,}\\\\n\",e:\"\\\\n_{4,}$\",relevance:10},{cN:\"code\",b:\"^[\\\\-\\\\.]{4,}\\\\n\",e:\"\\\\n[\\\\-\\\\.]{4,}$\",relevance:10},{b:\"^\\\\+{4,}\\\\n\",e:\"\\\\n\\\\+{4,}$\",c:[{b:\"<\",e:\">\",sL:\"xml\",relevance:0}],relevance:10},{cN:\"bullet\",b:\"^(\\\\*+|\\\\-+|\\\\.+|[^\\\\n]+?::)\\\\s+\"},{cN:\"symbol\",b:\"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\\\s+\",relevance:10},{cN:\"strong\",b:\"\\\\B\\\\*(?![\\\\*\\\\s])\",e:\"(\\\\n{2}|\\\\*)\",c:[{b:\"\\\\\\\\*\\\\w\",relevance:0}]},{cN:\"emphasis\",b:\"\\\\B'(?!['\\\\s])\",e:\"(\\\\n{2}|')\",c:[{b:\"\\\\\\\\'\\\\w\",relevance:0}],relevance:0},{cN:\"emphasis\",b:\"_(?![_\\\\s])\",e:\"(\\\\n{2}|_)\",relevance:0},{cN:\"string\",v:[{b:\"``.+?''\"},{b:\"`.+?'\"}]},{cN:\"code\",b:\"(`.+?`|\\\\+.+?\\\\+)\",relevance:0},{cN:\"code\",b:\"^[ \\\\t]\",e:\"$\",relevance:0},{b:\"^'{3,}[ \\\\t]*$\",relevance:10},{b:\"(link:)?(http|https|ftp|file|irc|image:?):\\\\S+\\\\[.*?\\\\]\",rB:!0,c:[{b:\"(link|image:?):\",relevance:0},{cN:\"link\",b:\"\\\\w\",e:\"[^\\\\[]+\",relevance:0},{cN:\"string\",b:\"\\\\[\",e:\"\\\\]\",eB:!0,eE:!0,relevance:0}],relevance:10}]}});hljs.registerLanguage(\"json\",function(e){var i={literal:\"true false null\"},n=[e.CLCM,e.CBCM],c=[e.QSM,e.CNM],r={e:\",\",eW:!0,eE:!0,c:c,k:i},t={b:\"{\",e:\"}\",c:[{cN:\"attr\",b:/\"/,e:/\"/,c:[e.BE],i:\"\\\\n\"},e.inherit(r,{b:/:/})].concat(n),i:\"\\\\S\"},a={b:\"\\\\[\",e:\"\\\\]\",c:[e.inherit(r)],i:\"\\\\S\"};return c.push(t,a),n.forEach(function(e){c.push(e)}),{c:c,k:i,i:\"\\\\S\"}});hljs.registerLanguage(\"rust\",function(e){var t=\"([ui](8|16|32|64|128|size)|f(32|64))?\",r=\"drop i8 i16 i32 i64 i128 isize u8 u16 u32 u64 u128 usize f32 f64 str char bool Box Option Result String Vec Copy Send Sized Sync Drop Fn FnMut FnOnce ToOwned Clone Debug PartialEq PartialOrd Eq Ord AsRef AsMut Into From Default Iterator Extend IntoIterator DoubleEndedIterator ExactSizeIterator SliceConcatExt ToString assert! assert_eq! bitflags! bytes! cfg! col! concat! concat_idents! debug_assert! debug_assert_eq! env! panic! file! format! format_args! include_bin! include_str! line! local_data_key! module_path! option_env! print! println! select! stringify! try! unimplemented! unreachable! vec! write! writeln! macro_rules! assert_ne! debug_assert_ne!\";return{aliases:[\"rs\"],k:{keyword:\"abstract as async await become box break const continue crate do dyn else enum extern false final fn for if impl in let loop macro match mod move mut override priv pub ref return self Self static struct super trait true try type typeof unsafe unsized use virtual where while yield\",literal:\"true false Some None Ok Err\",built_in:r},l:e.IR+\"!?\",i:\"</\",c:[e.CLCM,e.C(\"/\\\\*\",\"\\\\*/\",{c:[\"self\"]}),e.inherit(e.QSM,{b:/b?\"/,i:null}),{cN:\"string\",v:[{b:/r(#*)\"(.|\\n)*?\"\\1(?!#)/},{b:/b?'\\\\?(x\\w{2}|u\\w{4}|U\\w{8}|.)'/}]},{cN:\"symbol\",b:/'[a-zA-Z_][a-zA-Z0-9_]*/},{cN:\"number\",v:[{b:\"\\\\b0b([01_]+)\"+t},{b:\"\\\\b0o([0-7_]+)\"+t},{b:\"\\\\b0x([A-Fa-f0-9_]+)\"+t},{b:\"\\\\b(\\\\d[\\\\d_]*(\\\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)\"+t}],relevance:0},{cN:\"function\",bK:\"fn\",e:\"(\\\\(|<)\",eE:!0,c:[e.UTM]},{cN:\"meta\",b:\"#\\\\!?\\\\[\",e:\"\\\\]\",c:[{cN:\"meta-string\",b:/\"/,e:/\"/}]},{cN:\"class\",bK:\"type\",e:\";\",c:[e.inherit(e.UTM,{endsParent:!0})],i:\"\\\\S\"},{cN:\"class\",bK:\"trait enum struct union\",e:\"{\",c:[e.inherit(e.UTM,{endsParent:!0})],i:\"[\\\\w\\\\d]\"},{b:e.IR+\"::\",k:{built_in:r}},{b:\"->\"}]}});hljs.registerLanguage(\"awk\",function(e){return{k:{keyword:\"BEGIN END if else while do for in break continue delete next nextfile function func exit|10\"},c:[{cN:\"variable\",v:[{b:/\\$[\\w\\d#@][\\w\\d_]*/},{b:/\\$\\{(.*?)}/}]},{cN:\"string\",c:[e.BE],v:[{b:/(u|b)?r?'''/,e:/'''/,relevance:10},{b:/(u|b)?r?\"\"\"/,e:/\"\"\"/,relevance:10},{b:/(u|r|ur)'/,e:/'/,relevance:10},{b:/(u|r|ur)\"/,e:/\"/,relevance:10},{b:/(b|br)'/,e:/'/},{b:/(b|br)\"/,e:/\"/},e.ASM,e.QSM]},e.RM,e.HCM,e.NM]}});hljs.registerLanguage(\"java\",function(e){var a=\"false synchronized int abstract float private char boolean var static null if const for true while long strictfp finally protected import native final void enum else break transient catch instanceof byte super volatile case assert short package default double public try this switch continue throws protected public private module requires exports do\",t={cN:\"number\",b:\"\\\\b(0[bB]([01]+[01_]+[01]+|[01]+)|0[xX]([a-fA-F0-9]+[a-fA-F0-9_]+[a-fA-F0-9]+|[a-fA-F0-9]+)|(([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+)(\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))?|\\\\.([\\\\d]+[\\\\d_]+[\\\\d]+|[\\\\d]+))([eE][-+]?\\\\d+)?)[lLfF]?\",relevance:0};return{aliases:[\"jsp\"],k:a,i:/<\\/|#/,c:[e.C(\"/\\\\*\\\\*\",\"\\\\*/\",{relevance:0,c:[{b:/\\w+@/,relevance:0},{cN:\"doctag\",b:\"@[A-Za-z]+\"}]}),e.CLCM,e.CBCM,e.ASM,e.QSM,{cN:\"class\",bK:\"class interface\",e:/[{;=]/,eE:!0,k:\"class interface\",i:/[:\"\\[\\]]/,c:[{bK:\"extends implements\"},e.UTM]},{bK:\"new throw return else\",relevance:0},{cN:\"function\",b:\"([À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(<[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*(\\\\s*,\\\\s*[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*)*>)?\\\\s+)+\"+e.UIR+\"\\\\s*\\\\(\",rB:!0,e:/[{;=]/,eE:!0,k:a,c:[{b:e.UIR+\"\\\\s*\\\\(\",rB:!0,relevance:0,c:[e.UTM]},{cN:\"params\",b:/\\(/,e:/\\)/,k:a,relevance:0,c:[e.ASM,e.QSM,e.CNM,e.CBCM]},e.CLCM,e.CBCM]},t,{cN:\"meta\",b:\"@[A-Za-z]+\"}]}});hljs.registerLanguage(\"cs\",function(e){var a={keyword:\"abstract as base bool break byte case catch char checked const continue decimal default delegate do double enum event explicit extern finally fixed float for foreach goto if implicit in int interface internal is lock long object operator out override params private protected public readonly ref sbyte sealed short sizeof stackalloc static string struct switch this try typeof uint ulong unchecked unsafe ushort using virtual void volatile while add alias ascending async await by descending dynamic equals from get global group into join let nameof on orderby partial remove select set value var when where yield\",literal:\"null false true\"},i={cN:\"number\",v:[{b:\"\\\\b(0b[01']+)\"},{b:\"(-?)\\\\b([\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)(u|U|l|L|ul|UL|f|F|b|B)\"},{b:\"(-?)(\\\\b0[xX][a-fA-F0-9']+|(\\\\b[\\\\d']+(\\\\.[\\\\d']*)?|\\\\.[\\\\d']+)([eE][-+]?[\\\\d']+)?)\"}],relevance:0},c={cN:\"string\",b:'@\"',e:'\"',c:[{b:'\"\"'}]},r=e.inherit(c,{i:/\\n/}),n={cN:\"subst\",b:\"{\",e:\"}\",k:a},t=e.inherit(n,{i:/\\n/}),s={cN:\"string\",b:/\\$\"/,e:'\"',i:/\\n/,c:[{b:\"{{\"},{b:\"}}\"},e.BE,t]},l={cN:\"string\",b:/\\$@\"/,e:'\"',c:[{b:\"{{\"},{b:\"}}\"},{b:'\"\"'},n]},b=e.inherit(l,{i:/\\n/,c:[{b:\"{{\"},{b:\"}}\"},{b:'\"\"'},t]});n.c=[l,s,c,e.ASM,e.QSM,i,e.CBCM],t.c=[b,s,r,e.ASM,e.QSM,i,e.inherit(e.CBCM,{i:/\\n/})];var o={v:[l,s,c,e.ASM,e.QSM]},d=e.IR+\"(<\"+e.IR+\"(\\\\s*,\\\\s*\"+e.IR+\")*>)?(\\\\[\\\\])?\";return{aliases:[\"csharp\",\"c#\"],k:a,i:/::/,c:[e.C(\"///\",\"$\",{rB:!0,c:[{cN:\"doctag\",v:[{b:\"///\",relevance:0},{b:\"\\x3c!--|--\\x3e\"},{b:\"</?\",e:\">\"}]}]}),e.CLCM,e.CBCM,{cN:\"meta\",b:\"#\",e:\"$\",k:{\"meta-keyword\":\"if else elif endif define undef warning error line region endregion pragma checksum\"}},o,i,{bK:\"class interface\",e:/[{;=]/,i:/[^\\s:,]/,c:[e.TM,e.CLCM,e.CBCM]},{bK:\"namespace\",e:/[{;=]/,i:/[^\\s:]/,c:[e.inherit(e.TM,{b:\"[a-zA-Z](\\\\.?\\\\w)*\"}),e.CLCM,e.CBCM]},{cN:\"meta\",b:\"^\\\\s*\\\\[\",eB:!0,e:\"\\\\]\",eE:!0,c:[{cN:\"meta-string\",b:/\"/,e:/\"/}]},{bK:\"new return throw await else\",relevance:0},{cN:\"function\",b:\"(\"+d+\"\\\\s+)+\"+e.IR+\"\\\\s*\\\\(\",rB:!0,e:/\\s*[{;=]/,eE:!0,k:a,c:[{b:e.IR+\"\\\\s*\\\\(\",rB:!0,c:[e.TM],relevance:0},{cN:\"params\",b:/\\(/,e:/\\)/,eB:!0,eE:!0,k:a,relevance:0,c:[o,i,e.CBCM]},e.CLCM,e.CBCM]}]}});hljs.registerLanguage(\"mathematica\",function(e){return{aliases:[\"mma\",\"wl\"],l:\"(\\\\$|\\\\b)\"+e.IR+\"\\\\b\",k:\"AASTriangle AbelianGroup Abort AbortKernels AbortProtect AbortScheduledTask Above Abs AbsArg AbsArgPlot Absolute AbsoluteCorrelation AbsoluteCorrelationFunction AbsoluteCurrentValue AbsoluteDashing AbsoluteFileName AbsoluteOptions AbsolutePointSize AbsoluteThickness AbsoluteTime AbsoluteTiming AcceptanceThreshold AccountingForm Accumulate Accuracy AccuracyGoal ActionDelay ActionMenu ActionMenuBox ActionMenuBoxOptions Activate Active ActiveClassification ActiveClassificationObject ActiveItem ActivePrediction ActivePredictionObject ActiveStyle AcyclicGraphQ AddOnHelpPath AddSides AddTo AddToSearchIndex AddUsers AdjacencyGraph AdjacencyList AdjacencyMatrix AdjustmentBox AdjustmentBoxOptions AdjustTimeSeriesForecast AdministrativeDivisionData AffineHalfSpace AffineSpace AffineStateSpaceModel AffineTransform After AggregatedEntityClass AggregationLayer AircraftData AirportData AirPressureData AirTemperatureData AiryAi AiryAiPrime AiryAiZero AiryBi AiryBiPrime AiryBiZero AlgebraicIntegerQ AlgebraicNumber AlgebraicNumberDenominator AlgebraicNumberNorm AlgebraicNumberPolynomial AlgebraicNumberTrace AlgebraicRules AlgebraicRulesData Algebraics AlgebraicUnitQ Alignment AlignmentMarker AlignmentPoint All AllowAdultContent AllowedCloudExtraParameters AllowedCloudParameterExtensions AllowedDimensions AllowedFrequencyRange AllowedHeads AllowGroupClose AllowIncomplete AllowInlineCells AllowKernelInitialization AllowLooseGrammar AllowReverseGroupClose AllowScriptLevelChange AllTrue Alphabet AlphabeticOrder AlphabeticSort AlphaChannel AlternateImage AlternatingFactorial AlternatingGroup AlternativeHypothesis Alternatives AltitudeMethod AmbientLight AmbiguityFunction AmbiguityList Analytic AnatomyData AnatomyForm AnatomyPlot3D AnatomySkinStyle AnatomyStyling AnchoredSearch And AndersonDarlingTest AngerJ AngleBisector AngleBracket AnglePath AnglePath3D AngleVector AngularGauge Animate AnimationCycleOffset AnimationCycleRepetitions AnimationDirection AnimationDisplayTime AnimationRate AnimationRepetitions AnimationRunning AnimationRunTime AnimationTimeIndex Animator AnimatorBox AnimatorBoxOptions AnimatorElements Annotate Annotation AnnotationDelete AnnotationNames AnnotationRules AnnotationValue Annuity AnnuityDue Annulus AnomalyDetection AnomalyDetectorFunction Anonymous Antialiasing AntihermitianMatrixQ Antisymmetric AntisymmetricMatrixQ Antonyms AnyOrder AnySubset AnyTrue Apart ApartSquareFree APIFunction Appearance AppearanceElements AppearanceRules AppellF1 Append AppendCheck AppendLayer AppendTo ApplicationIdentificationKey Apply ApplySides ArcCos ArcCosh ArcCot ArcCoth ArcCsc ArcCsch ArcCurvature ARCHProcess ArcLength ArcSec ArcSech ArcSin ArcSinDistribution ArcSinh ArcTan ArcTanh Area Arg ArgMax ArgMin ArgumentCountQ ARIMAProcess ArithmeticGeometricMean ARMAProcess Around AroundReplace ARProcess Array ArrayComponents ArrayDepth ArrayFilter ArrayFlatten ArrayMesh ArrayPad ArrayPlot ArrayQ ArrayResample ArrayReshape ArrayRules Arrays Arrow Arrow3DBox ArrowBox Arrowheads ASATriangle Ask AskAppend AskConfirm AskDisplay AskedQ AskedValue AskFunction AskState AskTemplateDisplay AspectRatio AspectRatioFixed Assert AssociateTo Association AssociationFormat AssociationMap AssociationQ AssociationThread AssumeDeterministic Assuming Assumptions AstronomicalData AsymptoticDSolveValue AsymptoticEqual AsymptoticEquivalent AsymptoticGreater AsymptoticGreaterEqual AsymptoticIntegrate AsymptoticLess AsymptoticLessEqual AsymptoticOutputTracker AsymptoticRSolveValue AsymptoticSolve AsymptoticSum Asynchronous AsynchronousTaskObject AsynchronousTasks Atom AtomCoordinates AtomCount AtomDiagramCoordinates AtomList AtomQ AttentionLayer Attributes Audio AudioAmplify AudioAnnotate AudioAnnotationLookup AudioBlockMap AudioCapture AudioChannelAssignment AudioChannelCombine AudioChannelMix AudioChannels AudioChannelSeparate AudioData AudioDelay AudioDelete AudioDevice AudioDistance AudioFade AudioFrequencyShift AudioGenerator AudioIdentify AudioInputDevice AudioInsert AudioIntervals AudioJoin AudioLabel AudioLength AudioLocalMeasurements AudioLooping AudioLoudness AudioMeasurements AudioNormalize AudioOutputDevice AudioOverlay AudioPad AudioPan AudioPartition AudioPause AudioPitchShift AudioPlay AudioPlot AudioQ AudioRecord AudioReplace AudioResample AudioReverb AudioSampleRate AudioSpectralMap AudioSpectralTransformation AudioSplit AudioStop AudioStream AudioStreams AudioTimeStretch AudioTrim AudioType AugmentedPolyhedron AugmentedSymmetricPolynomial Authenticate Authentication AuthenticationDialog AutoAction Autocomplete AutocompletionFunction AutoCopy AutocorrelationTest AutoDelete AutoEvaluateEvents AutoGeneratedPackage AutoIndent AutoIndentSpacings AutoItalicWords AutoloadPath AutoMatch Automatic AutomaticImageSize AutoMultiplicationSymbol AutoNumberFormatting AutoOpenNotebooks AutoOpenPalettes AutoQuoteCharacters AutoRefreshed AutoRemove AutorunSequencing AutoScaling AutoScroll AutoSpacing AutoStyleOptions AutoStyleWords AutoSubmitting Axes AxesEdge AxesLabel AxesOrigin AxesStyle AxiomaticTheory AxisBabyMonsterGroupB Back Background BackgroundAppearance BackgroundTasksSettings Backslash Backsubstitution Backward Ball Band BandpassFilter BandstopFilter BarabasiAlbertGraphDistribution BarChart BarChart3D BarcodeImage BarcodeRecognize BaringhausHenzeTest BarLegend BarlowProschanImportance BarnesG BarOrigin BarSpacing BartlettHannWindow BartlettWindow BaseDecode BaseEncode BaseForm Baseline BaselinePosition BaseStyle BasicRecurrentLayer BatchNormalizationLayer BatchSize BatesDistribution BattleLemarieWavelet BayesianMaximization BayesianMaximizationObject BayesianMinimization BayesianMinimizationObject Because BeckmannDistribution Beep Before Begin BeginDialogPacket BeginFrontEndInteractionPacket BeginPackage BellB BellY Below BenfordDistribution BeniniDistribution BenktanderGibratDistribution BenktanderWeibullDistribution BernoulliB BernoulliDistribution BernoulliGraphDistribution BernoulliProcess BernsteinBasis BesselFilterModel BesselI BesselJ BesselJZero BesselK BesselY BesselYZero Beta BetaBinomialDistribution BetaDistribution BetaNegativeBinomialDistribution BetaPrimeDistribution BetaRegularized Between BetweennessCentrality BeveledPolyhedron BezierCurve BezierCurve3DBox BezierCurve3DBoxOptions BezierCurveBox BezierCurveBoxOptions BezierFunction BilateralFilter Binarize BinaryDeserialize BinaryDistance BinaryFormat BinaryImageQ BinaryRead BinaryReadList BinarySerialize BinaryWrite BinCounts BinLists Binomial BinomialDistribution BinomialProcess BinormalDistribution BiorthogonalSplineWavelet BipartiteGraphQ BiquadraticFilterModel BirnbaumImportance BirnbaumSaundersDistribution BitAnd BitClear BitGet BitLength BitNot BitOr BitSet BitShiftLeft BitShiftRight BitXor BiweightLocation BiweightMidvariance Black BlackmanHarrisWindow BlackmanNuttallWindow BlackmanWindow Blank BlankForm BlankNullSequence BlankSequence Blend Block BlockchainAddressData BlockchainBase BlockchainBlockData BlockchainContractValue BlockchainData BlockchainGet BlockchainKeyEncode BlockchainPut BlockchainTokenData BlockchainTransaction BlockchainTransactionData BlockchainTransactionSign BlockchainTransactionSubmit BlockMap BlockRandom BlomqvistBeta BlomqvistBetaTest Blue Blur BodePlot BohmanWindow Bold Bond BondCount BondList BondQ Bookmarks Boole BooleanConsecutiveFunction BooleanConvert BooleanCountingFunction BooleanFunction BooleanGraph BooleanMaxterms BooleanMinimize BooleanMinterms BooleanQ BooleanRegion Booleans BooleanStrings BooleanTable BooleanVariables BorderDimensions BorelTannerDistribution Bottom BottomHatTransform BoundaryDiscretizeGraphics BoundaryDiscretizeRegion BoundaryMesh BoundaryMeshRegion BoundaryMeshRegionQ BoundaryStyle BoundedRegionQ BoundingRegion Bounds Box BoxBaselineShift BoxData BoxDimensions Boxed Boxes BoxForm BoxFormFormatTypes BoxFrame BoxID BoxMargins BoxMatrix BoxObject BoxRatios BoxRotation BoxRotationPoint BoxStyle BoxWhiskerChart Bra BracketingBar BraKet BrayCurtisDistance BreadthFirstScan Break BridgeData BrightnessEqualize BroadcastStationData Brown BrownForsytheTest BrownianBridgeProcess BrowserCategory BSplineBasis BSplineCurve BSplineCurve3DBox BSplineCurve3DBoxOptions BSplineCurveBox BSplineCurveBoxOptions BSplineFunction BSplineSurface BSplineSurface3DBox BSplineSurface3DBoxOptions BubbleChart BubbleChart3D BubbleScale BubbleSizes BuildingData BulletGauge BusinessDayQ ButterflyGraph ButterworthFilterModel Button ButtonBar ButtonBox ButtonBoxOptions ButtonCell ButtonContents ButtonData ButtonEvaluator ButtonExpandable ButtonFrame ButtonFunction ButtonMargins ButtonMinHeight ButtonNote ButtonNotebook ButtonSource ButtonStyle ButtonStyleMenuListing Byte ByteArray ByteArrayFormat ByteArrayQ ByteArrayToString ByteCount ByteOrderingC CachedValue CacheGraphics CachePersistence CalendarConvert CalendarData CalendarType Callout CalloutMarker CalloutStyle CallPacket CanberraDistance Cancel CancelButton CandlestickChart CanonicalGraph CanonicalizePolygon CanonicalizePolyhedron CanonicalName CanonicalWarpingCorrespondence CanonicalWarpingDistance CantorMesh CantorStaircase Cap CapForm CapitalDifferentialD Capitalize CapsuleShape CaptureRunning CardinalBSplineBasis CarlemanLinearize CarmichaelLambda CaseOrdering Cases CaseSensitive Cashflow Casoratian Catalan CatalanNumber Catch Catenate CatenateLayer CauchyDistribution CauchyWindow CayleyGraph CDF CDFDeploy CDFInformation CDFWavelet Ceiling CelestialSystem Cell CellAutoOverwrite CellBaseline CellBoundingBox CellBracketOptions CellChangeTimes CellContents CellContext CellDingbat CellDynamicExpression CellEditDuplicate CellElementsBoundingBox CellElementSpacings CellEpilog CellEvaluationDuplicate CellEvaluationFunction CellEvaluationLanguage CellEventActions CellFrame CellFrameColor CellFrameLabelMargins CellFrameLabels CellFrameMargins CellGroup CellGroupData CellGrouping CellGroupingRules CellHorizontalScrolling CellID CellLabel CellLabelAutoDelete CellLabelMargins CellLabelPositioning CellLabelStyle CellLabelTemplate CellMargins CellObject CellOpen CellPrint CellProlog Cells CellSize CellStyle CellTags CellularAutomaton CensoredDistribution Censoring Center CenterArray CenterDot CentralFeature CentralMoment CentralMomentGeneratingFunction Cepstrogram CepstrogramArray CepstrumArray CForm ChampernowneNumber ChangeOptions ChannelBase ChannelBrokerAction ChannelDatabin ChannelHistoryLength ChannelListen ChannelListener ChannelListeners ChannelListenerWait ChannelObject ChannelPreSendFunction ChannelReceiverFunction ChannelSend ChannelSubscribers ChanVeseBinarize Character CharacterCounts CharacterEncoding CharacterEncodingsPath CharacteristicFunction CharacteristicPolynomial CharacterName CharacterRange Characters ChartBaseStyle ChartElementData ChartElementDataFunction ChartElementFunction ChartElements ChartLabels ChartLayout ChartLegends ChartStyle Chebyshev1FilterModel Chebyshev2FilterModel ChebyshevDistance ChebyshevT ChebyshevU Check CheckAbort CheckAll Checkbox CheckboxBar CheckboxBox CheckboxBoxOptions ChemicalData ChessboardDistance ChiDistribution ChineseRemainder ChiSquareDistribution ChoiceButtons ChoiceDialog CholeskyDecomposition Chop ChromaticityPlot ChromaticityPlot3D ChromaticPolynomial Circle CircleBox CircleDot CircleMinus CirclePlus CirclePoints CircleThrough CircleTimes CirculantGraph CircularOrthogonalMatrixDistribution CircularQuaternionMatrixDistribution CircularRealMatrixDistribution CircularSymplecticMatrixDistribution CircularUnitaryMatrixDistribution Circumsphere CityData ClassifierFunction ClassifierInformation ClassifierMeasurements ClassifierMeasurementsObject Classify ClassPriors Clear ClearAll ClearAttributes ClearCookies ClearPermissions ClearSystemCache ClebschGordan ClickPane Clip ClipboardNotebook ClipFill ClippingStyle ClipPlanes ClipPlanesStyle ClipRange Clock ClockGauge ClockwiseContourIntegral Close Closed CloseKernels ClosenessCentrality Closing ClosingAutoSave ClosingEvent CloudAccountData CloudBase CloudConnect CloudDeploy CloudDirectory CloudDisconnect CloudEvaluate CloudExport CloudExpression CloudExpressions CloudFunction CloudGet CloudImport CloudLoggingData CloudObject CloudObjectInformation CloudObjectInformationData CloudObjectNameFormat CloudObjects CloudObjectURLType CloudPublish CloudPut CloudRenderingMethod CloudSave CloudShare CloudSubmit CloudSymbol CloudUnshare ClusterClassify ClusterDissimilarityFunction ClusteringComponents ClusteringTree CMYKColor Coarse CodeAssistOptions Coefficient CoefficientArrays CoefficientDomain CoefficientList CoefficientRules CoifletWavelet Collect Colon ColonForm ColorBalance ColorCombine ColorConvert ColorCoverage ColorData ColorDataFunction ColorDetect ColorDistance ColorFunction ColorFunctionScaling Colorize ColorNegate ColorOutput ColorProfileData ColorQ ColorQuantize ColorReplace ColorRules ColorSelectorSettings ColorSeparate ColorSetter ColorSetterBox ColorSetterBoxOptions ColorSlider ColorsNear ColorSpace ColorToneMapping Column ColumnAlignments ColumnBackgrounds ColumnForm ColumnLines ColumnsEqual ColumnSpacings ColumnWidths CombinedEntityClass CombinerFunction CometData CommonDefaultFormatTypes Commonest CommonestFilter CommonName CommonUnits CommunityBoundaryStyle CommunityGraphPlot CommunityLabels CommunityRegionStyle CompanyData CompatibleUnitQ CompilationOptions CompilationTarget Compile Compiled CompiledCodeFunction CompiledFunction CompilerOptions Complement CompleteGraph CompleteGraphQ CompleteKaryTree CompletionsListPacket Complex Complexes ComplexExpand ComplexInfinity ComplexityFunction ComplexListPlot ComplexPlot ComplexPlot3D ComponentMeasurements ComponentwiseContextMenu Compose ComposeList ComposeSeries CompositeQ Composition CompoundElement CompoundExpression CompoundPoissonDistribution CompoundPoissonProcess CompoundRenewalProcess Compress CompressedData ComputeUncertainty Condition ConditionalExpression Conditioned Cone ConeBox ConfidenceLevel ConfidenceRange ConfidenceTransform ConfigurationPath ConformAudio ConformImages Congruent ConicHullRegion ConicHullRegion3DBox ConicHullRegionBox ConicOptimization Conjugate ConjugateTranspose Conjunction Connect ConnectedComponents ConnectedGraphComponents ConnectedGraphQ ConnectedMeshComponents ConnectedMoleculeComponents ConnectedMoleculeQ ConnectionSettings ConnectLibraryCallbackFunction ConnectSystemModelComponents ConnesWindow ConoverTest ConsoleMessage ConsoleMessagePacket ConsolePrint Constant ConstantArray ConstantArrayLayer ConstantImage ConstantPlusLayer ConstantRegionQ Constants ConstantTimesLayer ConstellationData ConstrainedMax ConstrainedMin Construct Containing ContainsAll ContainsAny ContainsExactly ContainsNone ContainsOnly ContentFieldOptions ContentLocationFunction ContentObject ContentPadding ContentsBoundingBox ContentSelectable ContentSize Context ContextMenu Contexts ContextToFileName Continuation Continue ContinuedFraction ContinuedFractionK ContinuousAction ContinuousMarkovProcess ContinuousTask ContinuousTimeModelQ ContinuousWaveletData ContinuousWaveletTransform ContourDetect ContourGraphics ContourIntegral ContourLabels ContourLines ContourPlot ContourPlot3D Contours ContourShading ContourSmoothing ContourStyle ContraharmonicMean ContrastiveLossLayer Control ControlActive ControlAlignment ControlGroupContentsBox ControllabilityGramian ControllabilityMatrix ControllableDecomposition ControllableModelQ ControllerDuration ControllerInformation ControllerInformationData ControllerLinking ControllerManipulate ControllerMethod ControllerPath ControllerState ControlPlacement ControlsRendering ControlType Convergents ConversionOptions ConversionRules ConvertToBitmapPacket ConvertToPostScript ConvertToPostScriptPacket ConvexHullMesh ConvexPolygonQ ConvexPolyhedronQ ConvolutionLayer Convolve ConwayGroupCo1 ConwayGroupCo2 ConwayGroupCo3 CookieFunction Cookies CoordinateBoundingBox CoordinateBoundingBoxArray CoordinateBounds CoordinateBoundsArray CoordinateChartData CoordinatesToolOptions CoordinateTransform CoordinateTransformData CoprimeQ Coproduct CopulaDistribution Copyable CopyDatabin CopyDirectory CopyFile CopyTag CopyToClipboard CornerFilter CornerNeighbors Correlation CorrelationDistance CorrelationFunction CorrelationTest Cos Cosh CoshIntegral CosineDistance CosineWindow CosIntegral Cot Coth Count CountDistinct CountDistinctBy CounterAssignments CounterBox CounterBoxOptions CounterClockwiseContourIntegral CounterEvaluator CounterFunction CounterIncrements CounterStyle CounterStyleMenuListing CountRoots CountryData Counts CountsBy Covariance CovarianceEstimatorFunction CovarianceFunction CoxianDistribution CoxIngersollRossProcess CoxModel CoxModelFit CramerVonMisesTest CreateArchive CreateCellID CreateChannel CreateCloudExpression CreateDatabin CreateDataSystemModel CreateDialog CreateDirectory CreateDocument CreateFile CreateIntermediateDirectories CreateManagedLibraryExpression CreateNotebook CreatePalette CreatePalettePacket CreatePermissionsGroup CreateScheduledTask CreateSearchIndex CreateSystemModel CreateTemporary CreateUUID CreateWindow CriterionFunction CriticalityFailureImportance CriticalitySuccessImportance CriticalSection Cross CrossEntropyLossLayer CrossingCount CrossingDetect CrossingPolygon CrossMatrix Csc Csch CTCLossLayer Cube CubeRoot Cubics Cuboid CuboidBox Cumulant CumulantGeneratingFunction Cup CupCap Curl CurlyDoubleQuote CurlyQuote CurrencyConvert CurrentDate CurrentImage CurrentlySpeakingPacket CurrentNotebookImage CurrentScreenImage CurrentValue Curry CurvatureFlowFilter CurveClosed Cyan CycleGraph CycleIndexPolynomial Cycles CyclicGroup Cyclotomic Cylinder CylinderBox CylindricalDecompositionD DagumDistribution DamData DamerauLevenshteinDistance DampingFactor Darker Dashed Dashing DatabaseConnect DatabaseDisconnect DatabaseReference Databin DatabinAdd DatabinRemove Databins DatabinUpload DataCompression DataDistribution DataRange DataReversed Dataset Date DateBounds Dated DateDelimiters DateDifference DatedUnit DateFormat DateFunction DateHistogram DateList DateListLogPlot DateListPlot DateListStepPlot DateObject DateObjectQ DateOverlapsQ DatePattern DatePlus DateRange DateReduction DateString DateTicksFormat DateValue DateWithinQ DaubechiesWavelet DavisDistribution DawsonF DayCount DayCountConvention DayHemisphere DaylightQ DayMatchQ DayName DayNightTerminator DayPlus DayRange DayRound DeBruijnGraph DeBruijnSequence Debug DebugTag Decapitalize Decimal DecimalForm DeclareKnownSymbols DeclarePackage Decompose DeconvolutionLayer Decrement Decrypt DecryptFile DedekindEta DeepSpaceProbeData Default DefaultAxesStyle DefaultBaseStyle DefaultBoxStyle DefaultButton DefaultColor DefaultControlPlacement DefaultDuplicateCellStyle DefaultDuration DefaultElement DefaultFaceGridsStyle DefaultFieldHintStyle DefaultFont DefaultFontProperties DefaultFormatType DefaultFormatTypeForStyle DefaultFrameStyle DefaultFrameTicksStyle DefaultGridLinesStyle DefaultInlineFormatType DefaultInputFormatType DefaultLabelStyle DefaultMenuStyle DefaultNaturalLanguage DefaultNewCellStyle DefaultNewInlineCellStyle DefaultNotebook DefaultOptions DefaultOutputFormatType DefaultPrintPrecision DefaultStyle DefaultStyleDefinitions DefaultTextFormatType DefaultTextInlineFormatType DefaultTicksStyle DefaultTooltipStyle DefaultValue DefaultValues Defer DefineExternal DefineInputStreamMethod DefineOutputStreamMethod DefineResourceFunction Definition Degree DegreeCentrality DegreeGraphDistribution DegreeLexicographic DegreeReverseLexicographic DEigensystem DEigenvalues Deinitialization Del DelaunayMesh Delayed Deletable Delete DeleteAnomalies DeleteBorderComponents DeleteCases DeleteChannel DeleteCloudExpression DeleteContents DeleteDirectory DeleteDuplicates DeleteDuplicatesBy DeleteFile DeleteMissing DeleteObject DeletePermissionsKey DeleteSearchIndex DeleteSmallComponents DeleteStopwords DeleteWithContents DeletionWarning DelimitedArray DelimitedSequence Delimiter DelimiterFlashTime DelimiterMatching Delimiters DeliveryFunction Dendrogram Denominator DensityGraphics DensityHistogram DensityPlot DensityPlot3D DependentVariables Deploy Deployed Depth DepthFirstScan Derivative DerivativeFilter DerivedKey DescriptorStateSpace DesignMatrix DestroyAfterEvaluation Det DeviceClose DeviceConfigure DeviceExecute DeviceExecuteAsynchronous DeviceObject DeviceOpen DeviceOpenQ DeviceRead DeviceReadBuffer DeviceReadLatest DeviceReadList DeviceReadTimeSeries Devices DeviceStreams DeviceWrite DeviceWriteBuffer DGaussianWavelet DiacriticalPositioning Diagonal DiagonalizableMatrixQ DiagonalMatrix DiagonalMatrixQ Dialog DialogIndent DialogInput DialogLevel DialogNotebook DialogProlog DialogReturn DialogSymbols Diamond DiamondMatrix DiceDissimilarity DictionaryLookup DictionaryWordQ DifferenceDelta DifferenceOrder DifferenceQuotient DifferenceRoot DifferenceRootReduce Differences DifferentialD DifferentialRoot DifferentialRootReduce DifferentiatorFilter DigitalSignature DigitBlock DigitBlockMinimum DigitCharacter DigitCount DigitQ DihedralAngle DihedralGroup Dilation DimensionalCombinations DimensionalMeshComponents DimensionReduce DimensionReducerFunction DimensionReduction Dimensions DiracComb DiracDelta DirectedEdge DirectedEdges DirectedGraph DirectedGraphQ DirectedInfinity Direction Directive Directory DirectoryName DirectoryQ DirectoryStack DirichletBeta DirichletCharacter DirichletCondition DirichletConvolve DirichletDistribution DirichletEta DirichletL DirichletLambda DirichletTransform DirichletWindow DisableConsolePrintPacket DisableFormatting DiscreteChirpZTransform DiscreteConvolve DiscreteDelta DiscreteHadamardTransform DiscreteIndicator DiscreteLimit DiscreteLQEstimatorGains DiscreteLQRegulatorGains DiscreteLyapunovSolve DiscreteMarkovProcess DiscreteMaxLimit DiscreteMinLimit DiscretePlot DiscretePlot3D DiscreteRatio DiscreteRiccatiSolve DiscreteShift DiscreteTimeModelQ DiscreteUniformDistribution DiscreteVariables DiscreteWaveletData DiscreteWaveletPacketTransform DiscreteWaveletTransform DiscretizeGraphics DiscretizeRegion Discriminant DisjointQ Disjunction Disk DiskBox DiskMatrix DiskSegment Dispatch DispatchQ DispersionEstimatorFunction Display DisplayAllSteps DisplayEndPacket DisplayFlushImagePacket DisplayForm DisplayFunction DisplayPacket DisplayRules DisplaySetSizePacket DisplayString DisplayTemporary DisplayWith DisplayWithRef DisplayWithVariable DistanceFunction DistanceMatrix DistanceTransform Distribute Distributed DistributedContexts DistributeDefinitions DistributionChart DistributionDomain DistributionFitTest DistributionParameterAssumptions DistributionParameterQ Dithering Div Divergence Divide DivideBy Dividers DivideSides Divisible Divisors DivisorSigma DivisorSum DMSList DMSString Do DockedCells DocumentGenerator DocumentGeneratorInformation DocumentGeneratorInformationData DocumentGenerators DocumentNotebook DocumentWeightingRules Dodecahedron DomainRegistrationInformation DominantColors DOSTextFormat Dot DotDashed DotEqual DotLayer DotPlusLayer Dotted DoubleBracketingBar DoubleContourIntegral DoubleDownArrow DoubleLeftArrow DoubleLeftRightArrow DoubleLeftTee DoubleLongLeftArrow DoubleLongLeftRightArrow DoubleLongRightArrow DoubleRightArrow DoubleRightTee DoubleUpArrow DoubleUpDownArrow DoubleVerticalBar DoublyInfinite Down DownArrow DownArrowBar DownArrowUpArrow DownLeftRightVector DownLeftTeeVector DownLeftVector DownLeftVectorBar DownRightTeeVector DownRightVector DownRightVectorBar Downsample DownTee DownTeeArrow DownValues DragAndDrop DrawEdges DrawFrontFaces DrawHighlighted Drop DropoutLayer DSolve DSolveValue Dt DualLinearProgramming DualPolyhedron DualSystemsModel DumpGet DumpSave DuplicateFreeQ Duration Dynamic DynamicBox DynamicBoxOptions DynamicEvaluationTimeout DynamicGeoGraphics DynamicImage DynamicLocation DynamicModule DynamicModuleBox DynamicModuleBoxOptions DynamicModuleParent DynamicModuleValues DynamicName DynamicNamespace DynamicReference DynamicSetting DynamicUpdating DynamicWrapper DynamicWrapperBox DynamicWrapperBoxOptionsE EarthImpactData EarthquakeData EccentricityCentrality Echo EchoFunction EclipseType EdgeAdd EdgeBetweennessCentrality EdgeCapacity EdgeCapForm EdgeColor EdgeConnectivity EdgeContract EdgeCost EdgeCount EdgeCoverQ EdgeCycleMatrix EdgeDashing EdgeDelete EdgeDetect EdgeForm EdgeIndex EdgeJoinForm EdgeLabeling EdgeLabels EdgeLabelStyle EdgeList EdgeOpacity EdgeQ EdgeRenderingFunction EdgeRules EdgeShapeFunction EdgeStyle EdgeThickness EdgeWeight EdgeWeightedGraphQ Editable EditButtonSettings EditCellTagsSettings EditDistance EffectiveInterest Eigensystem Eigenvalues EigenvectorCentrality Eigenvectors Element ElementData ElementwiseLayer ElidedForms Eliminate EliminationOrder Ellipsoid EllipticE EllipticExp EllipticExpPrime EllipticF EllipticFilterModel EllipticK EllipticLog EllipticNomeQ EllipticPi EllipticReducedHalfPeriods EllipticTheta EllipticThetaPrime EmbedCode EmbeddedHTML EmbeddedService EmbeddingLayer EmbeddingObject EmitSound EmphasizeSyntaxErrors EmpiricalDistribution Empty EmptyGraphQ EmptyRegion EnableConsolePrintPacket Enabled Encode Encrypt EncryptedObject EncryptFile End EndAdd EndDialogPacket EndFrontEndInteractionPacket EndOfBuffer EndOfFile EndOfLine EndOfString EndPackage EngineEnvironment EngineeringForm Enter EnterExpressionPacket EnterTextPacket Entity EntityClass EntityClassList EntityCopies EntityFunction EntityGroup EntityInstance EntityList EntityPrefetch EntityProperties EntityProperty EntityPropertyClass EntityRegister EntityStore EntityStores EntityTypeName EntityUnregister EntityValue Entropy EntropyFilter Environment Epilog EpilogFunction Equal EqualColumns EqualRows EqualTilde EqualTo EquatedTo Equilibrium EquirippleFilterKernel Equivalent Erf Erfc Erfi ErlangB ErlangC ErlangDistribution Erosion ErrorBox ErrorBoxOptions ErrorNorm ErrorPacket ErrorsDialogSettings EscapeRadius EstimatedBackground EstimatedDistribution EstimatedProcess EstimatorGains EstimatorRegulator EuclideanDistance EulerAngles EulerCharacteristic EulerE EulerGamma EulerianGraphQ EulerMatrix EulerPhi Evaluatable Evaluate Evaluated EvaluatePacket EvaluateScheduledTask EvaluationBox EvaluationCell EvaluationCompletionAction EvaluationData EvaluationElements EvaluationEnvironment EvaluationMode EvaluationMonitor EvaluationNotebook EvaluationObject EvaluationOrder Evaluator EvaluatorNames EvenQ EventData EventEvaluator EventHandler EventHandlerTag EventLabels EventSeries ExactBlackmanWindow ExactNumberQ ExactRootIsolation ExampleData Except ExcludedForms ExcludedLines ExcludedPhysicalQuantities ExcludePods Exclusions ExclusionsStyle Exists Exit ExitDialog ExoplanetData Exp Expand ExpandAll ExpandDenominator ExpandFileName ExpandNumerator Expectation ExpectationE ExpectedValue ExpGammaDistribution ExpIntegralE ExpIntegralEi ExpirationDate Exponent ExponentFunction ExponentialDistribution ExponentialFamily ExponentialGeneratingFunction ExponentialMovingAverage ExponentialPowerDistribution ExponentPosition ExponentStep Export ExportAutoReplacements ExportByteArray ExportForm ExportPacket ExportString Expression ExpressionCell ExpressionPacket ExpressionUUID ExpToTrig ExtendedEntityClass ExtendedGCD Extension ExtentElementFunction ExtentMarkers ExtentSize ExternalBundle ExternalCall ExternalDataCharacterEncoding ExternalEvaluate ExternalFunction ExternalFunctionName ExternalObject ExternalOptions ExternalSessionObject ExternalSessions ExternalTypeSignature ExternalValue Extract ExtractArchive ExtractLayer ExtremeValueDistributionFaceForm FaceGrids FaceGridsStyle FacialFeatures Factor FactorComplete Factorial Factorial2 FactorialMoment FactorialMomentGeneratingFunction FactorialPower FactorInteger FactorList FactorSquareFree FactorSquareFreeList FactorTerms FactorTermsList Fail Failure FailureAction FailureDistribution FailureQ False FareySequence FARIMAProcess FeatureDistance FeatureExtract FeatureExtraction FeatureExtractor FeatureExtractorFunction FeatureNames FeatureNearest FeatureSpacePlot FeatureSpacePlot3D FeatureTypes FEDisableConsolePrintPacket FeedbackLinearize FeedbackSector FeedbackSectorStyle FeedbackType FEEnableConsolePrintPacket FetalGrowthData Fibonacci Fibonorial FieldCompletionFunction FieldHint FieldHintStyle FieldMasked FieldSize File FileBaseName FileByteCount FileConvert FileDate FileExistsQ FileExtension FileFormat FileHandler FileHash FileInformation FileName FileNameDepth FileNameDialogSettings FileNameDrop FileNameForms FileNameJoin FileNames FileNameSetter FileNameSplit FileNameTake FilePrint FileSize FileSystemMap FileSystemScan FileTemplate FileTemplateApply FileType FilledCurve FilledCurveBox FilledCurveBoxOptions Filling FillingStyle FillingTransform FilteredEntityClass FilterRules FinancialBond FinancialData FinancialDerivative FinancialIndicator Find FindAnomalies FindArgMax FindArgMin FindChannels FindClique FindClusters FindCookies FindCurvePath FindCycle FindDevices FindDistribution FindDistributionParameters FindDivisions FindEdgeCover FindEdgeCut FindEdgeIndependentPaths FindEquationalProof FindEulerianCycle FindExternalEvaluators FindFaces FindFile FindFit FindFormula FindFundamentalCycles FindGeneratingFunction FindGeoLocation FindGeometricConjectures FindGeometricTransform FindGraphCommunities FindGraphIsomorphism FindGraphPartition FindHamiltonianCycle FindHamiltonianPath FindHiddenMarkovStates FindIndependentEdgeSet FindIndependentVertexSet FindInstance FindIntegerNullVector FindKClan FindKClique FindKClub FindKPlex FindLibrary FindLinearRecurrence FindList FindMatchingColor FindMaximum FindMaximumFlow FindMaxValue FindMeshDefects FindMinimum FindMinimumCostFlow FindMinimumCut FindMinValue FindMoleculeSubstructure FindPath FindPeaks FindPermutation FindPostmanTour FindProcessParameters FindRepeat FindRoot FindSequenceFunction FindSettings FindShortestPath FindShortestTour FindSpanningTree FindSystemModelEquilibrium FindTextualAnswer FindThreshold FindTransientRepeat FindVertexCover FindVertexCut FindVertexIndependentPaths Fine FinishDynamic FiniteAbelianGroupCount FiniteGroupCount FiniteGroupData First FirstCase FirstPassageTimeDistribution FirstPosition FischerGroupFi22 FischerGroupFi23 FischerGroupFi24Prime FisherHypergeometricDistribution FisherRatioTest FisherZDistribution Fit FitAll FitRegularization FittedModel FixedOrder FixedPoint FixedPointList FlashSelection Flat Flatten FlattenAt FlattenLayer FlatTopWindow FlipView Floor FlowPolynomial FlushPrintOutputPacket Fold FoldList FoldPair FoldPairList FollowRedirects Font FontColor FontFamily FontForm FontName FontOpacity FontPostScriptName FontProperties FontReencoding FontSize FontSlant FontSubstitutions FontTracking FontVariations FontWeight For ForAll Format FormatRules FormatType FormatTypeAutoConvert FormatValues FormBox FormBoxOptions FormControl FormFunction FormLayoutFunction FormObject FormPage FormTheme FormulaData FormulaLookup FortranForm Forward ForwardBackward Fourier FourierCoefficient FourierCosCoefficient FourierCosSeries FourierCosTransform FourierDCT FourierDCTFilter FourierDCTMatrix FourierDST FourierDSTMatrix FourierMatrix FourierParameters FourierSequenceTransform FourierSeries FourierSinCoefficient FourierSinSeries FourierSinTransform FourierTransform FourierTrigSeries FractionalBrownianMotionProcess FractionalGaussianNoiseProcess FractionalPart FractionBox FractionBoxOptions FractionLine Frame FrameBox FrameBoxOptions Framed FrameInset FrameLabel Frameless FrameMargins FrameRate FrameStyle FrameTicks FrameTicksStyle FRatioDistribution FrechetDistribution FreeQ FrenetSerretSystem FrequencySamplingFilterKernel FresnelC FresnelF FresnelG FresnelS Friday FrobeniusNumber FrobeniusSolve FromAbsoluteTime FromCharacterCode FromCoefficientRules FromContinuedFraction FromDate FromDigits FromDMS FromEntity FromJulianDate FromLetterNumber FromPolarCoordinates FromRomanNumeral FromSphericalCoordinates FromUnixTime Front FrontEndDynamicExpression FrontEndEventActions FrontEndExecute FrontEndObject FrontEndResource FrontEndResourceString FrontEndStackSize FrontEndToken FrontEndTokenExecute FrontEndValueCache FrontEndVersion FrontFaceColor FrontFaceOpacity Full FullAxes FullDefinition FullForm FullGraphics FullInformationOutputRegulator FullOptions FullRegion FullSimplify Function FunctionCompile FunctionCompileExport FunctionCompileExportByteArray FunctionCompileExportLibrary FunctionCompileExportString FunctionDomain FunctionExpand FunctionInterpolation FunctionPeriod FunctionRange FunctionSpace FussellVeselyImportanceGaborFilter GaborMatrix GaborWavelet GainMargins GainPhaseMargins GalaxyData GalleryView Gamma GammaDistribution GammaRegularized GapPenalty GARCHProcess GatedRecurrentLayer Gather GatherBy GaugeFaceElementFunction GaugeFaceStyle GaugeFrameElementFunction GaugeFrameSize GaugeFrameStyle GaugeLabels GaugeMarkers GaugeStyle GaussianFilter GaussianIntegers GaussianMatrix GaussianOrthogonalMatrixDistribution GaussianSymplecticMatrixDistribution GaussianUnitaryMatrixDistribution GaussianWindow GCD GegenbauerC General GeneralizedLinearModelFit GenerateAsymmetricKeyPair GenerateConditions GeneratedCell GeneratedDocumentBinding GenerateDerivedKey GenerateDigitalSignature GenerateDocument GeneratedParameters GeneratedQuantityMagnitudes GenerateHTTPResponse GenerateSecuredAuthenticationKey GenerateSymmetricKey GeneratingFunction GeneratorDescription GeneratorHistoryLength GeneratorOutputType Generic GenericCylindricalDecomposition GenomeData GenomeLookup GeoAntipode GeoArea GeoArraySize GeoBackground GeoBoundingBox GeoBounds GeoBoundsRegion GeoBubbleChart GeoCenter GeoCircle GeodesicClosing GeodesicDilation GeodesicErosion GeodesicOpening GeoDestination GeodesyData GeoDirection GeoDisk GeoDisplacement GeoDistance GeoDistanceList GeoElevationData GeoEntities GeoGraphics GeogravityModelData GeoGridDirectionDifference GeoGridLines GeoGridLinesStyle GeoGridPosition GeoGridRange GeoGridRangePadding GeoGridUnitArea GeoGridUnitDistance GeoGridVector GeoGroup GeoHemisphere GeoHemisphereBoundary GeoHistogram GeoIdentify GeoImage GeoLabels GeoLength GeoListPlot GeoLocation GeologicalPeriodData GeomagneticModelData GeoMarker GeometricAssertion GeometricBrownianMotionProcess GeometricDistribution GeometricMean GeometricMeanFilter GeometricScene GeometricTransformation GeometricTransformation3DBox GeometricTransformation3DBoxOptions GeometricTransformationBox GeometricTransformationBoxOptions GeoModel GeoNearest GeoPath GeoPosition GeoPositionENU GeoPositionXYZ GeoProjection GeoProjectionData GeoRange GeoRangePadding GeoRegionValuePlot GeoResolution GeoScaleBar GeoServer GeoSmoothHistogram GeoStreamPlot GeoStyling GeoStylingImageFunction GeoVariant GeoVector GeoVectorENU GeoVectorPlot GeoVectorXYZ GeoVisibleRegion GeoVisibleRegionBoundary GeoWithinQ GeoZoomLevel GestureHandler GestureHandlerTag Get GetBoundingBoxSizePacket GetContext GetEnvironment GetFileName GetFrontEndOptionsDataPacket GetLinebreakInformationPacket GetMenusPacket GetPageBreakInformationPacket Glaisher GlobalClusteringCoefficient GlobalPreferences GlobalSession Glow GoldenAngle GoldenRatio GompertzMakehamDistribution GoodmanKruskalGamma GoodmanKruskalGammaTest Goto Grad Gradient GradientFilter GradientOrientationFilter GrammarApply GrammarRules GrammarToken Graph Graph3D GraphAssortativity GraphAutomorphismGroup GraphCenter GraphComplement GraphData GraphDensity GraphDiameter GraphDifference GraphDisjointUnion GraphDistance GraphDistanceMatrix GraphElementData GraphEmbedding GraphHighlight GraphHighlightStyle GraphHub Graphics Graphics3D Graphics3DBox Graphics3DBoxOptions GraphicsArray GraphicsBaseline GraphicsBox GraphicsBoxOptions GraphicsColor GraphicsColumn GraphicsComplex GraphicsComplex3DBox GraphicsComplex3DBoxOptions GraphicsComplexBox GraphicsComplexBoxOptions GraphicsContents GraphicsData GraphicsGrid GraphicsGridBox GraphicsGroup GraphicsGroup3DBox GraphicsGroup3DBoxOptions GraphicsGroupBox GraphicsGroupBoxOptions GraphicsGrouping GraphicsHighlightColor GraphicsRow GraphicsSpacing GraphicsStyle GraphIntersection GraphLayout GraphLinkEfficiency GraphPeriphery GraphPlot GraphPlot3D GraphPower GraphPropertyDistribution GraphQ GraphRadius GraphReciprocity GraphRoot GraphStyle GraphUnion Gray GrayLevel Greater GreaterEqual GreaterEqualLess GreaterEqualThan GreaterFullEqual GreaterGreater GreaterLess GreaterSlantEqual GreaterThan GreaterTilde Green GreenFunction Grid GridBaseline GridBox GridBoxAlignment GridBoxBackground GridBoxDividers GridBoxFrame GridBoxItemSize GridBoxItemStyle GridBoxOptions GridBoxSpacings GridCreationSettings GridDefaultElement GridElementStyleOptions GridFrame GridFrameMargins GridGraph GridLines GridLinesStyle GroebnerBasis GroupActionBase GroupBy GroupCentralizer GroupElementFromWord GroupElementPosition GroupElementQ GroupElements GroupElementToWord GroupGenerators Groupings GroupMultiplicationTable GroupOrbits GroupOrder GroupPageBreakWithin GroupSetwiseStabilizer GroupStabilizer GroupStabilizerChain GroupTogetherGrouping GroupTogetherNestedGrouping GrowCutComponents Gudermannian GuidedFilter GumbelDistributionHaarWavelet HadamardMatrix HalfLine HalfNormalDistribution HalfPlane HalfSpace HamiltonianGraphQ HammingDistance HammingWindow HandlerFunctions HandlerFunctionsKeys HankelH1 HankelH2 HankelMatrix HankelTransform HannPoissonWindow HannWindow HaradaNortonGroupHN HararyGraph HarmonicMean HarmonicMeanFilter HarmonicNumber Hash Haversine HazardFunction Head HeadCompose HeaderLines Heads HeavisideLambda HeavisidePi HeavisideTheta HeldGroupHe HeldPart HelpBrowserLookup HelpBrowserNotebook HelpBrowserSettings Here HermiteDecomposition HermiteH HermitianMatrixQ HessenbergDecomposition Hessian HexadecimalCharacter Hexahedron HexahedronBox HexahedronBoxOptions HiddenMarkovProcess HiddenSurface Highlighted HighlightGraph HighlightImage HighlightMesh HighpassFilter HigmanSimsGroupHS HilbertCurve HilbertFilter HilbertMatrix Histogram Histogram3D HistogramDistribution HistogramList HistogramTransform HistogramTransformInterpolation HistoricalPeriodData HitMissTransform HITSCentrality HjorthDistribution HodgeDual HoeffdingD HoeffdingDTest Hold HoldAll HoldAllComplete HoldComplete HoldFirst HoldForm HoldPattern HoldRest HolidayCalendar HomeDirectory HomePage Horizontal HorizontalForm HorizontalGauge HorizontalScrollPosition HornerForm HostLookup HotellingTSquareDistribution HoytDistribution HTMLSave HTTPErrorResponse HTTPRedirect HTTPRequest HTTPRequestData HTTPResponse Hue HumanGrowthData HumpDownHump HumpEqual HurwitzLerchPhi HurwitzZeta HyperbolicDistribution HypercubeGraph HyperexponentialDistribution Hyperfactorial Hypergeometric0F1 Hypergeometric0F1Regularized Hypergeometric1F1 Hypergeometric1F1Regularized Hypergeometric2F1 Hypergeometric2F1Regularized HypergeometricDistribution HypergeometricPFQ HypergeometricPFQRegularized HypergeometricU Hyperlink HyperlinkCreationSettings Hyperplane Hyphenation HyphenationOptions HypoexponentialDistribution HypothesisTestDataI IconData Iconize IconizedObject IconRules Icosahedron Identity IdentityMatrix If IgnoreCase IgnoreDiacritics IgnorePunctuation IgnoreSpellCheck IgnoringInactive Im Image Image3D Image3DProjection Image3DSlices ImageAccumulate ImageAdd ImageAdjust ImageAlign ImageApply ImageApplyIndexed ImageAspectRatio ImageAssemble ImageAugmentationLayer ImageBoundingBoxes ImageCache ImageCacheValid ImageCapture ImageCaptureFunction ImageCases ImageChannels ImageClip ImageCollage ImageColorSpace ImageCompose ImageContainsQ ImageContents ImageConvolve ImageCooccurrence ImageCorners ImageCorrelate ImageCorrespondingPoints ImageCrop ImageData ImageDeconvolve ImageDemosaic ImageDifference ImageDimensions ImageDisplacements ImageDistance ImageEffect ImageExposureCombine ImageFeatureTrack ImageFileApply ImageFileFilter ImageFileScan ImageFilter ImageFocusCombine ImageForestingComponents ImageFormattingWidth ImageForwardTransformation ImageGraphics ImageHistogram ImageIdentify ImageInstanceQ ImageKeypoints ImageLevels ImageLines ImageMargins ImageMarker ImageMarkers ImageMeasurements ImageMesh ImageMultiply ImageOffset ImagePad ImagePadding ImagePartition ImagePeriodogram ImagePerspectiveTransformation ImagePosition ImagePreviewFunction ImagePyramid ImagePyramidApply ImageQ ImageRangeCache ImageRecolor ImageReflect ImageRegion ImageResize ImageResolution ImageRestyle ImageRotate ImageRotated ImageSaliencyFilter ImageScaled ImageScan ImageSize ImageSizeAction ImageSizeCache ImageSizeMultipliers ImageSizeRaw ImageSubtract ImageTake ImageTransformation ImageTrim ImageType ImageValue ImageValuePositions ImagingDevice ImplicitRegion Implies Import ImportAutoReplacements ImportByteArray ImportOptions ImportString ImprovementImportance In Inactivate Inactive IncidenceGraph IncidenceList IncidenceMatrix IncludeAromaticBonds IncludeConstantBasis IncludeDefinitions IncludeDirectories IncludeFileExtension IncludeGeneratorTasks IncludeHydrogens IncludeInflections IncludeMetaInformation IncludePods IncludeQuantities IncludeRelatedTables IncludeSingularTerm IncludeWindowTimes Increment IndefiniteMatrixQ Indent IndentingNewlineSpacings IndentMaxFraction IndependenceTest IndependentEdgeSetQ IndependentPhysicalQuantity IndependentUnit IndependentUnitDimension IndependentVertexSetQ Indeterminate IndeterminateThreshold IndexCreationOptions Indexed IndexGraph IndexTag Inequality InexactNumberQ InexactNumbers InfiniteLine InfinitePlane Infinity Infix InflationAdjust InflationMethod Information InformationData InformationDataGrid Inherited InheritScope InhomogeneousPoissonProcess InitialEvaluationHistory Initialization InitializationCell InitializationCellEvaluation InitializationCellWarning InitializationObjects InitializationValue Initialize InitialSeeding InlineCounterAssignments InlineCounterIncrements InlineRules Inner InnerPolygon InnerPolyhedron Inpaint Input InputAliases InputAssumptions InputAutoReplacements InputField InputFieldBox InputFieldBoxOptions InputForm InputGrouping InputNamePacket InputNotebook InputPacket InputSettings InputStream InputString InputStringPacket InputToBoxFormPacket Insert InsertionFunction InsertionPointObject InsertLinebreaks InsertResults Inset Inset3DBox Inset3DBoxOptions InsetBox InsetBoxOptions Insphere Install InstallService InstanceNormalizationLayer InString Integer IntegerDigits IntegerExponent IntegerLength IntegerName IntegerPart IntegerPartitions IntegerQ IntegerReverse Integers IntegerString Integral Integrate Interactive InteractiveTradingChart Interlaced Interleaving InternallyBalancedDecomposition InterpolatingFunction InterpolatingPolynomial Interpolation InterpolationOrder InterpolationPoints InterpolationPrecision Interpretation InterpretationBox InterpretationBoxOptions InterpretationFunction Interpreter InterpretTemplate InterquartileRange Interrupt InterruptSettings IntersectingQ Intersection Interval IntervalIntersection IntervalMarkers IntervalMarkersStyle IntervalMemberQ IntervalSlider IntervalUnion Into Inverse InverseBetaRegularized InverseCDF InverseChiSquareDistribution InverseContinuousWaveletTransform InverseDistanceTransform InverseEllipticNomeQ InverseErf InverseErfc InverseFourier InverseFourierCosTransform InverseFourierSequenceTransform InverseFourierSinTransform InverseFourierTransform InverseFunction InverseFunctions InverseGammaDistribution InverseGammaRegularized InverseGaussianDistribution InverseGudermannian InverseHankelTransform InverseHaversine InverseImagePyramid InverseJacobiCD InverseJacobiCN InverseJacobiCS InverseJacobiDC InverseJacobiDN InverseJacobiDS InverseJacobiNC InverseJacobiND InverseJacobiNS InverseJacobiSC InverseJacobiSD InverseJacobiSN InverseLaplaceTransform InverseMellinTransform InversePermutation InverseRadon InverseRadonTransform InverseSeries InverseShortTimeFourier InverseSpectrogram InverseSurvivalFunction InverseTransformedRegion InverseWaveletTransform InverseWeierstrassP InverseWishartMatrixDistribution InverseZTransform Invisible InvisibleApplication InvisibleTimes IPAddress IrreduciblePolynomialQ IslandData IsolatingInterval IsomorphicGraphQ IsotopeData Italic Item ItemAspectRatio ItemBox ItemBoxOptions ItemSize ItemStyle ItoProcessJaccardDissimilarity JacobiAmplitude Jacobian JacobiCD JacobiCN JacobiCS JacobiDC JacobiDN JacobiDS JacobiNC JacobiND JacobiNS JacobiP JacobiSC JacobiSD JacobiSN JacobiSymbol JacobiZeta JankoGroupJ1 JankoGroupJ2 JankoGroupJ3 JankoGroupJ4 JarqueBeraALMTest JohnsonDistribution Join JoinAcross Joined JoinedCurve JoinedCurveBox JoinedCurveBoxOptions JoinForm JordanDecomposition JordanModelDecomposition JulianDate JuliaSetBoettcher JuliaSetIterationCount JuliaSetPlot JuliaSetPointsK KagiChart KaiserBesselWindow KaiserWindow KalmanEstimator KalmanFilter KarhunenLoeveDecomposition KaryTree KatzCentrality KCoreComponents KDistribution KEdgeConnectedComponents KEdgeConnectedGraphQ KelvinBei KelvinBer KelvinKei KelvinKer KendallTau KendallTauTest KernelExecute KernelFunction KernelMixtureDistribution Kernels Ket Key KeyCollisionFunction KeyComplement KeyDrop KeyDropFrom KeyExistsQ KeyFreeQ KeyIntersection KeyMap KeyMemberQ KeypointStrength Keys KeySelect KeySort KeySortBy KeyTake KeyUnion KeyValueMap KeyValuePattern Khinchin KillProcess KirchhoffGraph KirchhoffMatrix KleinInvariantJ KnapsackSolve KnightTourGraph KnotData KnownUnitQ KochCurve KolmogorovSmirnovTest KroneckerDelta KroneckerModelDecomposition KroneckerProduct KroneckerSymbol KuiperTest KumaraswamyDistribution Kurtosis KuwaharaFilter KVertexConnectedComponents KVertexConnectedGraphQLABColor Label Labeled LabeledSlider LabelingFunction LabelingSize LabelStyle LabelVisibility LaguerreL LakeData LambdaComponents LambertW LaminaData LanczosWindow LandauDistribution Language LanguageCategory LanguageData LanguageIdentify LanguageOptions LaplaceDistribution LaplaceTransform Laplacian LaplacianFilter LaplacianGaussianFilter Large Larger Last Latitude LatitudeLongitude LatticeData LatticeReduce Launch LaunchKernels LayeredGraphPlot LayerSizeFunction LayoutInformation LCHColor LCM LeaderSize LeafCount LeapYearQ LearnDistribution LearnedDistribution LearningRate LearningRateMultipliers LeastSquares LeastSquaresFilterKernel Left LeftArrow LeftArrowBar LeftArrowRightArrow LeftDownTeeVector LeftDownVector LeftDownVectorBar LeftRightArrow LeftRightVector LeftTee LeftTeeArrow LeftTeeVector LeftTriangle LeftTriangleBar LeftTriangleEqual LeftUpDownVector LeftUpTeeVector LeftUpVector LeftUpVectorBar LeftVector LeftVectorBar LegendAppearance Legended LegendFunction LegendLabel LegendLayout LegendMargins LegendMarkers LegendMarkerSize LegendreP LegendreQ LegendreType Length LengthWhile LerchPhi Less LessEqual LessEqualGreater LessEqualThan LessFullEqual LessGreater LessLess LessSlantEqual LessThan LessTilde LetterCharacter LetterCounts LetterNumber LetterQ Level LeveneTest LeviCivitaTensor LevyDistribution Lexicographic LibraryDataType LibraryFunction LibraryFunctionError LibraryFunctionInformation LibraryFunctionLoad LibraryFunctionUnload LibraryLoad LibraryUnload LicenseID LiftingFilterData LiftingWaveletTransform LightBlue LightBrown LightCyan Lighter LightGray LightGreen Lighting LightingAngle LightMagenta LightOrange LightPink LightPurple LightRed LightSources LightYellow Likelihood Limit LimitsPositioning LimitsPositioningTokens LindleyDistribution Line Line3DBox Line3DBoxOptions LinearFilter LinearFractionalOptimization LinearFractionalTransform LinearGradientImage LinearizingTransformationData LinearLayer LinearModelFit LinearOffsetFunction LinearOptimization LinearProgramming LinearRecurrence LinearSolve LinearSolveFunction LineBox LineBoxOptions LineBreak LinebreakAdjustments LineBreakChart LinebreakSemicolonWeighting LineBreakWithin LineColor LineGraph LineIndent LineIndentMaxFraction LineIntegralConvolutionPlot LineIntegralConvolutionScale LineLegend LineOpacity LineSpacing LineWrapParts LinkActivate LinkClose LinkConnect LinkConnectedQ LinkCreate LinkError LinkFlush LinkFunction LinkHost LinkInterrupt LinkLaunch LinkMode LinkObject LinkOpen LinkOptions LinkPatterns LinkProtocol LinkRankCentrality LinkRead LinkReadHeld LinkReadyQ Links LinkService LinkWrite LinkWriteHeld LiouvilleLambda List Listable ListAnimate ListContourPlot ListContourPlot3D ListConvolve ListCorrelate ListCurvePathPlot ListDeconvolve ListDensityPlot ListDensityPlot3D Listen ListFormat ListFourierSequenceTransform ListInterpolation ListLineIntegralConvolutionPlot ListLinePlot ListLogLinearPlot ListLogLogPlot ListLogPlot ListPicker ListPickerBox ListPickerBoxBackground ListPickerBoxOptions ListPlay ListPlot ListPlot3D ListPointPlot3D ListPolarPlot ListQ ListSliceContourPlot3D ListSliceDensityPlot3D ListSliceVectorPlot3D ListStepPlot ListStreamDensityPlot ListStreamPlot ListSurfacePlot3D ListVectorDensityPlot ListVectorPlot ListVectorPlot3D ListZTransform Literal LiteralSearch LocalAdaptiveBinarize LocalCache LocalClusteringCoefficient LocalizeDefinitions LocalizeVariables LocalObject LocalObjects LocalResponseNormalizationLayer LocalSubmit LocalSymbol LocalTime LocalTimeZone LocationEquivalenceTest LocationTest Locator LocatorAutoCreate LocatorBox LocatorBoxOptions LocatorCentering LocatorPane LocatorPaneBox LocatorPaneBoxOptions LocatorRegion Locked Log Log10 Log2 LogBarnesG LogGamma LogGammaDistribution LogicalExpand LogIntegral LogisticDistribution LogisticSigmoid LogitModelFit LogLikelihood LogLinearPlot LogLogisticDistribution LogLogPlot LogMultinormalDistribution LogNormalDistribution LogPlot LogRankTest LogSeriesDistribution LongEqual Longest LongestCommonSequence LongestCommonSequencePositions LongestCommonSubsequence LongestCommonSubsequencePositions LongestMatch LongestOrderedSequence LongForm Longitude LongLeftArrow LongLeftRightArrow LongRightArrow LongShortTermMemoryLayer Lookup Loopback LoopFreeGraphQ LossFunction LowerCaseQ LowerLeftArrow LowerRightArrow LowerTriangularize LowerTriangularMatrixQ LowpassFilter LQEstimatorGains LQGRegulator LQOutputRegulatorGains LQRegulatorGains LUBackSubstitution LucasL LuccioSamiComponents LUDecomposition LunarEclipse LUVColor LyapunovSolve LyonsGroupLyMachineID MachineName MachineNumberQ MachinePrecision MacintoshSystemPageSetup Magenta Magnification Magnify MailAddressValidation MailExecute MailFolder MailItem MailReceiverFunction MailResponseFunction MailSearch MailServerConnect MailServerConnection MailSettings MainSolve MaintainDynamicCaches Majority MakeBoxes MakeExpression MakeRules ManagedLibraryExpressionID ManagedLibraryExpressionQ MandelbrotSetBoettcher MandelbrotSetDistance MandelbrotSetIterationCount MandelbrotSetMemberQ MandelbrotSetPlot MangoldtLambda ManhattanDistance Manipulate Manipulator MannedSpaceMissionData MannWhitneyTest MantissaExponent Manual Map MapAll MapAt MapIndexed MAProcess MapThread MarchenkoPasturDistribution MarcumQ MardiaCombinedTest MardiaKurtosisTest MardiaSkewnessTest MarginalDistribution MarkovProcessProperties Masking MatchingDissimilarity MatchLocalNameQ MatchLocalNames MatchQ Material MathematicalFunctionData MathematicaNotation MathieuC MathieuCharacteristicA MathieuCharacteristicB MathieuCharacteristicExponent MathieuCPrime MathieuGroupM11 MathieuGroupM12 MathieuGroupM22 MathieuGroupM23 MathieuGroupM24 MathieuS MathieuSPrime MathMLForm MathMLText Matrices MatrixExp MatrixForm MatrixFunction MatrixLog MatrixNormalDistribution MatrixPlot MatrixPower MatrixPropertyDistribution MatrixQ MatrixRank MatrixTDistribution Max MaxBend MaxCellMeasure MaxColorDistance MaxDetect MaxDuration MaxExtraBandwidths MaxExtraConditions MaxFeatureDisplacement MaxFeatures MaxFilter MaximalBy Maximize MaxItems MaxIterations MaxLimit MaxMemoryUsed MaxMixtureKernels MaxOverlapFraction MaxPlotPoints MaxPoints MaxRecursion MaxStableDistribution MaxStepFraction MaxSteps MaxStepSize MaxTrainingRounds MaxValue MaxwellDistribution MaxWordGap McLaughlinGroupMcL Mean MeanAbsoluteLossLayer MeanAround MeanClusteringCoefficient MeanDegreeConnectivity MeanDeviation MeanFilter MeanGraphDistance MeanNeighborDegree MeanShift MeanShiftFilter MeanSquaredLossLayer Median MedianDeviation MedianFilter MedicalTestData Medium MeijerG MeijerGReduce MeixnerDistribution MellinConvolve MellinTransform MemberQ MemoryAvailable MemoryConstrained MemoryConstraint MemoryInUse MengerMesh Menu MenuAppearance MenuCommandKey MenuEvaluator MenuItem MenuList MenuPacket MenuSortingValue MenuStyle MenuView Merge MergeDifferences MergingFunction MersennePrimeExponent MersennePrimeExponentQ Mesh MeshCellCentroid MeshCellCount MeshCellHighlight MeshCellIndex MeshCellLabel MeshCellMarker MeshCellMeasure MeshCellQuality MeshCells MeshCellShapeFunction MeshCellStyle MeshCoordinates MeshFunctions MeshPrimitives MeshQualityGoal MeshRange MeshRefinementFunction MeshRegion MeshRegionQ MeshShading MeshStyle Message MessageDialog MessageList MessageName MessageObject MessageOptions MessagePacket Messages MessagesNotebook MetaCharacters MetaInformation MeteorShowerData Method MethodOptions MexicanHatWavelet MeyerWavelet Midpoint Min MinColorDistance MinDetect MineralData MinFilter MinimalBy MinimalPolynomial MinimalStateSpaceModel Minimize MinimumTimeIncrement MinIntervalSize MinkowskiQuestionMark MinLimit MinMax MinorPlanetData Minors MinRecursion MinSize MinStableDistribution Minus MinusPlus MinValue Missing MissingBehavior MissingDataMethod MissingDataRules MissingQ MissingString MissingStyle MissingValuePattern MittagLefflerE MixedFractionParts MixedGraphQ MixedMagnitude MixedRadix MixedRadixQuantity MixedUnit MixtureDistribution Mod Modal Mode Modular ModularInverse ModularLambda Module Modulus MoebiusMu Molecule MoleculeContainsQ MoleculeEquivalentQ MoleculeGraph MoleculeModify MoleculePattern MoleculePlot MoleculePlot3D MoleculeProperty MoleculeQ MoleculeValue Moment Momentary MomentConvert MomentEvaluate MomentGeneratingFunction MomentOfInertia Monday Monitor MonomialList MonomialOrder MonsterGroupM MoonPhase MoonPosition MorletWavelet MorphologicalBinarize MorphologicalBranchPoints MorphologicalComponents MorphologicalEulerNumber MorphologicalGraph MorphologicalPerimeter MorphologicalTransform MortalityData Most MountainData MouseAnnotation MouseAppearance MouseAppearanceTag MouseButtons Mouseover MousePointerNote MousePosition MovieData MovingAverage MovingMap MovingMedian MoyalDistribution Multicolumn MultiedgeStyle MultigraphQ MultilaunchWarning MultiLetterItalics MultiLetterStyle MultilineFunction Multinomial MultinomialDistribution MultinormalDistribution MultiplicativeOrder Multiplicity MultiplySides Multiselection MultivariateHypergeometricDistribution MultivariatePoissonDistribution MultivariateTDistributionN NakagamiDistribution NameQ Names NamespaceBox NamespaceBoxOptions Nand NArgMax NArgMin NBernoulliB NBodySimulation NBodySimulationData NCache NDEigensystem NDEigenvalues NDSolve NDSolveValue Nearest NearestFunction NearestNeighborGraph NearestTo NebulaData NeedCurrentFrontEndPackagePacket NeedCurrentFrontEndSymbolsPacket NeedlemanWunschSimilarity Needs Negative NegativeBinomialDistribution NegativeDefiniteMatrixQ NegativeIntegers NegativeMultinomialDistribution NegativeRationals NegativeReals NegativeSemidefiniteMatrixQ NeighborhoodData NeighborhoodGraph Nest NestedGreaterGreater NestedLessLess NestedScriptRules NestGraph NestList NestWhile NestWhileList NetAppend NetBidirectionalOperator NetChain NetDecoder NetDelete NetDrop NetEncoder NetEvaluationMode NetExtract NetFlatten NetFoldOperator NetGraph NetInformation NetInitialize NetInsert NetInsertSharedArrays NetJoin NetMapOperator NetMapThreadOperator NetMeasurements NetModel NetNestOperator NetPairEmbeddingOperator NetPort NetPortGradient NetPrepend NetRename NetReplace NetReplacePart NetSharedArray NetStateObject NetTake NetTrain NetTrainResultsObject NetworkPacketCapture NetworkPacketRecording NetworkPacketRecordingDuring NetworkPacketTrace NeumannValue NevilleThetaC NevilleThetaD NevilleThetaN NevilleThetaS NewPrimitiveStyle NExpectation Next NextCell NextDate NextPrime NextScheduledTaskTime NHoldAll NHoldFirst NHoldRest NicholsGridLines NicholsPlot NightHemisphere NIntegrate NMaximize NMaxValue NMinimize NMinValue NominalVariables NonAssociative NoncentralBetaDistribution NoncentralChiSquareDistribution NoncentralFRatioDistribution NoncentralStudentTDistribution NonCommutativeMultiply NonConstants NondimensionalizationTransform None NoneTrue NonlinearModelFit NonlinearStateSpaceModel NonlocalMeansFilter NonNegative NonNegativeIntegers NonNegativeRationals NonNegativeReals NonPositive NonPositiveIntegers NonPositiveRationals NonPositiveReals Nor NorlundB Norm Normal NormalDistribution NormalGrouping NormalizationLayer Normalize Normalized NormalizedSquaredEuclideanDistance NormalMatrixQ NormalsFunction NormFunction Not NotCongruent NotCupCap NotDoubleVerticalBar Notebook NotebookApply NotebookAutoSave NotebookClose NotebookConvertSettings NotebookCreate NotebookCreateReturnObject NotebookDefault NotebookDelete NotebookDirectory NotebookDynamicExpression NotebookEvaluate NotebookEventActions NotebookFileName NotebookFind NotebookFindReturnObject NotebookGet NotebookGetLayoutInformationPacket NotebookGetMisspellingsPacket NotebookImport NotebookInformation NotebookInterfaceObject NotebookLocate NotebookObject NotebookOpen NotebookOpenReturnObject NotebookPath NotebookPrint NotebookPut NotebookPutReturnObject NotebookRead NotebookResetGeneratedCells Notebooks NotebookSave NotebookSaveAs NotebookSelection NotebookSetupLayoutInformationPacket NotebooksMenu NotebookTemplate NotebookWrite NotElement NotEqualTilde NotExists NotGreater NotGreaterEqual NotGreaterFullEqual NotGreaterGreater NotGreaterLess NotGreaterSlantEqual NotGreaterTilde Nothing NotHumpDownHump NotHumpEqual NotificationFunction NotLeftTriangle NotLeftTriangleBar NotLeftTriangleEqual NotLess NotLessEqual NotLessFullEqual NotLessGreater NotLessLess NotLessSlantEqual NotLessTilde NotNestedGreaterGreater NotNestedLessLess NotPrecedes NotPrecedesEqual NotPrecedesSlantEqual NotPrecedesTilde NotReverseElement NotRightTriangle NotRightTriangleBar NotRightTriangleEqual NotSquareSubset NotSquareSubsetEqual NotSquareSuperset NotSquareSupersetEqual NotSubset NotSubsetEqual NotSucceeds NotSucceedsEqual NotSucceedsSlantEqual NotSucceedsTilde NotSuperset NotSupersetEqual NotTilde NotTildeEqual NotTildeFullEqual NotTildeTilde NotVerticalBar Now NoWhitespace NProbability NProduct NProductFactors NRoots NSolve NSum NSumTerms NuclearExplosionData NuclearReactorData Null NullRecords NullSpace NullWords Number NumberCompose NumberDecompose NumberExpand NumberFieldClassNumber NumberFieldDiscriminant NumberFieldFundamentalUnits NumberFieldIntegralBasis NumberFieldNormRepresentatives NumberFieldRegulator NumberFieldRootsOfUnity NumberFieldSignature NumberForm NumberFormat NumberLinePlot NumberMarks NumberMultiplier NumberPadding NumberPoint NumberQ NumberSeparator NumberSigns NumberString Numerator NumeratorDenominator NumericalOrder NumericalSort NumericArray NumericArrayQ NumericArrayType NumericFunction NumericQ NuttallWindow NValues NyquistGridLines NyquistPlotO ObservabilityGramian ObservabilityMatrix ObservableDecomposition ObservableModelQ OceanData Octahedron OddQ Off Offset OLEData On ONanGroupON Once OneIdentity Opacity OpacityFunction OpacityFunctionScaling Open OpenAppend Opener OpenerBox OpenerBoxOptions OpenerView OpenFunctionInspectorPacket Opening OpenRead OpenSpecialOptions OpenTemporary OpenWrite Operate OperatingSystem OptimumFlowData Optional OptionalElement OptionInspectorSettings OptionQ Options OptionsPacket OptionsPattern OptionValue OptionValueBox OptionValueBoxOptions Or Orange Order OrderDistribution OrderedQ Ordering OrderingBy OrderingLayer Orderless OrderlessPatternSequence OrnsteinUhlenbeckProcess Orthogonalize OrthogonalMatrixQ Out Outer OuterPolygon OuterPolyhedron OutputAutoOverwrite OutputControllabilityMatrix OutputControllableModelQ OutputForm OutputFormData OutputGrouping OutputMathEditExpression OutputNamePacket OutputResponse OutputSizeLimit OutputStream Over OverBar OverDot Overflow OverHat Overlaps Overlay OverlayBox OverlayBoxOptions Overscript OverscriptBox OverscriptBoxOptions OverTilde OverVector OverwriteTarget OwenT OwnValuesPackage PackingMethod PaddedForm Padding PaddingLayer PaddingSize PadeApproximant PadLeft PadRight PageBreakAbove PageBreakBelow PageBreakWithin PageFooterLines PageFooters PageHeaderLines PageHeaders PageHeight PageRankCentrality PageTheme PageWidth Pagination PairedBarChart PairedHistogram PairedSmoothHistogram PairedTTest PairedZTest PaletteNotebook PalettePath PalindromeQ Pane PaneBox PaneBoxOptions Panel PanelBox PanelBoxOptions Paneled PaneSelector PaneSelectorBox PaneSelectorBoxOptions PaperWidth ParabolicCylinderD ParagraphIndent ParagraphSpacing ParallelArray ParallelCombine ParallelDo Parallelepiped ParallelEvaluate Parallelization Parallelize ParallelMap ParallelNeeds Parallelogram ParallelProduct ParallelSubmit ParallelSum ParallelTable ParallelTry Parameter ParameterEstimator ParameterMixtureDistribution ParameterVariables ParametricFunction ParametricNDSolve ParametricNDSolveValue ParametricPlot ParametricPlot3D ParametricRegion ParentBox ParentCell ParentConnect ParentDirectory ParentForm Parenthesize ParentList ParentNotebook ParetoDistribution ParetoPickandsDistribution ParkData Part PartBehavior PartialCorrelationFunction PartialD ParticleAcceleratorData ParticleData Partition PartitionGranularity PartitionsP PartitionsQ PartLayer PartOfSpeech PartProtection ParzenWindow PascalDistribution PassEventsDown PassEventsUp Paste PasteAutoQuoteCharacters PasteBoxFormInlineCells PasteButton Path PathGraph PathGraphQ Pattern PatternSequence PatternTest PauliMatrix PaulWavelet Pause PausedTime PDF PeakDetect PeanoCurve PearsonChiSquareTest PearsonCorrelationTest PearsonDistribution PercentForm PerfectNumber PerfectNumberQ PerformanceGoal Perimeter PeriodicBoundaryCondition PeriodicInterpolation Periodogram PeriodogramArray Permanent Permissions PermissionsGroup PermissionsGroupMemberQ PermissionsGroups PermissionsKey PermissionsKeys PermutationCycles PermutationCyclesQ PermutationGroup PermutationLength PermutationList PermutationListQ PermutationMax PermutationMin PermutationOrder PermutationPower PermutationProduct PermutationReplace Permutations PermutationSupport Permute PeronaMalikFilter Perpendicular PerpendicularBisector PersistenceLocation PersistenceTime PersistentObject PersistentObjects PersistentValue PersonData PERTDistribution PetersenGraph PhaseMargins PhaseRange PhysicalSystemData Pi Pick PIDData PIDDerivativeFilter PIDFeedforward PIDTune Piecewise PiecewiseExpand PieChart PieChart3D PillaiTrace PillaiTraceTest PingTime Pink PitchRecognize Pivoting PixelConstrained PixelValue PixelValuePositions Placed Placeholder PlaceholderReplace Plain PlanarAngle PlanarGraph PlanarGraphQ PlanckRadiationLaw PlaneCurveData PlanetaryMoonData PlanetData PlantData Play PlayRange Plot Plot3D Plot3Matrix PlotDivision PlotJoined PlotLabel PlotLabels PlotLayout PlotLegends PlotMarkers PlotPoints PlotRange PlotRangeClipping PlotRangeClipPlanesStyle PlotRangePadding PlotRegion PlotStyle PlotTheme Pluralize Plus PlusMinus Pochhammer PodStates PodWidth Point Point3DBox Point3DBoxOptions PointBox PointBoxOptions PointFigureChart PointLegend PointSize PoissonConsulDistribution PoissonDistribution PoissonProcess PoissonWindow PolarAxes PolarAxesOrigin PolarGridLines PolarPlot PolarTicks PoleZeroMarkers PolyaAeppliDistribution PolyGamma Polygon Polygon3DBox Polygon3DBoxOptions PolygonalNumber PolygonAngle PolygonBox PolygonBoxOptions PolygonCoordinates PolygonDecomposition PolygonHoleScale PolygonIntersections PolygonScale Polyhedron PolyhedronAngle PolyhedronCoordinates PolyhedronData PolyhedronDecomposition PolyhedronGenus PolyLog PolynomialExtendedGCD PolynomialForm PolynomialGCD PolynomialLCM PolynomialMod PolynomialQ PolynomialQuotient PolynomialQuotientRemainder PolynomialReduce PolynomialRemainder Polynomials PoolingLayer PopupMenu PopupMenuBox PopupMenuBoxOptions PopupView PopupWindow Position PositionIndex Positive PositiveDefiniteMatrixQ PositiveIntegers PositiveRationals PositiveReals PositiveSemidefiniteMatrixQ PossibleZeroQ Postfix PostScript Power PowerDistribution PowerExpand PowerMod PowerModList PowerRange PowerSpectralDensity PowersRepresentations PowerSymmetricPolynomial Precedence PrecedenceForm Precedes PrecedesEqual PrecedesSlantEqual PrecedesTilde Precision PrecisionGoal PreDecrement Predict PredictionRoot PredictorFunction PredictorInformation PredictorMeasurements PredictorMeasurementsObject PreemptProtect PreferencesPath Prefix PreIncrement Prepend PrependLayer PrependTo PreprocessingRules PreserveColor PreserveImageOptions Previous PreviousCell PreviousDate PriceGraphDistribution PrimaryPlaceholder Prime PrimeNu PrimeOmega PrimePi PrimePowerQ PrimeQ Primes PrimeZetaP PrimitivePolynomialQ PrimitiveRoot PrimitiveRootList PrincipalComponents PrincipalValue Print PrintableASCIIQ PrintAction PrintForm PrintingCopies PrintingOptions PrintingPageRange PrintingStartingPageNumber PrintingStyleEnvironment Printout3D Printout3DPreviewer PrintPrecision PrintTemporary Prism PrismBox PrismBoxOptions PrivateCellOptions PrivateEvaluationOptions PrivateFontOptions PrivateFrontEndOptions PrivateKey PrivateNotebookOptions PrivatePaths Probability ProbabilityDistribution ProbabilityPlot ProbabilityPr ProbabilityScalePlot ProbitModelFit ProcessConnection ProcessDirectory ProcessEnvironment Processes ProcessEstimator ProcessInformation ProcessObject ProcessParameterAssumptions ProcessParameterQ ProcessStateDomain ProcessStatus ProcessTimeDomain Product ProductDistribution ProductLog ProgressIndicator ProgressIndicatorBox ProgressIndicatorBoxOptions Projection Prolog PromptForm ProofObject Properties Property PropertyList PropertyValue Proportion Proportional Protect Protected ProteinData Pruning PseudoInverse PsychrometricPropertyData PublicKey PublisherID PulsarData PunctuationCharacter Purple Put PutAppend Pyramid PyramidBox PyramidBoxOptionsQBinomial QFactorial QGamma QHypergeometricPFQ QnDispersion QPochhammer QPolyGamma QRDecomposition QuadraticIrrationalQ QuadraticOptimization Quantile QuantilePlot Quantity QuantityArray QuantityDistribution QuantityForm QuantityMagnitude QuantityQ QuantityUnit QuantityVariable QuantityVariableCanonicalUnit QuantityVariableDimensions QuantityVariableIdentifier QuantityVariablePhysicalQuantity Quartics QuartileDeviation Quartiles QuartileSkewness Query QueueingNetworkProcess QueueingProcess QueueProperties Quiet Quit Quotient QuotientRemainderRadialGradientImage RadialityCentrality RadicalBox RadicalBoxOptions RadioButton RadioButtonBar RadioButtonBox RadioButtonBoxOptions Radon RadonTransform RamanujanTau RamanujanTauL RamanujanTauTheta RamanujanTauZ Ramp Random RandomChoice RandomColor RandomComplex RandomEntity RandomFunction RandomGeoPosition RandomGraph RandomImage RandomInstance RandomInteger RandomPermutation RandomPoint RandomPolygon RandomPolyhedron RandomPrime RandomReal RandomSample RandomSeed RandomSeeding RandomVariate RandomWalkProcess RandomWord Range RangeFilter RangeSpecification RankedMax RankedMin RarerProbability Raster Raster3D Raster3DBox Raster3DBoxOptions RasterArray RasterBox RasterBoxOptions Rasterize RasterSize Rational RationalFunctions Rationalize Rationals Ratios RawArray RawBoxes RawData RawMedium RayleighDistribution Re Read ReadByteArray ReadLine ReadList ReadProtected ReadString Real RealAbs RealBlockDiagonalForm RealDigits RealExponent Reals RealSign Reap RecognitionPrior RecognitionThreshold Record RecordLists RecordSeparators Rectangle RectangleBox RectangleBoxOptions RectangleChart RectangleChart3D RectangularRepeatingElement RecurrenceFilter RecurrenceTable RecurringDigitsForm Red Reduce RefBox ReferenceLineStyle ReferenceMarkers ReferenceMarkerStyle Refine ReflectionMatrix ReflectionTransform Refresh RefreshRate Region RegionBinarize RegionBoundary RegionBounds RegionCentroid RegionDifference RegionDimension RegionDisjoint RegionDistance RegionDistanceFunction RegionEmbeddingDimension RegionEqual RegionFunction RegionImage RegionIntersection RegionMeasure RegionMember RegionMemberFunction RegionMoment RegionNearest RegionNearestFunction RegionPlot RegionPlot3D RegionProduct RegionQ RegionResize RegionSize RegionSymmetricDifference RegionUnion RegionWithin RegisterExternalEvaluator RegularExpression Regularization RegularlySampledQ RegularPolygon ReIm ReImLabels ReImPlot ReImStyle Reinstall RelationalDatabase RelationGraph Release ReleaseHold ReliabilityDistribution ReliefImage ReliefPlot RemoteAuthorizationCaching RemoteConnect RemoteConnectionObject RemoteFile RemoteRun RemoteRunProcess Remove RemoveAlphaChannel RemoveAsynchronousTask RemoveAudioStream RemoveBackground RemoveChannelListener RemoveChannelSubscribers Removed RemoveDiacritics RemoveInputStreamMethod RemoveOutputStreamMethod RemoveProperty RemoveScheduledTask RemoveUsers RenameDirectory RenameFile RenderAll RenderingOptions RenewalProcess RenkoChart RepairMesh Repeated RepeatedNull RepeatedString RepeatedTiming RepeatingElement Replace ReplaceAll ReplaceHeldPart ReplaceImageValue ReplaceList ReplacePart ReplacePixelValue ReplaceRepeated ReplicateLayer RequiredPhysicalQuantities Resampling ResamplingAlgorithmData ResamplingMethod Rescale RescalingTransform ResetDirectory ResetMenusPacket ResetScheduledTask ReshapeLayer Residue ResizeLayer Resolve ResourceAcquire ResourceData ResourceFunction ResourceObject ResourceRegister ResourceRemove ResourceSearch ResourceSubmissionObject ResourceSubmit ResourceSystemBase ResourceUpdate ResponseForm Rest RestartInterval Restricted Resultant ResumePacket Return ReturnEntersInput ReturnExpressionPacket ReturnInputFormPacket ReturnPacket ReturnReceiptFunction ReturnTextPacket Reverse ReverseBiorthogonalSplineWavelet ReverseElement ReverseEquilibrium ReverseGraph ReverseSort ReverseSortBy ReverseUpEquilibrium RevolutionAxis RevolutionPlot3D RGBColor RiccatiSolve RiceDistribution RidgeFilter RiemannR RiemannSiegelTheta RiemannSiegelZ RiemannXi Riffle Right RightArrow RightArrowBar RightArrowLeftArrow RightComposition RightCosetRepresentative RightDownTeeVector RightDownVector RightDownVectorBar RightTee RightTeeArrow RightTeeVector RightTriangle RightTriangleBar RightTriangleEqual RightUpDownVector RightUpTeeVector RightUpVector RightUpVectorBar RightVector RightVectorBar RiskAchievementImportance RiskReductionImportance RogersTanimotoDissimilarity RollPitchYawAngles RollPitchYawMatrix RomanNumeral Root RootApproximant RootIntervals RootLocusPlot RootMeanSquare RootOfUnityQ RootReduce Roots RootSum Rotate RotateLabel RotateLeft RotateRight RotationAction RotationBox RotationBoxOptions RotationMatrix RotationTransform Round RoundImplies RoundingRadius Row RowAlignments RowBackgrounds RowBox RowHeights RowLines RowMinHeight RowReduce RowsEqual RowSpacings RSolve RSolveValue RudinShapiro RudvalisGroupRu Rule RuleCondition RuleDelayed RuleForm RulePlot RulerUnits Run RunProcess RunScheduledTask RunThrough RuntimeAttributes RuntimeOptions RussellRaoDissimilaritySameQ SameTest SampledEntityClass SampleDepth SampledSoundFunction SampledSoundList SampleRate SamplingPeriod SARIMAProcess SARMAProcess SASTriangle SatelliteData SatisfiabilityCount SatisfiabilityInstances SatisfiableQ Saturday Save Saveable SaveAutoDelete SaveConnection SaveDefinitions SavitzkyGolayMatrix SawtoothWave Scale Scaled ScaleDivisions ScaledMousePosition ScaleOrigin ScalePadding ScaleRanges ScaleRangeStyle ScalingFunctions ScalingMatrix ScalingTransform Scan ScheduledTask ScheduledTaskActiveQ ScheduledTaskInformation ScheduledTaskInformationData ScheduledTaskObject ScheduledTasks SchurDecomposition ScientificForm ScientificNotationThreshold ScorerGi ScorerGiPrime ScorerHi ScorerHiPrime ScreenRectangle ScreenStyleEnvironment ScriptBaselineShifts ScriptForm ScriptLevel ScriptMinSize ScriptRules ScriptSizeMultipliers Scrollbars ScrollingOptions ScrollPosition SearchAdjustment SearchIndexObject SearchIndices SearchQueryString SearchResultObject Sec Sech SechDistribution SecondOrderConeOptimization SectionGrouping SectorChart SectorChart3D SectorOrigin SectorSpacing SecuredAuthenticationKey SecuredAuthenticationKeys SeedRandom Select Selectable SelectComponents SelectedCells SelectedNotebook SelectFirst Selection SelectionAnimate SelectionCell SelectionCellCreateCell SelectionCellDefaultStyle SelectionCellParentStyle SelectionCreateCell SelectionDebuggerTag SelectionDuplicateCell SelectionEvaluate SelectionEvaluateCreateCell SelectionMove SelectionPlaceholder SelectionSetStyle SelectWithContents SelfLoops SelfLoopStyle SemanticImport SemanticImportString SemanticInterpretation SemialgebraicComponentInstances SemidefiniteOptimization SendMail SendMessage Sequence SequenceAlignment SequenceAttentionLayer SequenceCases SequenceCount SequenceFold SequenceFoldList SequenceForm SequenceHold SequenceLastLayer SequenceMostLayer SequencePosition SequencePredict SequencePredictorFunction SequenceReplace SequenceRestLayer SequenceReverseLayer SequenceSplit Series SeriesCoefficient SeriesData ServiceConnect ServiceDisconnect ServiceExecute ServiceObject ServiceRequest ServiceResponse ServiceSubmit SessionSubmit SessionTime Set SetAccuracy SetAlphaChannel SetAttributes Setbacks SetBoxFormNamesPacket SetCloudDirectory SetCookies SetDelayed SetDirectory SetEnvironment SetEvaluationNotebook SetFileDate SetFileLoadingContext SetNotebookStatusLine SetOptions SetOptionsPacket SetPermissions SetPrecision SetProperty SetSecuredAuthenticationKey SetSelectedNotebook SetSharedFunction SetSharedVariable SetSpeechParametersPacket SetStreamPosition SetSystemModel SetSystemOptions Setter SetterBar SetterBox SetterBoxOptions Setting SetUsers SetValue Shading Shallow ShannonWavelet ShapiroWilkTest Share SharingList Sharpen ShearingMatrix ShearingTransform ShellRegion ShenCastanMatrix ShiftedGompertzDistribution ShiftRegisterSequence Short ShortDownArrow Shortest ShortestMatch ShortestPathFunction ShortLeftArrow ShortRightArrow ShortTimeFourier ShortTimeFourierData ShortUpArrow Show ShowAutoConvert ShowAutoSpellCheck ShowAutoStyles ShowCellBracket ShowCellLabel ShowCellTags ShowClosedCellArea ShowCodeAssist ShowContents ShowControls ShowCursorTracker ShowGroupOpenCloseIcon ShowGroupOpener ShowInvisibleCharacters ShowPageBreaks ShowPredictiveInterface ShowSelection ShowShortBoxForm ShowSpecialCharacters ShowStringCharacters ShowSyntaxStyles ShrinkingDelay ShrinkWrapBoundingBox SiderealTime SiegelTheta SiegelTukeyTest SierpinskiCurve SierpinskiMesh Sign Signature SignedRankTest SignedRegionDistance SignificanceLevel SignPadding SignTest SimilarityRules SimpleGraph SimpleGraphQ SimplePolygonQ SimplePolyhedronQ Simplex Simplify Sin Sinc SinghMaddalaDistribution SingleEvaluation SingleLetterItalics SingleLetterStyle SingularValueDecomposition SingularValueList SingularValuePlot SingularValues Sinh SinhIntegral SinIntegral SixJSymbol Skeleton SkeletonTransform SkellamDistribution Skewness SkewNormalDistribution SkinStyle Skip SliceContourPlot3D SliceDensityPlot3D SliceDistribution SliceVectorPlot3D Slider Slider2D Slider2DBox Slider2DBoxOptions SliderBox SliderBoxOptions SlideView Slot SlotSequence Small SmallCircle Smaller SmithDecomposition SmithDelayCompensator SmithWatermanSimilarity SmoothDensityHistogram SmoothHistogram SmoothHistogram3D SmoothKernelDistribution SnDispersion Snippet SnubPolyhedron SocialMediaData Socket SocketConnect SocketListen SocketListener SocketObject SocketOpen SocketReadMessage SocketReadyQ Sockets SocketWaitAll SocketWaitNext SoftmaxLayer SokalSneathDissimilarity SolarEclipse SolarSystemFeatureData SolidAngle SolidData SolidRegionQ Solve SolveAlways SolveDelayed Sort SortBy SortedBy SortedEntityClass Sound SoundAndGraphics SoundNote SoundVolume SourceLink Sow Space SpaceCurveData SpaceForm Spacer Spacings Span SpanAdjustments SpanCharacterRounding SpanFromAbove SpanFromBoth SpanFromLeft SpanLineThickness SpanMaxSize SpanMinSize SpanningCharacters SpanSymmetric SparseArray SpatialGraphDistribution SpatialMedian SpatialTransformationLayer Speak SpeakTextPacket SpearmanRankTest SpearmanRho SpeciesData SpecificityGoal SpectralLineData Spectrogram SpectrogramArray Specularity SpeechRecognize SpeechSynthesize SpellingCorrection SpellingCorrectionList SpellingDictionaries SpellingDictionariesPath SpellingOptions SpellingSuggestionsPacket Sphere SphereBox SpherePoints SphericalBesselJ SphericalBesselY SphericalHankelH1 SphericalHankelH2 SphericalHarmonicY SphericalPlot3D SphericalRegion SphericalShell SpheroidalEigenvalue SpheroidalJoiningFactor SpheroidalPS SpheroidalPSPrime SpheroidalQS SpheroidalQSPrime SpheroidalRadialFactor SpheroidalS1 SpheroidalS1Prime SpheroidalS2 SpheroidalS2Prime Splice SplicedDistribution SplineClosed SplineDegree SplineKnots SplineWeights Split SplitBy SpokenString Sqrt SqrtBox SqrtBoxOptions Square SquaredEuclideanDistance SquareFreeQ SquareIntersection SquareMatrixQ SquareRepeatingElement SquaresR SquareSubset SquareSubsetEqual SquareSuperset SquareSupersetEqual SquareUnion SquareWave SSSTriangle StabilityMargins StabilityMarginsStyle StableDistribution Stack StackBegin StackComplete StackedDateListPlot StackedListPlot StackInhibit StadiumShape StandardAtmosphereData StandardDeviation StandardDeviationFilter StandardForm Standardize Standardized StandardOceanData StandbyDistribution Star StarClusterData StarData StarGraph StartAsynchronousTask StartExternalSession StartingStepSize StartOfLine StartOfString StartProcess StartScheduledTask StartupSound StartWebSession StateDimensions StateFeedbackGains StateOutputEstimator StateResponse StateSpaceModel StateSpaceRealization StateSpaceTransform StateTransformationLinearize StationaryDistribution StationaryWaveletPacketTransform StationaryWaveletTransform StatusArea StatusCentrality StepMonitor StereochemistryElements StieltjesGamma StirlingS1 StirlingS2 StopAsynchronousTask StoppingPowerData StopScheduledTask StrataVariables StratonovichProcess StreamColorFunction StreamColorFunctionScaling StreamDensityPlot StreamMarkers StreamPlot StreamPoints StreamPosition Streams StreamScale StreamStyle String StringBreak StringByteCount StringCases StringContainsQ StringCount StringDelete StringDrop StringEndsQ StringExpression StringExtract StringForm StringFormat StringFreeQ StringInsert StringJoin StringLength StringMatchQ StringPadLeft StringPadRight StringPart StringPartition StringPosition StringQ StringRepeat StringReplace StringReplaceList StringReplacePart StringReverse StringRiffle StringRotateLeft StringRotateRight StringSkeleton StringSplit StringStartsQ StringTake StringTemplate StringToByteArray StringToStream StringTrim StripBoxes StripOnInput StripWrapperBoxes StrokeForm StructuralImportance StructuredArray StructuredSelection StruveH StruveL Stub StudentTDistribution Style StyleBox StyleBoxAutoDelete StyleData StyleDefinitions StyleForm StyleHints StyleKeyMapping StyleMenuListing StyleNameDialogSettings StyleNames StylePrint StyleSheetPath Subdivide Subfactorial Subgraph SubMinus SubPlus SubresultantPolynomialRemainders SubresultantPolynomials Subresultants Subscript SubscriptBox SubscriptBoxOptions Subscripted Subsequences Subset SubsetEqual SubsetMap SubsetQ Subsets SubStar SubstitutionSystem Subsuperscript SubsuperscriptBox SubsuperscriptBoxOptions Subtract SubtractFrom SubtractSides SubValues Succeeds SucceedsEqual SucceedsSlantEqual SucceedsTilde Success SuchThat Sum SumConvergence SummationLayer Sunday SunPosition Sunrise Sunset SuperDagger SuperMinus SupernovaData SuperPlus Superscript SuperscriptBox SuperscriptBoxOptions Superset SupersetEqual SuperStar Surd SurdForm SurfaceArea SurfaceColor SurfaceData SurfaceGraphics SurvivalDistribution SurvivalFunction SurvivalModel SurvivalModelFit SuspendPacket SuzukiDistribution SuzukiGroupSuz SwatchLegend Switch Symbol SymbolName SymletWavelet Symmetric SymmetricGroup SymmetricKey SymmetricMatrixQ SymmetricPolynomial SymmetricReduction Symmetrize SymmetrizedArray SymmetrizedArrayRules SymmetrizedDependentComponents SymmetrizedIndependentComponents SymmetrizedReplacePart SynchronousInitialization SynchronousUpdating Synonyms Syntax SyntaxForm SyntaxInformation SyntaxLength SyntaxPacket SyntaxQ SynthesizeMissingValues SystemDialogInput SystemException SystemGet SystemHelpPath SystemInformation SystemInformationData SystemInstall SystemModel SystemModeler SystemModelExamples SystemModelLinearize SystemModelParametricSimulate SystemModelPlot SystemModelProgressReporting SystemModelReliability SystemModels SystemModelSimulate SystemModelSimulateSensitivity SystemModelSimulationData SystemOpen SystemOptions SystemProcessData SystemProcesses SystemsConnectionsModel SystemsModelDelay SystemsModelDelayApproximate SystemsModelDelete SystemsModelDimensions SystemsModelExtract SystemsModelFeedbackConnect SystemsModelLabels SystemsModelLinearity SystemsModelMerge SystemsModelOrder SystemsModelParallelConnect SystemsModelSeriesConnect SystemsModelStateFeedbackConnect SystemsModelVectorRelativeOrders SystemStub SystemTestTab TabFilling Table TableAlignments TableDepth TableDirections TableForm TableHeadings TableSpacing TableView TableViewBox TableViewBoxBackground TableViewBoxOptions TabSpacings TabView TabViewBox TabViewBoxOptions TagBox TagBoxNote TagBoxOptions TaggingRules TagSet TagSetDelayed TagStyle TagUnset Take TakeDrop TakeLargest TakeLargestBy TakeList TakeSmallest TakeSmallestBy TakeWhile Tally Tan Tanh TargetDevice TargetFunctions TargetSystem TargetUnits TaskAbort TaskExecute TaskObject TaskRemove TaskResume Tasks TaskSuspend TaskWait TautologyQ TelegraphProcess TemplateApply TemplateArgBox TemplateBox TemplateBoxOptions TemplateEvaluate TemplateExpression TemplateIf TemplateObject TemplateSequence TemplateSlot TemplateSlotSequence TemplateUnevaluated TemplateVerbatim TemplateWith TemporalData TemporalRegularity Temporary TemporaryVariable TensorContract TensorDimensions TensorExpand TensorProduct TensorQ TensorRank TensorReduce TensorSymmetry TensorTranspose TensorWedge TestID TestReport TestReportObject TestResultObject Tetrahedron TetrahedronBox TetrahedronBoxOptions TeXForm TeXSave Text Text3DBox Text3DBoxOptions TextAlignment TextBand TextBoundingBox TextBox TextCases TextCell TextClipboardType TextContents TextData TextElement TextForm TextGrid TextJustification TextLine TextPacket TextParagraph TextPosition TextRecognize TextSearch TextSearchReport TextSentences TextString TextStructure TextStyle TextTranslation Texture TextureCoordinateFunction TextureCoordinateScaling TextWords Therefore ThermodynamicData ThermometerGauge Thick Thickness Thin Thinning ThisLink ThompsonGroupTh Thread ThreadingLayer ThreeJSymbol Threshold Through Throw ThueMorse Thumbnail Thursday Ticks TicksStyle TideData Tilde TildeEqual TildeFullEqual TildeTilde TimeConstrained TimeConstraint TimeDirection TimeFormat TimeGoal TimelinePlot TimeObject TimeObjectQ Times TimesBy TimeSeries TimeSeriesAggregate TimeSeriesForecast TimeSeriesInsert TimeSeriesInvertibility TimeSeriesMap TimeSeriesMapThread TimeSeriesModel TimeSeriesModelFit TimeSeriesResample TimeSeriesRescale TimeSeriesShift TimeSeriesThread TimeSeriesWindow TimeUsed TimeValue TimeWarpingCorrespondence TimeWarpingDistance TimeZone TimeZoneConvert TimeZoneOffset Timing Tiny TitleGrouping TitsGroupT ToBoxes ToCharacterCode ToColor ToContinuousTimeModel ToDate Today ToDiscreteTimeModel ToEntity ToeplitzMatrix ToExpression ToFileName Together Toggle ToggleFalse Toggler TogglerBar TogglerBox TogglerBoxOptions ToHeldExpression ToInvertibleTimeSeries TokenWords Tolerance ToLowerCase Tomorrow ToNumberField TooBig Tooltip TooltipBox TooltipBoxOptions TooltipDelay TooltipStyle Top TopHatTransform ToPolarCoordinates TopologicalSort ToRadicals ToRules ToSphericalCoordinates ToString Total TotalHeight TotalLayer TotalVariationFilter TotalWidth TouchPosition TouchscreenAutoZoom TouchscreenControlPlacement ToUpperCase Tr Trace TraceAbove TraceAction TraceBackward TraceDepth TraceDialog TraceForward TraceInternal TraceLevel TraceOff TraceOn TraceOriginal TracePrint TraceScan TrackedSymbols TrackingFunction TracyWidomDistribution TradingChart TraditionalForm TraditionalFunctionNotation TraditionalNotation TraditionalOrder TrainingProgressCheckpointing TrainingProgressFunction TrainingProgressMeasurements TrainingProgressReporting TrainingStoppingCriterion TransferFunctionCancel TransferFunctionExpand TransferFunctionFactor TransferFunctionModel TransferFunctionPoles TransferFunctionTransform TransferFunctionZeros TransformationClass TransformationFunction TransformationFunctions TransformationMatrix TransformedDistribution TransformedField TransformedProcess TransformedRegion TransitionDirection TransitionDuration TransitionEffect TransitiveClosureGraph TransitiveReductionGraph Translate TranslationOptions TranslationTransform Transliterate Transparent TransparentColor Transpose TransposeLayer TrapSelection TravelDirections TravelDirectionsData TravelDistance TravelDistanceList TravelMethod TravelTime TreeForm TreeGraph TreeGraphQ TreePlot TrendStyle Triangle TriangleCenter TriangleConstruct TriangleMeasurement TriangleWave TriangularDistribution TriangulateMesh Trig TrigExpand TrigFactor TrigFactorList Trigger TrigReduce TrigToExp TrimmedMean TrimmedVariance TropicalStormData True TrueQ TruncatedDistribution TruncatedPolyhedron TsallisQExponentialDistribution TsallisQGaussianDistribution TTest Tube TubeBezierCurveBox TubeBezierCurveBoxOptions TubeBox TubeBoxOptions TubeBSplineCurveBox TubeBSplineCurveBoxOptions Tuesday TukeyLambdaDistribution TukeyWindow TunnelData Tuples TuranGraph TuringMachine TuttePolynomial TwoWayRule Typed TypeSpecifierUnateQ Uncompress UnconstrainedParameters Undefined UnderBar Underflow Underlined Underoverscript UnderoverscriptBox UnderoverscriptBoxOptions Underscript UnderscriptBox UnderscriptBoxOptions UnderseaFeatureData UndirectedEdge UndirectedGraph UndirectedGraphQ UndoOptions UndoTrackedVariables Unequal UnequalTo Unevaluated UniformDistribution UniformGraphDistribution UniformPolyhedron UniformSumDistribution Uninstall Union UnionPlus Unique UnitaryMatrixQ UnitBox UnitConvert UnitDimensions Unitize UnitRootTest UnitSimplify UnitStep UnitSystem UnitTriangle UnitVector UnitVectorLayer UnityDimensions UniverseModelData UniversityData UnixTime Unprotect UnregisterExternalEvaluator UnsameQ UnsavedVariables Unset UnsetShared UntrackedVariables Up UpArrow UpArrowBar UpArrowDownArrow Update UpdateDynamicObjects UpdateDynamicObjectsSynchronous UpdateInterval UpdateSearchIndex UpDownArrow UpEquilibrium UpperCaseQ UpperLeftArrow UpperRightArrow UpperTriangularize UpperTriangularMatrixQ Upsample UpSet UpSetDelayed UpTee UpTeeArrow UpTo UpValues URL URLBuild URLDecode URLDispatcher URLDownload URLDownloadSubmit URLEncode URLExecute URLExpand URLFetch URLFetchAsynchronous URLParse URLQueryDecode URLQueryEncode URLRead URLResponseTime URLSave URLSaveAsynchronous URLShorten URLSubmit UseGraphicsRange UserDefinedWavelet Using UsingFrontEnd UtilityFunctionV2Get ValenceErrorHandling ValidationLength ValidationSet Value ValueBox ValueBoxOptions ValueDimensions ValueForm ValuePreprocessingFunction ValueQ Values ValuesData Variables Variance VarianceEquivalenceTest VarianceEstimatorFunction VarianceGammaDistribution VarianceTest VectorAngle VectorAround VectorColorFunction VectorColorFunctionScaling VectorDensityPlot VectorGlyphData VectorGreater VectorGreaterEqual VectorLess VectorLessEqual VectorMarkers VectorPlot VectorPlot3D VectorPoints VectorQ Vectors VectorScale VectorStyle Vee Verbatim Verbose VerboseConvertToPostScriptPacket VerificationTest VerifyConvergence VerifyDerivedKey VerifyDigitalSignature VerifyInterpretation VerifySecurityCertificates VerifySolutions VerifyTestAssumptions Version VersionNumber VertexAdd VertexCapacity VertexColors VertexComponent VertexConnectivity VertexContract VertexCoordinateRules VertexCoordinates VertexCorrelationSimilarity VertexCosineSimilarity VertexCount VertexCoverQ VertexDataCoordinates VertexDegree VertexDelete VertexDiceSimilarity VertexEccentricity VertexInComponent VertexInDegree VertexIndex VertexJaccardSimilarity VertexLabeling VertexLabels VertexLabelStyle VertexList VertexNormals VertexOutComponent VertexOutDegree VertexQ VertexRenderingFunction VertexReplace VertexShape VertexShapeFunction VertexSize VertexStyle VertexTextureCoordinates VertexWeight VertexWeightedGraphQ Vertical VerticalBar VerticalForm VerticalGauge VerticalSeparator VerticalSlider VerticalTilde ViewAngle ViewCenter ViewMatrix ViewPoint ViewPointSelectorSettings ViewPort ViewProjection ViewRange ViewVector ViewVertical VirtualGroupData Visible VisibleCell VoiceStyleData VoigtDistribution VolcanoData Volume VonMisesDistribution VoronoiMeshWaitAll WaitAsynchronousTask WaitNext WaitUntil WakebyDistribution WalleniusHypergeometricDistribution WaringYuleDistribution WarpingCorrespondence WarpingDistance WatershedComponents WatsonUSquareTest WattsStrogatzGraphDistribution WaveletBestBasis WaveletFilterCoefficients WaveletImagePlot WaveletListPlot WaveletMapIndexed WaveletMatrixPlot WaveletPhi WaveletPsi WaveletScale WaveletScalogram WaveletThreshold WeaklyConnectedComponents WeaklyConnectedGraphComponents WeaklyConnectedGraphQ WeakStationarity WeatherData WeatherForecastData WebAudioSearch WebElementObject WeberE WebExecute WebImage WebImageSearch WebSearch WebSessionObject WebSessions WebWindowObject Wedge Wednesday WeibullDistribution WeierstrassE1 WeierstrassE2 WeierstrassE3 WeierstrassEta1 WeierstrassEta2 WeierstrassEta3 WeierstrassHalfPeriods WeierstrassHalfPeriodW1 WeierstrassHalfPeriodW2 WeierstrassHalfPeriodW3 WeierstrassInvariantG2 WeierstrassInvariantG3 WeierstrassInvariants WeierstrassP WeierstrassPPrime WeierstrassSigma WeierstrassZeta WeightedAdjacencyGraph WeightedAdjacencyMatrix WeightedData WeightedGraphQ Weights WelchWindow WheelGraph WhenEvent Which While White WhiteNoiseProcess WhitePoint Whitespace WhitespaceCharacter WhittakerM WhittakerW WienerFilter WienerProcess WignerD WignerSemicircleDistribution WikipediaData WikipediaSearch WilksW WilksWTest WindDirectionData WindingCount WindingPolygon WindowClickSelect WindowElements WindowFloating WindowFrame WindowFrameElements WindowMargins WindowMovable WindowOpacity WindowPersistentStyles WindowSelected WindowSize WindowStatusArea WindowTitle WindowToolbars WindowWidth WindSpeedData WindVectorData WinsorizedMean WinsorizedVariance WishartMatrixDistribution With WolframAlpha WolframAlphaDate WolframAlphaQuantity WolframAlphaResult WolframLanguageData Word WordBoundary WordCharacter WordCloud WordCount WordCounts WordData WordDefinition WordFrequency WordFrequencyData WordList WordOrientation WordSearch WordSelectionFunction WordSeparators WordSpacings WordStem WordTranslation WorkingPrecision WrapAround Write WriteLine WriteString WronskianXMLElement XMLObject XMLTemplate Xnor Xor XYZColorYellow Yesterday YuleDissimilarityZernikeR ZeroSymmetric ZeroTest ZeroWidthTimes Zeta ZetaZero ZIPCodeData ZipfDistribution ZoomCenter ZoomFactor ZTest ZTransform$Aborted $ActivationGroupID $ActivationKey $ActivationUserRegistered $AddOnsDirectory $AllowExternalChannelFunctions $AssertFunction $Assumptions $AsynchronousTask $AudioInputDevices $AudioOutputDevices $BaseDirectory $BatchInput $BatchOutput $BlockchainBase $BoxForms $ByteOrdering $CacheBaseDirectory $Canceled $ChannelBase $CharacterEncoding $CharacterEncodings $CloudBase $CloudConnected $CloudCreditsAvailable $CloudEvaluation $CloudExpressionBase $CloudObjectNameFormat $CloudObjectURLType $CloudRootDirectory $CloudSymbolBase $CloudUserID $CloudUserUUID $CloudVersion $CloudVersionNumber $CloudWolframEngineVersionNumber $CommandLine $CompilationTarget $ConditionHold $ConfiguredKernels $Context $ContextPath $ControlActiveSetting $Cookies $CookieStore $CreationDate $CurrentLink $CurrentTask $CurrentWebSession $DateStringFormat $DefaultAudioInputDevice $DefaultAudioOutputDevice $DefaultFont $DefaultFrontEnd $DefaultImagingDevice $DefaultLocalBase $DefaultMailbox $DefaultNetworkInterface $DefaultPath $Display $DisplayFunction $DistributedContexts $DynamicEvaluation $Echo $EmbedCodeEnvironments $EmbeddableServices $EntityStores $Epilog $EvaluationCloudBase $EvaluationCloudObject $EvaluationEnvironment $ExportFormats $Failed $FinancialDataSource $FontFamilies $FormatType $FrontEnd $FrontEndSession $GeoEntityTypes $GeoLocation $GeoLocationCity $GeoLocationCountry $GeoLocationPrecision $GeoLocationSource $HistoryLength $HomeDirectory $HTMLExportRules $HTTPCookies $HTTPRequest $IgnoreEOF $ImageFormattingWidth $ImagingDevice $ImagingDevices $ImportFormats $IncomingMailSettings $InitialDirectory $Initialization $InitializationContexts $Input $InputFileName $InputStreamMethods $Inspector $InstallationDate $InstallationDirectory $InterfaceEnvironment $InterpreterTypes $IterationLimit $KernelCount $KernelID $Language $LaunchDirectory $LibraryPath $LicenseExpirationDate $LicenseID $LicenseProcesses $LicenseServer $LicenseSubprocesses $LicenseType $Line $Linked $LinkSupported $LoadedFiles $LocalBase $LocalSymbolBase $MachineAddresses $MachineDomain $MachineDomains $MachineEpsilon $MachineID $MachineName $MachinePrecision $MachineType $MaxExtraPrecision $MaxLicenseProcesses $MaxLicenseSubprocesses $MaxMachineNumber $MaxNumber $MaxPiecewiseCases $MaxPrecision $MaxRootDegree $MessageGroups $MessageList $MessagePrePrint $Messages $MinMachineNumber $MinNumber $MinorReleaseNumber $MinPrecision $MobilePhone $ModuleNumber $NetworkConnected $NetworkInterfaces $NetworkLicense $NewMessage $NewSymbol $Notebooks $NoValue $NumberMarks $Off $OperatingSystem $Output $OutputForms $OutputSizeLimit $OutputStreamMethods $Packages $ParentLink $ParentProcessID $PasswordFile $PatchLevelID $Path $PathnameSeparator $PerformanceGoal $Permissions $PermissionsGroupBase $PersistenceBase $PersistencePath $PipeSupported $PlotTheme $Post $Pre $PreferencesDirectory $PreInitialization $PrePrint $PreRead $PrintForms $PrintLiteral $Printout3DPreviewer $ProcessID $ProcessorCount $ProcessorType $ProductInformation $ProgramName $PublisherID $RandomState $RecursionLimit $RegisteredDeviceClasses $RegisteredUserName $ReleaseNumber $RequesterAddress $RequesterWolframID $RequesterWolframUUID $ResourceSystemBase $RootDirectory $ScheduledTask $ScriptCommandLine $ScriptInputString $SecuredAuthenticationKeyTokens $ServiceCreditsAvailable $Services $SessionID $SetParentLink $SharedFunctions $SharedVariables $SoundDisplay $SoundDisplayFunction $SourceLink $SSHAuthentication $SummaryBoxDataSizeLimit $SuppressInputFormHeads $SynchronousEvaluation $SyntaxHandler $System $SystemCharacterEncoding $SystemID $SystemMemory $SystemShell $SystemTimeZone $SystemWordLength $TemplatePath $TemporaryDirectory $TemporaryPrefix $TestFileName $TextStyle $TimedOut $TimeUnit $TimeZone $TimeZoneEntity $TopDirectory $TraceOff $TraceOn $TracePattern $TracePostAction $TracePreAction $UnitSystem $Urgent $UserAddOnsDirectory $UserAgentLanguages $UserAgentMachine $UserAgentName $UserAgentOperatingSystem $UserAgentString $UserAgentVersion $UserBaseDirectory $UserDocumentsDirectory $Username $UserName $UserURLBase $Version $VersionNumber $VoiceStyles $WolframID $WolframUUID\",c:[e.C(\"\\\\(\\\\*\",\"\\\\*\\\\)\",{c:[\"self\"]}),e.QSM,e.CNM]}});hljs.registerLanguage(\"vim\",function(e){return{l:/[!#@\\w]+/,k:{keyword:\"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank\",built_in:\"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp\"},i:/;/,c:[e.NM,{cN:\"string\",b:\"'\",e:\"'\",i:\"\\\\n\"},{cN:\"string\",b:/\"(\\\\\"|\\n\\\\|[^\"\\n])*\"/},e.C('\"',\"$\"),{cN:\"variable\",b:/[bwtglsav]:[\\w\\d_]*/},{cN:\"function\",bK:\"function function!\",e:\"$\",relevance:0,c:[e.TM,{cN:\"params\",b:\"\\\\(\",e:\"\\\\)\"}]},{cN:\"symbol\",b:/<[\\w-]+>/}]}});hljs.registerLanguage(\"makefile\",function(e){var i={cN:\"variable\",v:[{b:\"\\\\$\\\\(\"+e.UIR+\"\\\\)\",c:[e.BE]},{b:/\\$[@%<?\\^\\+\\*]/}]},r={cN:\"string\",b:/\"/,e:/\"/,c:[e.BE,i]},a={cN:\"variable\",b:/\\$\\([\\w-]+\\s/,e:/\\)/,k:{built_in:\"subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value\"},c:[i]},n={b:\"^\"+e.UIR+\"\\\\s*(?=[:+?]?=)\"},t={cN:\"section\",b:/^[^\\s]+:/,e:/$/,c:[i]};return{aliases:[\"mk\",\"mak\"],k:\"define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath\",l:/[\\w-]+/,c:[e.HCM,i,r,a,n,{cN:\"meta\",b:/^\\.PHONY:/,e:/$/,k:{\"meta-keyword\":\".PHONY\"},l:/[\\.\\w]+/},t]}});hljs.registerLanguage(\"objectivec\",function(e){var t=/[a-zA-Z@][a-zA-Z0-9_]*/,i=\"@interface @class @protocol @implementation\";return{aliases:[\"mm\",\"objc\",\"obj-c\"],k:{keyword:\"int float while char export sizeof typedef const struct for union unsigned long volatile static bool mutable if do return goto void enum else break extern asm case short default double register explicit signed typename this switch continue wchar_t inline readonly assign readwrite self @synchronized id typeof nonatomic super unichar IBOutlet IBAction strong weak copy in out inout bycopy byref oneway __strong __weak __block __autoreleasing @private @protected @public @try @property @end @throw @catch @finally @autoreleasepool @synthesize @dynamic @selector @optional @required @encode @package @import @defs @compatibility_alias __bridge __bridge_transfer __bridge_retained __bridge_retain __covariant __contravariant __kindof _Nonnull _Nullable _Null_unspecified __FUNCTION__ __PRETTY_FUNCTION__ __attribute__ getter setter retain unsafe_unretained nonnull nullable null_unspecified null_resettable class instancetype NS_DESIGNATED_INITIALIZER NS_UNAVAILABLE NS_REQUIRES_SUPER NS_RETURNS_INNER_POINTER NS_INLINE NS_AVAILABLE NS_DEPRECATED NS_ENUM NS_OPTIONS NS_SWIFT_UNAVAILABLE NS_ASSUME_NONNULL_BEGIN NS_ASSUME_NONNULL_END NS_REFINED_FOR_SWIFT NS_SWIFT_NAME NS_SWIFT_NOTHROW NS_DURING NS_HANDLER NS_ENDHANDLER NS_VALUERETURN NS_VOIDRETURN\",literal:\"false true FALSE TRUE nil YES NO NULL\",built_in:\"BOOL dispatch_once_t dispatch_queue_t dispatch_sync dispatch_async dispatch_once\"},l:t,i:\"</\",c:[{cN:\"built_in\",b:\"\\\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\\\w+\"},e.CLCM,e.CBCM,e.CNM,e.QSM,e.ASM,{cN:\"string\",v:[{b:'@\"',e:'\"',i:\"\\\\n\",c:[e.BE]}]},{cN:\"meta\",b:/#\\s*[a-z]+\\b/,e:/$/,k:{\"meta-keyword\":\"if else elif endif define undef warning error line pragma ifdef ifndef include\"},c:[{b:/\\\\\\n/,relevance:0},e.inherit(e.QSM,{cN:\"meta-string\"}),{cN:\"meta-string\",b:/<.*?>/,e:/$/,i:\"\\\\n\"},e.CLCM,e.CBCM]},{cN:\"class\",b:\"(\"+i.split(\" \").join(\"|\")+\")\\\\b\",e:\"({|$)\",eE:!0,k:i,l:t,c:[e.UTM]},{b:\"\\\\.\"+e.UIR,relevance:0}]}});hljs.registerLanguage(\"shell\",function(s){return{aliases:[\"console\"],c:[{cN:\"meta\",b:\"^\\\\s{0,3}[/\\\\w\\\\d\\\\[\\\\]()@-]*[>%$#]\",starts:{e:\"$\",sL:\"bash\"}}]}});hljs.registerLanguage(\"erlang\",function(e){var r=\"[a-z'][a-zA-Z0-9_']*\",c=\"(\"+r+\":\"+r+\"|\"+r+\")\",n={keyword:\"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor\",literal:\"false true\"},a=e.C(\"%\",\"$\"),b={cN:\"number\",b:\"\\\\b(\\\\d+#[a-fA-F0-9]+|\\\\d+(\\\\.\\\\d+)?([eE][-+]?\\\\d+)?)\",relevance:0},i={b:\"fun\\\\s+\"+r+\"/\\\\d+\"},l={b:c+\"\\\\(\",e:\"\\\\)\",rB:!0,relevance:0,c:[{b:c,relevance:0},{b:\"\\\\(\",e:\"\\\\)\",eW:!0,rE:!0,relevance:0}]},d={b:\"{\",e:\"}\",relevance:0},o={b:\"\\\\b_([A-Z][A-Za-z0-9_]*)?\",relevance:0},t={b:\"[A-Z][a-zA-Z0-9_]*\",relevance:0},v={b:\"#\"+e.UIR,relevance:0,rB:!0,c:[{b:\"#\"+e.UIR,relevance:0},{b:\"{\",e:\"}\",relevance:0}]},f={bK:\"fun receive if try case\",e:\"end\",k:n};f.c=[a,i,e.inherit(e.ASM,{cN:\"\"}),f,l,e.QSM,b,d,o,t,v];var s=[a,i,f,l,e.QSM,b,d,o,t,v];l.c[1].c=s,d.c=s;var u={cN:\"params\",b:\"\\\\(\",e:\"\\\\)\",c:v.c[1].c=s};return{aliases:[\"erl\"],k:n,i:\"(</|\\\\*=|\\\\+=|-=|/\\\\*|\\\\*/|\\\\(\\\\*|\\\\*\\\\))\",c:[{cN:\"function\",b:\"^\"+r+\"\\\\s*\\\\(\",e:\"->\",rB:!0,i:\"\\\\(|#|//|/\\\\*|\\\\\\\\|:|;\",c:[u,e.inherit(e.TM,{b:r})],starts:{e:\";|\\\\.\",k:n,c:s}},a,{b:\"^-\",e:\"\\\\.\",relevance:0,eE:!0,rB:!0,l:\"-\"+e.IR,k:\"-module -record -undef -export -ifdef -ifndef -author -copyright -doc -vsn -import -include -include_lib -compile -define -else -endif -file -behaviour -behavior -spec\",c:[u]},b,e.QSM,v,o,t,d,{b:/\\.$/}]}});hljs.registerLanguage(\"powershell\",function(e){var t={keyword:\"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter\"},n={b:\"`[\\\\s\\\\S]\",relevance:0},c={cN:\"variable\",v:[{b:/\\$\\B/},{cN:\"keyword\",b:/\\$this/},{b:/\\$[\\w\\d][\\w\\d_:]*/}]},i={cN:\"string\",v:[{b:/\"/,e:/\"/},{b:/@\"/,e:/^\"@/}],c:[n,c,{cN:\"variable\",b:/\\$[A-z]/,e:/[^A-z]/}]},a={cN:\"string\",v:[{b:/'/,e:/'/},{b:/@'/,e:/^'@/}]},r=e.inherit(e.C(null,null),{v:[{b:/#/,e:/$/},{b:/<#/,e:/#>/}],c:[{cN:\"doctag\",v:[{b:/\\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{b:/\\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\\s+\\S+/}]}]}),o={cN:\"built_in\",v:[{b:\"(\".concat(\"Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|New|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Complete|Confirm|Deny|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where\",\")+(-)[\\\\w\\\\d]+\")}]},l={cN:\"class\",bK:\"class enum\",e:/\\s*[{]/,eE:!0,relevance:0,c:[e.TM]},s={cN:\"function\",b:/function\\s+/,e:/\\s*\\{|$/,eE:!0,rB:!0,relevance:0,c:[{b:\"function\",relevance:0,cN:\"keyword\"},{cN:\"title\",b:/\\w[\\w\\d]*((-)[\\w\\d]+)*/,relevance:0},{b:/\\(/,e:/\\)/,cN:\"params\",relevance:0,c:[c]}]},p={b:/using\\s/,e:/$/,rB:!0,c:[i,a,{cN:\"keyword\",b:/(using|assembly|command|module|namespace|type)/}]},b={v:[{cN:\"operator\",b:\"(\".concat(\"-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor\",\")\\\\b\")},{cN:\"literal\",b:/(-)[\\w\\d]+/,relevance:0}]},d={cN:\"function\",b:/\\[.*\\]\\s*[\\w]+[ ]??\\(/,e:/$/,rB:!0,relevance:0,c:[{cN:\"keyword\",b:\"(\".concat(t.keyword.toString().replace(/\\s/g,\"|\"),\")\\\\b\"),endsParent:!0,relevance:0},e.inherit(e.TM,{endsParent:!0})]},u=[d,r,n,e.NM,i,a,o,c,{cN:\"literal\",b:/\\$(null|true|false)\\b/},{cN:\"selector-tag\",b:/\\@\\B/,relevance:0}],m={b:/\\[/,e:/\\]/,eB:!0,eE:!0,relevance:0,c:[].concat(\"self\",u,{b:\"(\"+[\"string\",\"char\",\"byte\",\"int\",\"long\",\"bool\",\"decimal\",\"single\",\"double\",\"DateTime\",\"xml\",\"array\",\"hashtable\",\"void\"].join(\"|\")+\")\",cN:\"built_in\",relevance:0},{cN:\"type\",b:/[\\.\\w\\d]+/,relevance:0})};return d.c.unshift(m),{aliases:[\"ps\",\"ps1\"],l:/-?[A-z\\.\\-]+/,cI:!0,k:t,c:u.concat(l,s,p,b,m)}});hljs.registerLanguage(\"typescript\",function(e){var r=\"[A-Za-z$_][0-9A-Za-z$_]*\",t={keyword:\"in if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const class public private protected get set super static implements enum export import declare type namespace abstract as from extends async await\",literal:\"true false null undefined NaN Infinity\",built_in:\"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document any number boolean string void Promise\"},n={cN:\"meta\",b:\"@\"+r},a={b:\"\\\\(\",e:/\\)/,k:t,c:[\"self\",e.QSM,e.ASM,e.NM]},c={cN:\"params\",b:/\\(/,e:/\\)/,eB:!0,eE:!0,k:t,c:[e.CLCM,e.CBCM,n,a]},s={cN:\"number\",v:[{b:\"\\\\b(0[bB][01]+)n?\"},{b:\"\\\\b(0[oO][0-7]+)n?\"},{b:e.CNR+\"n?\"}],relevance:0},o={cN:\"subst\",b:\"\\\\$\\\\{\",e:\"\\\\}\",k:t,c:[]},i={b:\"html`\",e:\"\",starts:{e:\"`\",rE:!1,c:[e.BE,o],sL:\"xml\"}},l={b:\"css`\",e:\"\",starts:{e:\"`\",rE:!1,c:[e.BE,o],sL:\"css\"}},b={cN:\"string\",b:\"`\",e:\"`\",c:[e.BE,o]};return o.c=[e.ASM,e.QSM,i,l,b,s,e.RM],{aliases:[\"ts\"],k:t,c:[{cN:\"meta\",b:/^\\s*['\"]use strict['\"]/},e.ASM,e.QSM,i,l,b,e.CLCM,e.CBCM,s,{b:\"(\"+e.RSR+\"|\\\\b(case|return|throw)\\\\b)\\\\s*\",k:\"return throw case\",c:[e.CLCM,e.CBCM,e.RM,{cN:\"function\",b:\"(\\\\(.*?\\\\)|\"+e.IR+\")\\\\s*=>\",rB:!0,e:\"\\\\s*=>\",c:[{cN:\"params\",v:[{b:e.IR},{b:/\\(\\s*\\)/},{b:/\\(/,e:/\\)/,eB:!0,eE:!0,k:t,c:[\"self\",e.CLCM,e.CBCM]}]}]}],relevance:0},{cN:\"function\",bK:\"function\",e:/[\\{;]/,eE:!0,k:t,c:[\"self\",e.inherit(e.TM,{b:r}),c],i:/%/,relevance:0},{bK:\"constructor\",e:/[\\{;]/,eE:!0,c:[\"self\",c]},{b:/module\\./,k:{built_in:\"module\"},relevance:0},{bK:\"module\",e:/\\{/,eE:!0},{bK:\"interface\",e:/\\{/,eE:!0,k:\"interface extends\"},{b:/\\$[(.]/},{b:\"\\\\.\"+e.IR,relevance:0},n,a]}});hljs.registerLanguage(\"fortran\",function(e){return{cI:!0,aliases:[\"f90\",\"f95\"],k:{literal:\".False. .True.\",keyword:\"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then block endblock public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data\",built_in:\"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_ofacosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image\"},i:/\\/\\*/,c:[e.inherit(e.ASM,{cN:\"string\",relevance:0}),e.inherit(e.QSM,{cN:\"string\",relevance:0}),{cN:\"function\",bK:\"subroutine function program\",i:\"[${=\\\\n]\",c:[e.UTM,{cN:\"params\",b:\"\\\\(\",e:\"\\\\)\"}]},e.C(\"!\",\"$\",{relevance:0}),{cN:\"number\",b:\"(?=\\\\b|\\\\+|\\\\-|\\\\.)(?=\\\\.\\\\d|\\\\d)(?:\\\\d+)?(?:\\\\.?\\\\d*)(?:[de][+-]?\\\\d+)?\\\\b\\\\.?\",relevance:0}]}});hljs.registerLanguage(\"php\",function(e){var c={b:\"\\\\$+[a-zA-Z_-ÿ][a-zA-Z0-9_-ÿ]*\"},i={cN:\"meta\",b:/<\\?(php)?|\\?>/},t={cN:\"string\",c:[e.BE,i],v:[{b:'b\"',e:'\"'},{b:\"b'\",e:\"'\"},e.inherit(e.ASM,{i:null}),e.inherit(e.QSM,{i:null})]},a={v:[e.BNM,e.CNM]};return{aliases:[\"php\",\"php3\",\"php4\",\"php5\",\"php6\",\"php7\"],cI:!0,k:\"and include_once list abstract global private echo interface as static endswitch array null if endwhile or const for endforeach self var while isset public protected exit foreach throw elseif include __FILE__ empty require_once do xor return parent clone use __CLASS__ __LINE__ else break print eval new catch __METHOD__ case exception default die require __FUNCTION__ enddeclare final try switch continue endfor endif declare unset true false trait goto instanceof insteadof __DIR__ __NAMESPACE__ yield finally\",c:[e.HCM,e.C(\"//\",\"$\",{c:[i]}),e.C(\"/\\\\*\",\"\\\\*/\",{c:[{cN:\"doctag\",b:\"@[A-Za-z]+\"}]}),e.C(\"__halt_compiler.+?;\",!1,{eW:!0,k:\"__halt_compiler\",l:e.UIR}),{cN:\"string\",b:/<<<['\"]?\\w+['\"]?$/,e:/^\\w+;?$/,c:[e.BE,{cN:\"subst\",v:[{b:/\\$\\w+/},{b:/\\{\\$/,e:/\\}/}]}]},i,{cN:\"keyword\",b:/\\$this\\b/},c,{b:/(::|->)+[a-zA-Z_\\x7f-\\xff][a-zA-Z0-9_\\x7f-\\xff]*/},{cN:\"function\",bK:\"function\",e:/[;{]/,eE:!0,i:\"\\\\$|\\\\[|%\",c:[e.UTM,{cN:\"params\",b:\"\\\\(\",e:\"\\\\)\",c:[\"self\",c,e.CBCM,t,a]}]},{cN:\"class\",bK:\"class interface\",e:\"{\",eE:!0,i:/[:\\(\\$\"]/,c:[{bK:\"extends implements\"},e.UTM]},{bK:\"namespace\",e:\";\",i:/[\\.']/,c:[e.UTM]},{bK:\"use\",e:\";\",c:[e.UTM]},{b:\"=>\"},t,a]}});hljs.registerLanguage(\"haskell\",function(e){var i={v:[e.C(\"--\",\"$\"),e.C(\"{-\",\"-}\",{c:[\"self\"]})]},a={cN:\"meta\",b:\"{-#\",e:\"#-}\"},l={cN:\"meta\",b:\"^#\",e:\"$\"},c={cN:\"type\",b:\"\\\\b[A-Z][\\\\w']*\",relevance:0},n={b:\"\\\\(\",e:\"\\\\)\",i:'\"',c:[a,l,{cN:\"type\",b:\"\\\\b[A-Z][\\\\w]*(\\\\((\\\\.\\\\.|,|\\\\w+)\\\\))?\"},e.inherit(e.TM,{b:\"[_a-z][\\\\w']*\"}),i]};return{aliases:[\"hs\"],k:\"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec\",c:[{bK:\"module\",e:\"where\",k:\"module where\",c:[n,i],i:\"\\\\W\\\\.|;\"},{b:\"\\\\bimport\\\\b\",e:\"$\",k:\"import qualified as hiding\",c:[n,i],i:\"\\\\W\\\\.|;\"},{cN:\"class\",b:\"^(\\\\s*)?(class|instance)\\\\b\",e:\"where\",k:\"class family instance where\",c:[c,n,i]},{cN:\"class\",b:\"\\\\b(data|(new)?type)\\\\b\",e:\"$\",k:\"data family type newtype deriving\",c:[a,c,n,{b:\"{\",e:\"}\",c:n.c},i]},{bK:\"default\",e:\"$\",c:[c,n,i]},{bK:\"infix infixl infixr\",e:\"$\",c:[e.CNM,i]},{b:\"\\\\bforeign\\\\b\",e:\"$\",k:\"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe\",c:[c,e.QSM,i]},{cN:\"meta\",b:\"#!\\\\/usr\\\\/bin\\\\/env runhaskell\",e:\"$\"},a,l,e.QSM,e.CNM,c,e.inherit(e.TM,{b:\"^[_a-z][\\\\w']*\"}),i,{b:\"->|<-\"}]}});hljs.registerLanguage(\"coffeescript\",function(e){var c={keyword:\"in if for while finally new do return else break catch instanceof throw try this switch continue typeof delete debugger super yield import export from as default await then unless until loop of by when and or is isnt not\",literal:\"true false null undefined yes no on off\",built_in:\"npm require console print module global window document\"},n=\"[A-Za-z$_][0-9A-Za-z$_]*\",r={cN:\"subst\",b:/#\\{/,e:/}/,k:c},i=[e.BNM,e.inherit(e.CNM,{starts:{e:\"(\\\\s*/)?\",relevance:0}}),{cN:\"string\",v:[{b:/'''/,e:/'''/,c:[e.BE]},{b:/'/,e:/'/,c:[e.BE]},{b:/\"\"\"/,e:/\"\"\"/,c:[e.BE,r]},{b:/\"/,e:/\"/,c:[e.BE,r]}]},{cN:\"regexp\",v:[{b:\"///\",e:\"///\",c:[r,e.HCM]},{b:\"//[gim]{0,3}(?=\\\\W)\",relevance:0},{b:/\\/(?![ *]).*?(?![\\\\]).\\/[gim]{0,3}(?=\\W)/}]},{b:\"@\"+n},{sL:\"javascript\",eB:!0,eE:!0,v:[{b:\"```\",e:\"```\"},{b:\"`\",e:\"`\"}]}];r.c=i;var s=e.inherit(e.TM,{b:n}),t=\"(\\\\(.*\\\\))?\\\\s*\\\\B[-=]>\",a={cN:\"params\",b:\"\\\\([^\\\\(]\",rB:!0,c:[{b:/\\(/,e:/\\)/,k:c,c:[\"self\"].concat(i)}]};return{aliases:[\"coffee\",\"cson\",\"iced\"],k:c,i:/\\/\\*/,c:i.concat([e.C(\"###\",\"###\"),e.HCM,{cN:\"function\",b:\"^\\\\s*\"+n+\"\\\\s*=\\\\s*\"+t,e:\"[-=]>\",rB:!0,c:[s,a]},{b:/[:\\(,=]\\s*/,relevance:0,c:[{cN:\"function\",b:t,e:\"[-=]>\",rB:!0,c:[a]}]},{cN:\"class\",bK:\"class\",e:\"$\",i:/[:=\"\\[\\]]/,c:[{bK:\"extends\",eW:!0,i:/[:=\"\\[\\]]/,c:[s]},s]},{b:n+\":\",e:\":\",rB:!0,rE:!0,relevance:0}])}});hljs.registerLanguage(\"r\",function(e){var r=\"([a-zA-Z]|\\\\.[a-zA-Z.])[a-zA-Z0-9._]*\";return{c:[e.HCM,{b:r,l:r,k:{keyword:\"function if in break next repeat else for return switch while try tryCatch stop warning require library attach detach source setMethod setGeneric setGroupGeneric setClass ...\",literal:\"NULL NA TRUE FALSE T F Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10\"},relevance:0},{cN:\"number\",b:\"0[xX][0-9a-fA-F]+[Li]?\\\\b\",relevance:0},{cN:\"number\",b:\"\\\\d+(?:[eE][+\\\\-]?\\\\d*)?L\\\\b\",relevance:0},{cN:\"number\",b:\"\\\\d+\\\\.(?!\\\\d)(?:i\\\\b)?\",relevance:0},{cN:\"number\",b:\"\\\\d+(?:\\\\.\\\\d*)?(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",relevance:0},{cN:\"number\",b:\"\\\\.\\\\d+(?:[eE][+\\\\-]?\\\\d*)?i?\\\\b\",relevance:0},{b:\"`\",e:\"`\",relevance:0},{cN:\"string\",c:[e.BE],v:[{b:'\"',e:'\"'},{b:\"'\",e:\"'\"}]}]}});hljs.registerLanguage(\"autohotkey\",function(e){var a={b:\"`[\\\\s\\\\S]\"};return{cI:!0,aliases:[\"ahk\"],k:{keyword:\"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group\",literal:\"true false NOT AND OR\",built_in:\"ComSpec Clipboard ClipboardAll ErrorLevel\"},c:[a,e.inherit(e.QSM,{c:[a]}),e.C(\";\",\"$\",{relevance:0}),e.CBCM,{cN:\"number\",b:e.NR,relevance:0},{cN:\"variable\",b:\"%[a-zA-Z0-9#_$@]+%\"},{cN:\"built_in\",b:\"^\\\\s*\\\\w+\\\\s*(,|%)\"},{cN:\"title\",v:[{b:'^[^\\\\n\";]+::(?!=)'},{b:'^[^\\\\n\";]+:(?!=)',relevance:0}]},{cN:\"meta\",b:\"^\\\\s*#\\\\w+\",e:\"$\",relevance:0},{cN:\"built_in\",b:\"A_[a-zA-Z0-9]+\"},{b:\",\\\\s*,\"}]}});hljs.registerLanguage(\"elixir\",function(e){var b=\"[a-zA-Z_][a-zA-Z0-9_.]*(\\\\!|\\\\?)?\",c=\"and false then defined module in return redo retry end for true self when next until do begin unless nil break not case cond alias while ensure or include use alias fn quote require import with|0\",n={cN:\"subst\",b:\"#\\\\{\",e:\"}\",l:b,k:c},r=\"[/|([{<\\\"']\",a={cN:\"string\",b:\"~[a-z](?=\"+r+\")\",c:[{endsParent:!0,c:[{c:[e.BE,n],v:[{b:/\"/,e:/\"/},{b:/'/,e:/'/},{b:/\\//,e:/\\//},{b:/\\|/,e:/\\|/},{b:/\\(/,e:/\\)/},{b:/\\[/,e:/\\]/},{b:/\\{/,e:/\\}/},{b:/</,e:/>/}]}]}]},i={cN:\"string\",b:\"~[A-Z](?=\"+r+\")\",c:[{b:/\"/,e:/\"/},{b:/'/,e:/'/},{b:/\\//,e:/\\//},{b:/\\|/,e:/\\|/},{b:/\\(/,e:/\\)/},{b:/\\[/,e:/\\]/},{b:/\\{/,e:/\\}/},{b:/\\</,e:/\\>/}]},l={cN:\"string\",c:[e.BE,n],v:[{b:/\"\"\"/,e:/\"\"\"/},{b:/'''/,e:/'''/},{b:/~S\"\"\"/,e:/\"\"\"/,c:[]},{b:/~S\"/,e:/\"/,c:[]},{b:/~S'''/,e:/'''/,c:[]},{b:/~S'/,e:/'/,c:[]},{b:/'/,e:/'/},{b:/\"/,e:/\"/}]},s={cN:\"function\",bK:\"def defp defmacro\",e:/\\B\\b/,c:[e.inherit(e.TM,{b:b,endsParent:!0})]},t=e.inherit(s,{cN:\"class\",bK:\"defimpl defmodule defprotocol defrecord\",e:/\\bdo\\b|$|;/}),d=[l,i,a,e.HCM,t,s,{b:\"::\"},{cN:\"symbol\",b:\":(?![\\\\s:])\",c:[l,{b:\"[a-zA-Z_]\\\\w*[!?=]?|[-+~]\\\\@|<<|>>|=~|===?|<=>|[<>]=?|\\\\*\\\\*|[-/+%^&*~`|]|\\\\[\\\\]=?\"}],relevance:0},{cN:\"symbol\",b:b+\":(?!:)\",relevance:0},{cN:\"number\",b:\"(\\\\b0o[0-7_]+)|(\\\\b0b[01_]+)|(\\\\b0x[0-9a-fA-F_]+)|(-?\\\\b[1-9][0-9_]*(.[0-9_]+([eE][-+]?[0-9]+)?)?)\",relevance:0},{cN:\"variable\",b:\"(\\\\$\\\\W)|((\\\\$|\\\\@\\\\@?)(\\\\w+))\"},{b:\"->\"},{b:\"(\"+e.RSR+\")\\\\s*\",c:[e.HCM,{cN:\"regexp\",i:\"\\\\n\",c:[e.BE,n],v:[{b:\"/\",e:\"/[a-z]*\"},{b:\"%r\\\\[\",e:\"\\\\][a-z]*\"}]}],relevance:0}];return{l:b,k:c,c:n.c=d}});hljs.registerLanguage(\"gradle\",function(e){return{cI:!0,k:{keyword:\"task project allprojects subprojects artifacts buildscript configurations dependencies repositories sourceSets description delete from into include exclude source classpath destinationDir includes options sourceCompatibility targetCompatibility group flatDir doLast doFirst flatten todir fromdir ant def abstract break case catch continue default do else extends final finally for if implements instanceof native new private protected public return static switch synchronized throw throws transient try volatile while strictfp package import false null super this true antlrtask checkstyle codenarc copy boolean byte char class double float int interface long short void compile runTime file fileTree abs any append asList asWritable call collect compareTo count div dump each eachByte eachFile eachLine every find findAll flatten getAt getErr getIn getOut getText grep immutable inject inspect intersect invokeMethods isCase join leftShift minus multiply newInputStream newOutputStream newPrintWriter newReader newWriter next plus pop power previous print println push putAt read readBytes readLines reverse reverseEach round size sort splitEachLine step subMap times toInteger toList tokenize upto waitForOrKill withPrintWriter withReader withStream withWriter withWriterAppend write writeLine\"},c:[e.CLCM,e.CBCM,e.ASM,e.QSM,e.NM,e.RM]}});hljs.registerLanguage(\"css\",function(e){var c={b:/(?:[A-Z\\_\\.\\-]+|--[a-zA-Z0-9_-]+)\\s*:/,rB:!0,e:\";\",eW:!0,c:[{cN:\"attribute\",b:/\\S/,e:\":\",eE:!0,starts:{eW:!0,eE:!0,c:[{b:/[\\w-]+\\(/,rB:!0,c:[{cN:\"built_in\",b:/[\\w-]+/},{b:/\\(/,e:/\\)/,c:[e.ASM,e.QSM,e.CSSNM]}]},e.CSSNM,e.QSM,e.ASM,e.CBCM,{cN:\"number\",b:\"#[0-9A-Fa-f]+\"},{cN:\"meta\",b:\"!important\"}]}}]};return{cI:!0,i:/[=\\/|'\\$]/,c:[e.CBCM,{cN:\"selector-id\",b:/#[A-Za-z0-9_-]+/},{cN:\"selector-class\",b:/\\.[A-Za-z0-9_-]+/},{cN:\"selector-attr\",b:/\\[/,e:/\\]/,i:\"$\",c:[e.ASM,e.QSM]},{cN:\"selector-pseudo\",b:/:(:)?[a-zA-Z0-9\\_\\-\\+\\(\\)\"'.]+/},{b:\"@(page|font-face)\",l:\"@[a-z-]+\",k:\"@page @font-face\"},{b:\"@\",e:\"[{;]\",i:/:/,rB:!0,c:[{cN:\"keyword\",b:/@\\-?\\w[\\w]*(\\-\\w+)*/},{b:/\\s/,eW:!0,eE:!0,relevance:0,k:\"and or not only\",c:[{b:/[a-z-]+:/,cN:\"attribute\"},e.ASM,e.QSM,e.CSSNM]}]},{cN:\"selector-tag\",b:\"[a-zA-Z-][a-zA-Z0-9_-]*\",relevance:0},{b:\"{\",e:\"}\",i:/\\S/,c:[e.CBCM,c]}]}});\n\nexports.hljs = hljs;\n",
"type": "application/javascript",
"title": "$:/plugins/tiddlywiki/highlight/highlight.js",
"module-type": "library"
},
"$:/plugins/tiddlywiki/highlight/highlight.css": {
"text": "/*\n\nOriginal highlight.js style (c) Ivan Sagalaev <maniac@softwaremaniacs.org>\n\n*/\n\n.hljs {\n display: block;\n overflow-x: auto;\n padding: 0.5em;\n background: #F0F0F0;\n}\n\n\n/* Base color: saturation 0; */\n\n.hljs,\n.hljs-subst {\n color: #444;\n}\n\n.hljs-comment {\n color: #888888;\n}\n\n.hljs-keyword,\n.hljs-attribute,\n.hljs-selector-tag,\n.hljs-meta-keyword,\n.hljs-doctag,\n.hljs-name {\n font-weight: bold;\n}\n\n\n/* User color: hue: 0 */\n\n.hljs-type,\n.hljs-string,\n.hljs-number,\n.hljs-selector-id,\n.hljs-selector-class,\n.hljs-quote,\n.hljs-template-tag,\n.hljs-deletion {\n color: #880000;\n}\n\n.hljs-title,\n.hljs-section {\n color: #880000;\n font-weight: bold;\n}\n\n.hljs-regexp,\n.hljs-symbol,\n.hljs-variable,\n.hljs-template-variable,\n.hljs-link,\n.hljs-selector-attr,\n.hljs-selector-pseudo {\n color: #BC6060;\n}\n\n\n/* Language color: hue: 90; */\n\n.hljs-literal {\n color: #78A960;\n}\n\n.hljs-built_in,\n.hljs-bullet,\n.hljs-code,\n.hljs-addition {\n color: #397300;\n}\n\n\n/* Meta color: hue: 200 */\n\n.hljs-meta {\n color: #1f7199;\n}\n\n.hljs-meta-string {\n color: #4d99bf;\n}\n\n\n/* Misc effects */\n\n.hljs-emphasis {\n font-style: italic;\n}\n\n.hljs-strong {\n font-weight: bold;\n}\n",
"type": "text/css",
"title": "$:/plugins/tiddlywiki/highlight/highlight.css",
"tags": "[[$:/tags/Stylesheet]]"
},
"$:/plugins/tiddlywiki/highlight/highlightblock.js": {
"title": "$:/plugins/tiddlywiki/highlight/highlightblock.js",
"text": "/*\\\ntitle: $:/plugins/tiddlywiki/highlight/highlightblock.js\ntype: application/javascript\nmodule-type: widget\n\nWraps up the fenced code blocks parser for highlight and use in TiddlyWiki5\n\n\\*/\n(function() {\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar TYPE_MAPPINGS_BASE = \"$:/config/HighlightPlugin/TypeMappings/\";\n\nvar CodeBlockWidget = require(\"$:/core/modules/widgets/codeblock.js\").codeblock;\n\nvar hljs = require(\"$:/plugins/tiddlywiki/highlight/highlight.js\");\n\nhljs.configure({tabReplace: \" \"});\t\n\nCodeBlockWidget.prototype.postRender = function() {\n\tvar domNode = this.domNodes[0],\n\t\tlanguage = this.language,\n\t\ttiddler = this.wiki.getTiddler(TYPE_MAPPINGS_BASE + language);\n\tif(tiddler) {\n\t\tlanguage = tiddler.fields.text || \"\";\n\t}\n\tif(language && hljs.getLanguage(language)) {\n\t\tdomNode.className = language.toLowerCase() + \" hljs\";\n\t\tif($tw.browser && !domNode.isTiddlyWikiFakeDom) {\n\t\t\thljs.highlightBlock(domNode);\t\t\t\n\t\t} else {\n\t\t\tvar text = domNode.textContent;\n\t\t\tdomNode.children[0].innerHTML = hljs.fixMarkup(hljs.highlight(language,text).value);\n\t\t\t// If we're using the fakedom then specially save the original raw text\n\t\t\tif(domNode.isTiddlyWikiFakeDom) {\n\t\t\t\tdomNode.children[0].textInnerHTML = text;\n\t\t\t}\n\t\t}\n\t}\t\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "widget"
},
"$:/plugins/tiddlywiki/highlight/howto": {
"title": "$:/plugins/tiddlywiki/highlight/howto",
"text": "! Supporting Additional Languages\n \nThe [[highlight.js|https://github.com/highlightjs/highlight.js]] project supports many languages. Only a subset of these languages are supported by the plugin. It is possible for users to change the set of languages supported by the plugin by following these steps:\n \n# Go to the highlight.js project [[download page|https://highlightjs.org/download/]], select the language definitions to include, and press the Download button to download a zip archive containing customised support files for a highlight.js syntax highlighting server.\n# Locate the `highlight.pack.js` file in the highlight plugin -- on a stock Debian 8 system running Tiddlywiki5 under node-js it is located at `/usr/local/lib/node_modules/tiddlywiki/plugins/tiddlywiki/highlight/files/highlight.pack.js`.\n# Replace the plugin `highlight.pack.js` file located in step 2 with the one from the downloaded archive obtained in step 1.\n# Restart the Tiddlywiki server.\n"
},
"$:/plugins/tiddlywiki/highlight/license": {
"title": "$:/plugins/tiddlywiki/highlight/license",
"type": "text/plain",
"text": "Copyright (c) 2006, Ivan Sagalaev\nAll rights reserved.\nRedistribution and use in source and binary forms, with or without\nmodification, are permitted provided that the following conditions are met:\n\n * Redistributions of source code must retain the above copyright\n notice, this list of conditions and the following disclaimer.\n * Redistributions in binary form must reproduce the above copyright\n notice, this list of conditions and the following disclaimer in the\n documentation and/or other materials provided with the distribution.\n * Neither the name of highlight.js nor the names of its contributors\n may be used to endorse or promote products derived from this software\n without specific prior written permission.\n\nTHIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' AND ANY\nEXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED\nWARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE\nDISCLAIMED. IN NO EVENT SHALL THE REGENTS AND CONTRIBUTORS BE LIABLE FOR ANY\nDIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES\n(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;\nLOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND\nON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT\n(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS\nSOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.\n"
},
"$:/plugins/tiddlywiki/highlight/readme": {
"title": "$:/plugins/tiddlywiki/highlight/readme",
"text": "This plugin provides syntax highlighting of code blocks using v9.18.1 of [[highlight.js|https://github.com/isagalaev/highlight.js]] from Ivan Sagalaev.\n\n! Usage\n\nWhen the plugin is installed it automatically applies highlighting to all codeblocks defined with triple backticks or with the CodeBlockWidget.\n\nThe language can optionally be specified after the opening triple braces:\n\n<$codeblock code=\"\"\"```css\n * { margin: 0; padding: 0; } /* micro reset */\n\nhtml { font-size: 62.5%; }\nbody { font-size: 14px; font-size: 1.4rem; } /* =14px */\nh1 { font-size: 24px; font-size: 2.4rem; } /* =24px */\n```\"\"\"/>\n\nIf no language is specified highlight.js will attempt to automatically detect the language.\n\n! Built-in Language Brushes\n\nThe plugin includes support for the following languages (referred to as \"brushes\" by highlight.js):\n\n* apache\n* arduino\n* arm assembly\n* asciidoc\n* autohotkey\n* awk\n* bash\n* cmake\n* coffeescript\n* cpp\n* cs\n* css\n* diff\n* dockerfile\n* erlang\n* elixir\n* fortran\n* go\n* gradle\n* haskell\n* html\n* http\n* ini\n* intel x86 assembly\n* java\n* javascript\n* json\n* kotlin\n* less\n* lua\n* makefile\n* markdown\n* mathematica\n* matlab\n* nginx\n* objectivec\n* perl\n* php\n* plaintext\n* powershell\n* properties\n* python\n* R\n* ruby\n* rust\n* scss\n* shell session\n* sql\n* swift\n* toml\n* typescript\n* vala\n* vim script\n* xml\n* yaml\n\nYou can also specify the language as a MIME content type (eg `text/html` or `text/css`). The mapping is accomplished via mapping tiddlers whose titles start with `$:/config/HighlightPlugin/TypeMappings/`.\n"
},
"$:/plugins/tiddlywiki/highlight/styles": {
"title": "$:/plugins/tiddlywiki/highlight/styles",
"tags": "[[$:/tags/Stylesheet]]",
"text": ".hljs {\n display: block;\n overflow-x: auto;\n padding: 0.5em;\n background: <<colour tiddler-editor-background>>;\n color: <<colour foreground>>;\n -webkit-text-size-adjust:none\n}\n\n.hljs-comment,\n.hljs-quote {\n color: #93a1a1;\n}\n\n/* Solarized Green */\n.hljs-keyword,\n.hljs-selector-tag,\n.hljs-addition {\n color: #859900;\n}\n\n/* Solarized Cyan */\n.hljs-number,\n.hljs-string,\n.hljs-meta .hljs-meta-string,\n.hljs-literal,\n.hljs-doctag,\n.hljs-regexp {\n color: #2aa198;\n}\n\n/* Solarized Blue */\n.hljs-title,\n.hljs-section,\n.hljs-name,\n.hljs-selector-id,\n.hljs-selector-class {\n color: #268bd2;\n}\n\n/* Solarized Yellow */\n.hljs-attribute,\n.hljs-attr,\n.hljs-variable,\n.hljs-template-variable,\n.hljs-class .hljs-title,\n.hljs-type {\n color: #b58900;\n}\n\n/* Solarized Orange */\n.hljs-symbol,\n.hljs-bullet,\n.hljs-subst,\n.hljs-meta,\n.hljs-meta .hljs-keyword,\n.hljs-selector-attr,\n.hljs-selector-pseudo,\n.hljs-link {\n color: #cb4b16;\n}\n\n/* Solarized Red */\n.hljs-built_in,\n.hljs-deletion {\n color: #dc322f;\n}\n\n.hljs-formula {\n background: #eee8d5;\n}\n\n.hljs-emphasis {\n font-style: italic;\n}\n\n.hljs-strong {\n font-weight: bold;\n}\n"
},
"$:/plugins/tiddlywiki/highlight/usage": {
"title": "$:/plugins/tiddlywiki/highlight/usage",
"text": "! Usage\n\nFenced code blocks can have a language specifier added to trigger highlighting in a specific language. Otherwise heuristics are used to detect the language.\n\n```\n ```js\n var a = b + c; // Highlighted as JavaScript\n ```\n```\n! Adding Themes\n\nYou can add themes from highlight.js by copying the CSS to a new tiddler and tagging it with [[$:/tags/Stylesheet]]. The available themes can be found on GitHub:\n\nhttps://github.com/isagalaev/highlight.js/tree/master/src/styles\n"
}
}
}
{
"tiddlers": {
"$:/plugins/tiddlywiki/katex/katex.min.css": {
"text": ".katex{font:normal 1.21em KaTeX_Main,Times New Roman,serif;line-height:1.2;text-indent:0;text-rendering:auto}.katex *{-ms-high-contrast-adjust:none!important}.katex .katex-version:after{content:\"0.10.2\"}.katex .katex-mathml{position:absolute;clip:rect(1px,1px,1px,1px);padding:0;border:0;height:1px;width:1px;overflow:hidden}.katex .katex-html>.newline{display:block}.katex .base{position:relative;white-space:nowrap;width:min-content}.katex .base,.katex .strut{display:inline-block}.katex .textbf{font-weight:700}.katex .textit{font-style:italic}.katex .textrm{font-family:KaTeX_Main}.katex .textsf{font-family:KaTeX_SansSerif}.katex .texttt{font-family:KaTeX_Typewriter}.katex .mathdefault{font-family:KaTeX_Math;font-style:italic}.katex .mathit{font-family:KaTeX_Main;font-style:italic}.katex .mathrm{font-style:normal}.katex .mathbf{font-family:KaTeX_Main;font-weight:700}.katex .boldsymbol{font-family:KaTeX_Math;font-weight:700;font-style:italic}.katex .amsrm,.katex .mathbb,.katex .textbb{font-family:KaTeX_AMS}.katex .mathcal{font-family:KaTeX_Caligraphic}.katex .mathfrak,.katex .textfrak{font-family:KaTeX_Fraktur}.katex .mathtt{font-family:KaTeX_Typewriter}.katex .mathscr,.katex .textscr{font-family:KaTeX_Script}.katex .mathsf,.katex .textsf{font-family:KaTeX_SansSerif}.katex .mathboldsf,.katex .textboldsf{font-family:KaTeX_SansSerif;font-weight:700}.katex .mathitsf,.katex .textitsf{font-family:KaTeX_SansSerif;font-style:italic}.katex .mainrm{font-family:KaTeX_Main;font-style:normal}.katex .vlist-t{display:inline-table;table-layout:fixed}.katex .vlist-r{display:table-row}.katex .vlist{display:table-cell;vertical-align:bottom;position:relative}.katex .vlist>span{display:block;height:0;position:relative}.katex .vlist>span>span{display:inline-block}.katex .vlist>span>.pstrut{overflow:hidden;width:0}.katex .vlist-t2{margin-right:-2px}.katex .vlist-s{display:table-cell;vertical-align:bottom;font-size:1px;width:2px;min-width:2px}.katex .msupsub{text-align:left}.katex .mfrac>span>span{text-align:center}.katex .mfrac .frac-line{display:inline-block;width:100%;border-bottom-style:solid}.katex .hdashline,.katex .hline,.katex .mfrac .frac-line,.katex .overline .overline-line,.katex .rule,.katex .underline .underline-line{min-height:1px}.katex .mspace{display:inline-block}.katex .clap,.katex .llap,.katex .rlap{width:0;position:relative}.katex .clap>.inner,.katex .llap>.inner,.katex .rlap>.inner{position:absolute}.katex .clap>.fix,.katex .llap>.fix,.katex .rlap>.fix{display:inline-block}.katex .llap>.inner{right:0}.katex .clap>.inner,.katex .rlap>.inner{left:0}.katex .clap>.inner>span{margin-left:-50%;margin-right:50%}.katex .rule{display:inline-block;border:0 solid;position:relative}.katex .hline,.katex .overline .overline-line,.katex .underline .underline-line{display:inline-block;width:100%;border-bottom-style:solid}.katex .hdashline{display:inline-block;width:100%;border-bottom-style:dashed}.katex .sqrt>.root{margin-left:.27777778em;margin-right:-.55555556em}.katex .fontsize-ensurer,.katex .sizing{display:inline-block}.katex .fontsize-ensurer.reset-size1.size1,.katex .sizing.reset-size1.size1{font-size:1em}.katex .fontsize-ensurer.reset-size1.size2,.katex .sizing.reset-size1.size2{font-size:1.2em}.katex .fontsize-ensurer.reset-size1.size3,.katex .sizing.reset-size1.size3{font-size:1.4em}.katex .fontsize-ensurer.reset-size1.size4,.katex .sizing.reset-size1.size4{font-size:1.6em}.katex .fontsize-ensurer.reset-size1.size5,.katex .sizing.reset-size1.size5{font-size:1.8em}.katex .fontsize-ensurer.reset-size1.size6,.katex .sizing.reset-size1.size6{font-size:2em}.katex .fontsize-ensurer.reset-size1.size7,.katex .sizing.reset-size1.size7{font-size:2.4em}.katex .fontsize-ensurer.reset-size1.size8,.katex .sizing.reset-size1.size8{font-size:2.88em}.katex .fontsize-ensurer.reset-size1.size9,.katex .sizing.reset-size1.size9{font-size:3.456em}.katex .fontsize-ensurer.reset-size1.size10,.katex .sizing.reset-size1.size10{font-size:4.148em}.katex .fontsize-ensurer.reset-size1.size11,.katex .sizing.reset-size1.size11{font-size:4.976em}.katex .fontsize-ensurer.reset-size2.size1,.katex .sizing.reset-size2.size1{font-size:.83333333em}.katex .fontsize-ensurer.reset-size2.size2,.katex .sizing.reset-size2.size2{font-size:1em}.katex .fontsize-ensurer.reset-size2.size3,.katex .sizing.reset-size2.size3{font-size:1.16666667em}.katex .fontsize-ensurer.reset-size2.size4,.katex .sizing.reset-size2.size4{font-size:1.33333333em}.katex .fontsize-ensurer.reset-size2.size5,.katex .sizing.reset-size2.size5{font-size:1.5em}.katex .fontsize-ensurer.reset-size2.size6,.katex .sizing.reset-size2.size6{font-size:1.66666667em}.katex .fontsize-ensurer.reset-size2.size7,.katex .sizing.reset-size2.size7{font-size:2em}.katex .fontsize-ensurer.reset-size2.size8,.katex .sizing.reset-size2.size8{font-size:2.4em}.katex .fontsize-ensurer.reset-size2.size9,.katex .sizing.reset-size2.size9{font-size:2.88em}.katex .fontsize-ensurer.reset-size2.size10,.katex .sizing.reset-size2.size10{font-size:3.45666667em}.katex .fontsize-ensurer.reset-size2.size11,.katex .sizing.reset-size2.size11{font-size:4.14666667em}.katex .fontsize-ensurer.reset-size3.size1,.katex .sizing.reset-size3.size1{font-size:.71428571em}.katex .fontsize-ensurer.reset-size3.size2,.katex .sizing.reset-size3.size2{font-size:.85714286em}.katex .fontsize-ensurer.reset-size3.size3,.katex .sizing.reset-size3.size3{font-size:1em}.katex .fontsize-ensurer.reset-size3.size4,.katex .sizing.reset-size3.size4{font-size:1.14285714em}.katex .fontsize-ensurer.reset-size3.size5,.katex .sizing.reset-size3.size5{font-size:1.28571429em}.katex .fontsize-ensurer.reset-size3.size6,.katex .sizing.reset-size3.size6{font-size:1.42857143em}.katex .fontsize-ensurer.reset-size3.size7,.katex .sizing.reset-size3.size7{font-size:1.71428571em}.katex .fontsize-ensurer.reset-size3.size8,.katex .sizing.reset-size3.size8{font-size:2.05714286em}.katex .fontsize-ensurer.reset-size3.size9,.katex .sizing.reset-size3.size9{font-size:2.46857143em}.katex .fontsize-ensurer.reset-size3.size10,.katex .sizing.reset-size3.size10{font-size:2.96285714em}.katex .fontsize-ensurer.reset-size3.size11,.katex .sizing.reset-size3.size11{font-size:3.55428571em}.katex .fontsize-ensurer.reset-size4.size1,.katex .sizing.reset-size4.size1{font-size:.625em}.katex .fontsize-ensurer.reset-size4.size2,.katex .sizing.reset-size4.size2{font-size:.75em}.katex .fontsize-ensurer.reset-size4.size3,.katex .sizing.reset-size4.size3{font-size:.875em}.katex .fontsize-ensurer.reset-size4.size4,.katex .sizing.reset-size4.size4{font-size:1em}.katex .fontsize-ensurer.reset-size4.size5,.katex .sizing.reset-size4.size5{font-size:1.125em}.katex .fontsize-ensurer.reset-size4.size6,.katex .sizing.reset-size4.size6{font-size:1.25em}.katex .fontsize-ensurer.reset-size4.size7,.katex .sizing.reset-size4.size7{font-size:1.5em}.katex .fontsize-ensurer.reset-size4.size8,.katex .sizing.reset-size4.size8{font-size:1.8em}.katex .fontsize-ensurer.reset-size4.size9,.katex .sizing.reset-size4.size9{font-size:2.16em}.katex .fontsize-ensurer.reset-size4.size10,.katex .sizing.reset-size4.size10{font-size:2.5925em}.katex .fontsize-ensurer.reset-size4.size11,.katex .sizing.reset-size4.size11{font-size:3.11em}.katex .fontsize-ensurer.reset-size5.size1,.katex .sizing.reset-size5.size1{font-size:.55555556em}.katex .fontsize-ensurer.reset-size5.size2,.katex .sizing.reset-size5.size2{font-size:.66666667em}.katex .fontsize-ensurer.reset-size5.size3,.katex .sizing.reset-size5.size3{font-size:.77777778em}.katex .fontsize-ensurer.reset-size5.size4,.katex .sizing.reset-size5.size4{font-size:.88888889em}.katex .fontsize-ensurer.reset-size5.size5,.katex .sizing.reset-size5.size5{font-size:1em}.katex .fontsize-ensurer.reset-size5.size6,.katex .sizing.reset-size5.size6{font-size:1.11111111em}.katex .fontsize-ensurer.reset-size5.size7,.katex .sizing.reset-size5.size7{font-size:1.33333333em}.katex .fontsize-ensurer.reset-size5.size8,.katex .sizing.reset-size5.size8{font-size:1.6em}.katex .fontsize-ensurer.reset-size5.size9,.katex .sizing.reset-size5.size9{font-size:1.92em}.katex .fontsize-ensurer.reset-size5.size10,.katex .sizing.reset-size5.size10{font-size:2.30444444em}.katex .fontsize-ensurer.reset-size5.size11,.katex .sizing.reset-size5.size11{font-size:2.76444444em}.katex .fontsize-ensurer.reset-size6.size1,.katex .sizing.reset-size6.size1{font-size:.5em}.katex .fontsize-ensurer.reset-size6.size2,.katex .sizing.reset-size6.size2{font-size:.6em}.katex .fontsize-ensurer.reset-size6.size3,.katex .sizing.reset-size6.size3{font-size:.7em}.katex .fontsize-ensurer.reset-size6.size4,.katex .sizing.reset-size6.size4{font-size:.8em}.katex .fontsize-ensurer.reset-size6.size5,.katex .sizing.reset-size6.size5{font-size:.9em}.katex .fontsize-ensurer.reset-size6.size6,.katex .sizing.reset-size6.size6{font-size:1em}.katex .fontsize-ensurer.reset-size6.size7,.katex .sizing.reset-size6.size7{font-size:1.2em}.katex .fontsize-ensurer.reset-size6.size8,.katex .sizing.reset-size6.size8{font-size:1.44em}.katex .fontsize-ensurer.reset-size6.size9,.katex .sizing.reset-size6.size9{font-size:1.728em}.katex .fontsize-ensurer.reset-size6.size10,.katex .sizing.reset-size6.size10{font-size:2.074em}.katex .fontsize-ensurer.reset-size6.size11,.katex .sizing.reset-size6.size11{font-size:2.488em}.katex .fontsize-ensurer.reset-size7.size1,.katex .sizing.reset-size7.size1{font-size:.41666667em}.katex .fontsize-ensurer.reset-size7.size2,.katex .sizing.reset-size7.size2{font-size:.5em}.katex .fontsize-ensurer.reset-size7.size3,.katex .sizing.reset-size7.size3{font-size:.58333333em}.katex .fontsize-ensurer.reset-size7.size4,.katex .sizing.reset-size7.size4{font-size:.66666667em}.katex .fontsize-ensurer.reset-size7.size5,.katex .sizing.reset-size7.size5{font-size:.75em}.katex .fontsize-ensurer.reset-size7.size6,.katex .sizing.reset-size7.size6{font-size:.83333333em}.katex .fontsize-ensurer.reset-size7.size7,.katex .sizing.reset-size7.size7{font-size:1em}.katex .fontsize-ensurer.reset-size7.size8,.katex .sizing.reset-size7.size8{font-size:1.2em}.katex .fontsize-ensurer.reset-size7.size9,.katex .sizing.reset-size7.size9{font-size:1.44em}.katex .fontsize-ensurer.reset-size7.size10,.katex .sizing.reset-size7.size10{font-size:1.72833333em}.katex .fontsize-ensurer.reset-size7.size11,.katex .sizing.reset-size7.size11{font-size:2.07333333em}.katex .fontsize-ensurer.reset-size8.size1,.katex .sizing.reset-size8.size1{font-size:.34722222em}.katex .fontsize-ensurer.reset-size8.size2,.katex .sizing.reset-size8.size2{font-size:.41666667em}.katex .fontsize-ensurer.reset-size8.size3,.katex .sizing.reset-size8.size3{font-size:.48611111em}.katex .fontsize-ensurer.reset-size8.size4,.katex .sizing.reset-size8.size4{font-size:.55555556em}.katex .fontsize-ensurer.reset-size8.size5,.katex .sizing.reset-size8.size5{font-size:.625em}.katex .fontsize-ensurer.reset-size8.size6,.katex .sizing.reset-size8.size6{font-size:.69444444em}.katex .fontsize-ensurer.reset-size8.size7,.katex .sizing.reset-size8.size7{font-size:.83333333em}.katex .fontsize-ensurer.reset-size8.size8,.katex .sizing.reset-size8.size8{font-size:1em}.katex .fontsize-ensurer.reset-size8.size9,.katex .sizing.reset-size8.size9{font-size:1.2em}.katex .fontsize-ensurer.reset-size8.size10,.katex .sizing.reset-size8.size10{font-size:1.44027778em}.katex .fontsize-ensurer.reset-size8.size11,.katex .sizing.reset-size8.size11{font-size:1.72777778em}.katex .fontsize-ensurer.reset-size9.size1,.katex .sizing.reset-size9.size1{font-size:.28935185em}.katex .fontsize-ensurer.reset-size9.size2,.katex .sizing.reset-size9.size2{font-size:.34722222em}.katex .fontsize-ensurer.reset-size9.size3,.katex .sizing.reset-size9.size3{font-size:.40509259em}.katex .fontsize-ensurer.reset-size9.size4,.katex .sizing.reset-size9.size4{font-size:.46296296em}.katex .fontsize-ensurer.reset-size9.size5,.katex .sizing.reset-size9.size5{font-size:.52083333em}.katex .fontsize-ensurer.reset-size9.size6,.katex .sizing.reset-size9.size6{font-size:.5787037em}.katex .fontsize-ensurer.reset-size9.size7,.katex .sizing.reset-size9.size7{font-size:.69444444em}.katex .fontsize-ensurer.reset-size9.size8,.katex .sizing.reset-size9.size8{font-size:.83333333em}.katex .fontsize-ensurer.reset-size9.size9,.katex .sizing.reset-size9.size9{font-size:1em}.katex .fontsize-ensurer.reset-size9.size10,.katex .sizing.reset-size9.size10{font-size:1.20023148em}.katex .fontsize-ensurer.reset-size9.size11,.katex .sizing.reset-size9.size11{font-size:1.43981481em}.katex .fontsize-ensurer.reset-size10.size1,.katex .sizing.reset-size10.size1{font-size:.24108004em}.katex .fontsize-ensurer.reset-size10.size2,.katex .sizing.reset-size10.size2{font-size:.28929605em}.katex .fontsize-ensurer.reset-size10.size3,.katex .sizing.reset-size10.size3{font-size:.33751205em}.katex .fontsize-ensurer.reset-size10.size4,.katex .sizing.reset-size10.size4{font-size:.38572806em}.katex .fontsize-ensurer.reset-size10.size5,.katex .sizing.reset-size10.size5{font-size:.43394407em}.katex .fontsize-ensurer.reset-size10.size6,.katex .sizing.reset-size10.size6{font-size:.48216008em}.katex .fontsize-ensurer.reset-size10.size7,.katex .sizing.reset-size10.size7{font-size:.57859209em}.katex .fontsize-ensurer.reset-size10.size8,.katex .sizing.reset-size10.size8{font-size:.69431051em}.katex .fontsize-ensurer.reset-size10.size9,.katex .sizing.reset-size10.size9{font-size:.83317261em}.katex .fontsize-ensurer.reset-size10.size10,.katex .sizing.reset-size10.size10{font-size:1em}.katex .fontsize-ensurer.reset-size10.size11,.katex .sizing.reset-size10.size11{font-size:1.19961427em}.katex .fontsize-ensurer.reset-size11.size1,.katex .sizing.reset-size11.size1{font-size:.20096463em}.katex .fontsize-ensurer.reset-size11.size2,.katex .sizing.reset-size11.size2{font-size:.24115756em}.katex .fontsize-ensurer.reset-size11.size3,.katex .sizing.reset-size11.size3{font-size:.28135048em}.katex .fontsize-ensurer.reset-size11.size4,.katex .sizing.reset-size11.size4{font-size:.32154341em}.katex .fontsize-ensurer.reset-size11.size5,.katex .sizing.reset-size11.size5{font-size:.36173633em}.katex .fontsize-ensurer.reset-size11.size6,.katex .sizing.reset-size11.size6{font-size:.40192926em}.katex .fontsize-ensurer.reset-size11.size7,.katex .sizing.reset-size11.size7{font-size:.48231511em}.katex .fontsize-ensurer.reset-size11.size8,.katex .sizing.reset-size11.size8{font-size:.57877814em}.katex .fontsize-ensurer.reset-size11.size9,.katex .sizing.reset-size11.size9{font-size:.69453376em}.katex .fontsize-ensurer.reset-size11.size10,.katex .sizing.reset-size11.size10{font-size:.83360129em}.katex .fontsize-ensurer.reset-size11.size11,.katex .sizing.reset-size11.size11{font-size:1em}.katex .delimsizing.size1{font-family:KaTeX_Size1}.katex .delimsizing.size2{font-family:KaTeX_Size2}.katex .delimsizing.size3{font-family:KaTeX_Size3}.katex .delimsizing.size4{font-family:KaTeX_Size4}.katex .delimsizing.mult .delim-size1>span{font-family:KaTeX_Size1}.katex .delimsizing.mult .delim-size4>span{font-family:KaTeX_Size4}.katex .nulldelimiter{display:inline-block;width:.12em}.katex .delimcenter,.katex .op-symbol{position:relative}.katex .op-symbol.small-op{font-family:KaTeX_Size1}.katex .op-symbol.large-op{font-family:KaTeX_Size2}.katex .op-limits>.vlist-t{text-align:center}.katex .accent>.vlist-t{text-align:center}.katex .accent .accent-body{position:relative}.katex .accent .accent-body:not(.accent-full){width:0}.katex .overlay{display:block}.katex .mtable .vertical-separator{display:inline-block;margin:0 -.025em;border-right:.05em solid;min-width:1px}.katex .mtable .vs-dashed{border-right:.05em dashed}.katex .mtable .arraycolsep{display:inline-block}.katex .mtable .col-align-c>.vlist-t{text-align:center}.katex .mtable .col-align-l>.vlist-t{text-align:left}.katex .mtable .col-align-r>.vlist-t{text-align:right}.katex .svg-align{text-align:left}.katex svg{display:block;position:absolute;width:100%;height:inherit;fill:currentColor;stroke:currentColor;fill-rule:nonzero;fill-opacity:1;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-dashoffset:0;stroke-opacity:1}.katex svg path{stroke:none}.katex img{border-style:none;min-width:0;min-height:0;max-width:none;max-height:none}.katex .stretchy{width:100%;display:block;position:relative;overflow:hidden}.katex .stretchy:after,.katex .stretchy:before{content:\"\"}.katex .hide-tail{width:100%;position:relative;overflow:hidden}.katex .halfarrow-left{position:absolute;left:0;width:50.2%;overflow:hidden}.katex .halfarrow-right{position:absolute;right:0;width:50.2%;overflow:hidden}.katex .brace-left{position:absolute;left:0;width:25.1%;overflow:hidden}.katex .brace-center{position:absolute;left:25%;width:50%;overflow:hidden}.katex .brace-right{position:absolute;right:0;width:25.1%;overflow:hidden}.katex .x-arrow-pad{padding:0 .5em}.katex .mover,.katex .munder,.katex .x-arrow{text-align:center}.katex .boxpad{padding:0 .3em}.katex .fbox,.katex .fcolorbox{box-sizing:border-box;border:.04em solid}.katex .cancel-pad{padding:0 .2em}.katex .cancel-lap{margin-left:-.2em;margin-right:-.2em}.katex .sout{border-bottom-style:solid;border-bottom-width:.08em}.katex-display{display:block;margin:1em 0;text-align:center}.katex-display>.katex{display:block;text-align:center;white-space:nowrap}.katex-display>.katex>.katex-html{display:block;position:relative}.katex-display>.katex>.katex-html>.tag{position:absolute;right:0}.katex-display.leqno>.katex>.katex-html>.tag{left:0;right:auto}.katex-display.fleqn>.katex{text-align:left}\n",
"type": "text/plain",
"title": "$:/plugins/tiddlywiki/katex/katex.min.css"
},
"$:/plugins/tiddlywiki/katex/katex.min.js": {
"text": "(function(document) {\n!function(t,e){\"object\"==typeof exports&&\"object\"==typeof module?module.exports=e():\"function\"==typeof define&&define.amd?define([],e):\"object\"==typeof exports?exports.katex=e():t.katex=e()}(\"undefined\"!=typeof self?self:this,function(){return function(t){var e={};function r(a){if(e[a])return e[a].exports;var n=e[a]={i:a,l:!1,exports:{}};return t[a].call(n.exports,n,n.exports,r),n.l=!0,n.exports}return r.m=t,r.c=e,r.d=function(t,e,a){r.o(t,e)||Object.defineProperty(t,e,{enumerable:!0,get:a})},r.r=function(t){\"undefined\"!=typeof Symbol&&Symbol.toStringTag&&Object.defineProperty(t,Symbol.toStringTag,{value:\"Module\"}),Object.defineProperty(t,\"__esModule\",{value:!0})},r.t=function(t,e){if(1&e&&(t=r(t)),8&e)return t;if(4&e&&\"object\"==typeof t&&t&&t.__esModule)return t;var a=Object.create(null);if(r.r(a),Object.defineProperty(a,\"default\",{enumerable:!0,value:t}),2&e&&\"string\"!=typeof t)for(var n in t)r.d(a,n,function(e){return t[e]}.bind(null,n));return a},r.n=function(t){var e=t&&t.__esModule?function(){return t.default}:function(){return t};return r.d(e,\"a\",e),e},r.o=function(t,e){return Object.prototype.hasOwnProperty.call(t,e)},r.p=\"\",r(r.s=1)}([function(t,e,r){},function(t,e,r){\"use strict\";r.r(e);r(0);var a=function(){function t(t,e,r){this.lexer=void 0,this.start=void 0,this.end=void 0,this.lexer=t,this.start=e,this.end=r}return t.range=function(e,r){return r?e&&e.loc&&r.loc&&e.loc.lexer===r.loc.lexer?new t(e.loc.lexer,e.loc.start,r.loc.end):null:e&&e.loc},t}(),n=function(){function t(t,e){this.text=void 0,this.loc=void 0,this.text=t,this.loc=e}return t.prototype.range=function(e,r){return new t(r,a.range(this,e))},t}(),o=function t(e,r){this.position=void 0;var a,n=\"KaTeX parse error: \"+e,o=r&&r.loc;if(o&&o.start<=o.end){var i=o.lexer.input;a=o.start;var s=o.end;a===i.length?n+=\" at end of input: \":n+=\" at position \"+(a+1)+\": \";var h=i.slice(a,s).replace(/[^]/g,\"$&\\u0332\");n+=(a>15?\"\\u2026\"+i.slice(a-15,a):i.slice(0,a))+h+(s+15<i.length?i.slice(s,s+15)+\"\\u2026\":i.slice(s))}var l=new Error(n);return l.name=\"ParseError\",l.__proto__=t.prototype,l.position=a,l};o.prototype.__proto__=Error.prototype;var i=o,s=/([A-Z])/g,h={\"&\":\"&\",\">\":\">\",\"<\":\"<\",'\"':\""\",\"'\":\"'\"},l=/[&><\"']/g;var m=function t(e){return\"ordgroup\"===e.type?1===e.body.length?t(e.body[0]):e:\"color\"===e.type?1===e.body.length?t(e.body[0]):e:\"font\"===e.type?t(e.body):e},c={contains:function(t,e){return-1!==t.indexOf(e)},deflt:function(t,e){return void 0===t?e:t},escape:function(t){return String(t).replace(l,function(t){return h[t]})},hyphenate:function(t){return t.replace(s,\"-$1\").toLowerCase()},getBaseElem:m,isCharacterBox:function(t){var e=m(t);return\"mathord\"===e.type||\"textord\"===e.type||\"atom\"===e.type}},u=function(){function t(t){this.displayMode=void 0,this.leqno=void 0,this.fleqn=void 0,this.throwOnError=void 0,this.errorColor=void 0,this.macros=void 0,this.colorIsTextColor=void 0,this.strict=void 0,this.maxSize=void 0,this.maxExpand=void 0,this.allowedProtocols=void 0,t=t||{},this.displayMode=c.deflt(t.displayMode,!1),this.leqno=c.deflt(t.leqno,!1),this.fleqn=c.deflt(t.fleqn,!1),this.throwOnError=c.deflt(t.throwOnError,!0),this.errorColor=c.deflt(t.errorColor,\"#cc0000\"),this.macros=t.macros||{},this.colorIsTextColor=c.deflt(t.colorIsTextColor,!1),this.strict=c.deflt(t.strict,\"warn\"),this.maxSize=Math.max(0,c.deflt(t.maxSize,1/0)),this.maxExpand=Math.max(0,c.deflt(t.maxExpand,1e3)),this.allowedProtocols=c.deflt(t.allowedProtocols,[\"http\",\"https\",\"mailto\",\"_relative\"])}var e=t.prototype;return e.reportNonstrict=function(t,e,r){var a=this.strict;if(\"function\"==typeof a&&(a=a(t,e,r)),a&&\"ignore\"!==a){if(!0===a||\"error\"===a)throw new i(\"LaTeX-incompatible input and strict mode is set to 'error': \"+e+\" [\"+t+\"]\",r);\"warn\"===a?\"undefined\"!=typeof console&&console.warn(\"LaTeX-incompatible input and strict mode is set to 'warn': \"+e+\" [\"+t+\"]\"):\"undefined\"!=typeof console&&console.warn(\"LaTeX-incompatible input and strict mode is set to unrecognized '\"+a+\"': \"+e+\" [\"+t+\"]\")}},e.useStrictBehavior=function(t,e,r){var a=this.strict;if(\"function\"==typeof a)try{a=a(t,e,r)}catch(t){a=\"error\"}return!(!a||\"ignore\"===a)&&(!0===a||\"error\"===a||(\"warn\"===a?(\"undefined\"!=typeof console&&console.warn(\"LaTeX-incompatible input and strict mode is set to 'warn': \"+e+\" [\"+t+\"]\"),!1):(\"undefined\"!=typeof console&&console.warn(\"LaTeX-incompatible input and strict mode is set to unrecognized '\"+a+\"': \"+e+\" [\"+t+\"]\"),!1)))},t}(),d=function(){function t(t,e,r){this.id=void 0,this.size=void 0,this.cramped=void 0,this.id=t,this.size=e,this.cramped=r}var e=t.prototype;return e.sup=function(){return p[f[this.id]]},e.sub=function(){return p[g[this.id]]},e.fracNum=function(){return p[x[this.id]]},e.fracDen=function(){return p[v[this.id]]},e.cramp=function(){return p[b[this.id]]},e.text=function(){return p[y[this.id]]},e.isTight=function(){return this.size>=2},t}(),p=[new d(0,0,!1),new d(1,0,!0),new d(2,1,!1),new d(3,1,!0),new d(4,2,!1),new d(5,2,!0),new d(6,3,!1),new d(7,3,!0)],f=[4,5,4,5,6,7,6,7],g=[5,5,5,5,7,7,7,7],x=[2,3,4,5,6,7,6,7],v=[3,3,5,5,7,7,7,7],b=[1,1,3,3,5,5,7,7],y=[0,1,2,3,2,3,2,3],w={DISPLAY:p[0],TEXT:p[2],SCRIPT:p[4],SCRIPTSCRIPT:p[6]},k=[{name:\"latin\",blocks:[[256,591],[768,879]]},{name:\"cyrillic\",blocks:[[1024,1279]]},{name:\"brahmic\",blocks:[[2304,4255]]},{name:\"georgian\",blocks:[[4256,4351]]},{name:\"cjk\",blocks:[[12288,12543],[19968,40879],[65280,65376]]},{name:\"hangul\",blocks:[[44032,55215]]}];var S=[];function z(t){for(var e=0;e<S.length;e+=2)if(t>=S[e]&&t<=S[e+1])return!0;return!1}k.forEach(function(t){return t.blocks.forEach(function(t){return S.push.apply(S,t)})});var M={path:{sqrtMain:\"M95,702c-2.7,0,-7.17,-2.7,-13.5,-8c-5.8,-5.3,-9.5,\\n-10,-9.5,-14c0,-2,0.3,-3.3,1,-4c1.3,-2.7,23.83,-20.7,67.5,-54c44.2,-33.3,65.8,\\n-50.3,66.5,-51c1.3,-1.3,3,-2,5,-2c4.7,0,8.7,3.3,12,10s173,378,173,378c0.7,0,\\n35.3,-71,104,-213c68.7,-142,137.5,-285,206.5,-429c69,-144,104.5,-217.7,106.5,\\n-221c5.3,-9.3,12,-14,20,-14H400000v40H845.2724s-225.272,467,-225.272,467\\ns-235,486,-235,486c-2.7,4.7,-9,7,-19,7c-6,0,-10,-1,-12,-3s-194,-422,-194,-422\\ns-65,47,-65,47z M834 80H400000v40H845z\",sqrtSize1:\"M263,681c0.7,0,18,39.7,52,119c34,79.3,68.167,\\n158.7,102.5,238c34.3,79.3,51.8,119.3,52.5,120c340,-704.7,510.7,-1060.3,512,-1067\\nc4.7,-7.3,11,-11,19,-11H40000v40H1012.3s-271.3,567,-271.3,567c-38.7,80.7,-84,\\n175,-136,283c-52,108,-89.167,185.3,-111.5,232c-22.3,46.7,-33.8,70.3,-34.5,71\\nc-4.7,4.7,-12.3,7,-23,7s-12,-1,-12,-1s-109,-253,-109,-253c-72.7,-168,-109.3,\\n-252,-110,-252c-10.7,8,-22,16.7,-34,26c-22,17.3,-33.3,26,-34,26s-26,-26,-26,-26\\ns76,-59,76,-59s76,-60,76,-60z M1001 80H40000v40H1012z\",sqrtSize2:\"M1001,80H400000v40H1013.1s-83.4,268,-264.1,840c-180.7,\\n572,-277,876.3,-289,913c-4.7,4.7,-12.7,7,-24,7s-12,0,-12,0c-1.3,-3.3,-3.7,-11.7,\\n-7,-25c-35.3,-125.3,-106.7,-373.3,-214,-744c-10,12,-21,25,-33,39s-32,39,-32,39\\nc-6,-5.3,-15,-14,-27,-26s25,-30,25,-30c26.7,-32.7,52,-63,76,-91s52,-60,52,-60\\ns208,722,208,722c56,-175.3,126.3,-397.3,211,-666c84.7,-268.7,153.8,-488.2,207.5,\\n-658.5c53.7,-170.3,84.5,-266.8,92.5,-289.5c4,-6.7,10,-10,18,-10z\\nM1001 80H400000v40H1013z\",sqrtSize3:\"M424,2478c-1.3,-0.7,-38.5,-172,-111.5,-514c-73,\\n-342,-109.8,-513.3,-110.5,-514c0,-2,-10.7,14.3,-32,49c-4.7,7.3,-9.8,15.7,-15.5,\\n25c-5.7,9.3,-9.8,16,-12.5,20s-5,7,-5,7c-4,-3.3,-8.3,-7.7,-13,-13s-13,-13,-13,\\n-13s76,-122,76,-122s77,-121,77,-121s209,968,209,968c0,-2,84.7,-361.7,254,-1079\\nc169.3,-717.3,254.7,-1077.7,256,-1081c4,-6.7,10,-10,18,-10H400000v40H1014.6\\ns-87.3,378.7,-272.6,1166c-185.3,787.3,-279.3,1182.3,-282,1185c-2,6,-10,9,-24,9\\nc-8,0,-12,-0.7,-12,-2z M1001 80H400000v40H1014z\",sqrtSize4:\"M473,2793c339.3,-1799.3,509.3,-2700,510,-2702\\nc3.3,-7.3,9.3,-11,18,-11H400000v40H1017.7s-90.5,478,-276.2,1466c-185.7,988,\\n-279.5,1483,-281.5,1485c-2,6,-10,9,-24,9c-8,0,-12,-0.7,-12,-2c0,-1.3,-5.3,-32,\\n-16,-92c-50.7,-293.3,-119.7,-693.3,-207,-1200c0,-1.3,-5.3,8.7,-16,30c-10.7,\\n21.3,-21.3,42.7,-32,64s-16,33,-16,33s-26,-26,-26,-26s76,-153,76,-153s77,-151,\\n77,-151c0.7,0.7,35.7,202,105,604c67.3,400.7,102,602.7,104,606z\\nM1001 80H400000v40H1017z\",doubleleftarrow:\"M262 157\\nl10-10c34-36 62.7-77 86-123 3.3-8 5-13.3 5-16 0-5.3-6.7-8-20-8-7.3\\n 0-12.2.5-14.5 1.5-2.3 1-4.8 4.5-7.5 10.5-49.3 97.3-121.7 169.3-217 216-28\\n 14-57.3 25-88 33-6.7 2-11 3.8-13 5.5-2 1.7-3 4.2-3 7.5s1 5.8 3 7.5\\nc2 1.7 6.3 3.5 13 5.5 68 17.3 128.2 47.8 180.5 91.5 52.3 43.7 93.8 96.2 124.5\\n 157.5 9.3 8 15.3 12.3 18 13h6c12-.7 18-4 18-10 0-2-1.7-7-5-15-23.3-46-52-87\\n-86-123l-10-10h399738v-40H218c328 0 0 0 0 0l-10-8c-26.7-20-65.7-43-117-69 2.7\\n-2 6-3.7 10-5 36.7-16 72.3-37.3 107-64l10-8h399782v-40z\\nm8 0v40h399730v-40zm0 194v40h399730v-40z\",doublerightarrow:\"M399738 392l\\n-10 10c-34 36-62.7 77-86 123-3.3 8-5 13.3-5 16 0 5.3 6.7 8 20 8 7.3 0 12.2-.5\\n 14.5-1.5 2.3-1 4.8-4.5 7.5-10.5 49.3-97.3 121.7-169.3 217-216 28-14 57.3-25 88\\n-33 6.7-2 11-3.8 13-5.5 2-1.7 3-4.2 3-7.5s-1-5.8-3-7.5c-2-1.7-6.3-3.5-13-5.5-68\\n-17.3-128.2-47.8-180.5-91.5-52.3-43.7-93.8-96.2-124.5-157.5-9.3-8-15.3-12.3-18\\n-13h-6c-12 .7-18 4-18 10 0 2 1.7 7 5 15 23.3 46 52 87 86 123l10 10H0v40h399782\\nc-328 0 0 0 0 0l10 8c26.7 20 65.7 43 117 69-2.7 2-6 3.7-10 5-36.7 16-72.3 37.3\\n-107 64l-10 8H0v40zM0 157v40h399730v-40zm0 194v40h399730v-40z\",leftarrow:\"M400000 241H110l3-3c68.7-52.7 113.7-120\\n 135-202 4-14.7 6-23 6-25 0-7.3-7-11-21-11-8 0-13.2.8-15.5 2.5-2.3 1.7-4.2 5.8\\n-5.5 12.5-1.3 4.7-2.7 10.3-4 17-12 48.7-34.8 92-68.5 130S65.3 228.3 18 247\\nc-10 4-16 7.7-18 11 0 8.7 6 14.3 18 17 47.3 18.7 87.8 47 121.5 85S196 441.3 208\\n 490c.7 2 1.3 5 2 9s1.2 6.7 1.5 8c.3 1.3 1 3.3 2 6s2.2 4.5 3.5 5.5c1.3 1 3.3\\n 1.8 6 2.5s6 1 10 1c14 0 21-3.7 21-11 0-2-2-10.3-6-25-20-79.3-65-146.7-135-202\\n l-3-3h399890zM100 241v40h399900v-40z\",leftbrace:\"M6 548l-6-6v-35l6-11c56-104 135.3-181.3 238-232 57.3-28.7 117\\n-45 179-50h399577v120H403c-43.3 7-81 15-113 26-100.7 33-179.7 91-237 174-2.7\\n 5-6 9-10 13-.7 1-7.3 1-20 1H6z\",leftbraceunder:\"M0 6l6-6h17c12.688 0 19.313.3 20 1 4 4 7.313 8.3 10 13\\n 35.313 51.3 80.813 93.8 136.5 127.5 55.688 33.7 117.188 55.8 184.5 66.5.688\\n 0 2 .3 4 1 18.688 2.7 76 4.3 172 5h399450v120H429l-6-1c-124.688-8-235-61.7\\n-331-161C60.687 138.7 32.312 99.3 7 54L0 41V6z\",leftgroup:\"M400000 80\\nH435C64 80 168.3 229.4 21 260c-5.9 1.2-18 0-18 0-2 0-3-1-3-3v-38C76 61 257 0\\n 435 0h399565z\",leftgroupunder:\"M400000 262\\nH435C64 262 168.3 112.6 21 82c-5.9-1.2-18 0-18 0-2 0-3 1-3 3v38c76 158 257 219\\n 435 219h399565z\",leftharpoon:\"M0 267c.7 5.3 3 10 7 14h399993v-40H93c3.3\\n-3.3 10.2-9.5 20.5-18.5s17.8-15.8 22.5-20.5c50.7-52 88-110.3 112-175 4-11.3 5\\n-18.3 3-21-1.3-4-7.3-6-18-6-8 0-13 .7-15 2s-4.7 6.7-8 16c-42 98.7-107.3 174.7\\n-196 228-6.7 4.7-10.7 8-12 10-1.3 2-2 5.7-2 11zm100-26v40h399900v-40z\",leftharpoonplus:\"M0 267c.7 5.3 3 10 7 14h399993v-40H93c3.3-3.3 10.2-9.5\\n 20.5-18.5s17.8-15.8 22.5-20.5c50.7-52 88-110.3 112-175 4-11.3 5-18.3 3-21-1.3\\n-4-7.3-6-18-6-8 0-13 .7-15 2s-4.7 6.7-8 16c-42 98.7-107.3 174.7-196 228-6.7 4.7\\n-10.7 8-12 10-1.3 2-2 5.7-2 11zm100-26v40h399900v-40zM0 435v40h400000v-40z\\nm0 0v40h400000v-40z\",leftharpoondown:\"M7 241c-4 4-6.333 8.667-7 14 0 5.333.667 9 2 11s5.333\\n 5.333 12 10c90.667 54 156 130 196 228 3.333 10.667 6.333 16.333 9 17 2 .667 5\\n 1 9 1h5c10.667 0 16.667-2 18-6 2-2.667 1-9.667-3-21-32-87.333-82.667-157.667\\n-152-211l-3-3h399907v-40zM93 281 H400000 v-40L7 241z\",leftharpoondownplus:\"M7 435c-4 4-6.3 8.7-7 14 0 5.3.7 9 2 11s5.3 5.3 12\\n 10c90.7 54 156 130 196 228 3.3 10.7 6.3 16.3 9 17 2 .7 5 1 9 1h5c10.7 0 16.7\\n-2 18-6 2-2.7 1-9.7-3-21-32-87.3-82.7-157.7-152-211l-3-3h399907v-40H7zm93 0\\nv40h399900v-40zM0 241v40h399900v-40zm0 0v40h399900v-40z\",lefthook:\"M400000 281 H103s-33-11.2-61-33.5S0 197.3 0 164s14.2-61.2 42.5\\n-83.5C70.8 58.2 104 47 142 47 c16.7 0 25 6.7 25 20 0 12-8.7 18.7-26 20-40 3.3\\n-68.7 15.7-86 37-10 12-15 25.3-15 40 0 22.7 9.8 40.7 29.5 54 19.7 13.3 43.5 21\\n 71.5 23h399859zM103 281v-40h399897v40z\",leftlinesegment:\"M40 281 V428 H0 V94 H40 V241 H400000 v40z\\nM40 281 V428 H0 V94 H40 V241 H400000 v40z\",leftmapsto:\"M40 281 V448H0V74H40V241H400000v40z\\nM40 281 V448H0V74H40V241H400000v40z\",leftToFrom:\"M0 147h400000v40H0zm0 214c68 40 115.7 95.7 143 167h22c15.3 0 23\\n-.3 23-1 0-1.3-5.3-13.7-16-37-18-35.3-41.3-69-70-101l-7-8h399905v-40H95l7-8\\nc28.7-32 52-65.7 70-101 10.7-23.3 16-35.7 16-37 0-.7-7.7-1-23-1h-22C115.7 265.3\\n 68 321 0 361zm0-174v-40h399900v40zm100 154v40h399900v-40z\",longequal:\"M0 50 h400000 v40H0z m0 194h40000v40H0z\\nM0 50 h400000 v40H0z m0 194h40000v40H0z\",midbrace:\"M200428 334\\nc-100.7-8.3-195.3-44-280-108-55.3-42-101.7-93-139-153l-9-14c-2.7 4-5.7 8.7-9 14\\n-53.3 86.7-123.7 153-211 199-66.7 36-137.3 56.3-212 62H0V214h199568c178.3-11.7\\n 311.7-78.3 403-201 6-8 9.7-12 11-12 .7-.7 6.7-1 18-1s17.3.3 18 1c1.3 0 5 4 11\\n 12 44.7 59.3 101.3 106.3 170 141s145.3 54.3 229 60h199572v120z\",midbraceunder:\"M199572 214\\nc100.7 8.3 195.3 44 280 108 55.3 42 101.7 93 139 153l9 14c2.7-4 5.7-8.7 9-14\\n 53.3-86.7 123.7-153 211-199 66.7-36 137.3-56.3 212-62h199568v120H200432c-178.3\\n 11.7-311.7 78.3-403 201-6 8-9.7 12-11 12-.7.7-6.7 1-18 1s-17.3-.3-18-1c-1.3 0\\n-5-4-11-12-44.7-59.3-101.3-106.3-170-141s-145.3-54.3-229-60H0V214z\",oiintSize1:\"M512.6 71.6c272.6 0 320.3 106.8 320.3 178.2 0 70.8-47.7 177.6\\n-320.3 177.6S193.1 320.6 193.1 249.8c0-71.4 46.9-178.2 319.5-178.2z\\nm368.1 178.2c0-86.4-60.9-215.4-368.1-215.4-306.4 0-367.3 129-367.3 215.4 0 85.8\\n60.9 214.8 367.3 214.8 307.2 0 368.1-129 368.1-214.8z\",oiintSize2:\"M757.8 100.1c384.7 0 451.1 137.6 451.1 230 0 91.3-66.4 228.8\\n-451.1 228.8-386.3 0-452.7-137.5-452.7-228.8 0-92.4 66.4-230 452.7-230z\\nm502.4 230c0-111.2-82.4-277.2-502.4-277.2s-504 166-504 277.2\\nc0 110 84 276 504 276s502.4-166 502.4-276z\",oiiintSize1:\"M681.4 71.6c408.9 0 480.5 106.8 480.5 178.2 0 70.8-71.6 177.6\\n-480.5 177.6S202.1 320.6 202.1 249.8c0-71.4 70.5-178.2 479.3-178.2z\\nm525.8 178.2c0-86.4-86.8-215.4-525.7-215.4-437.9 0-524.7 129-524.7 215.4 0\\n85.8 86.8 214.8 524.7 214.8 438.9 0 525.7-129 525.7-214.8z\",oiiintSize2:\"M1021.2 53c603.6 0 707.8 165.8 707.8 277.2 0 110-104.2 275.8\\n-707.8 275.8-606 0-710.2-165.8-710.2-275.8C311 218.8 415.2 53 1021.2 53z\\nm770.4 277.1c0-131.2-126.4-327.6-770.5-327.6S248.4 198.9 248.4 330.1\\nc0 130 128.8 326.4 772.7 326.4s770.5-196.4 770.5-326.4z\",rightarrow:\"M0 241v40h399891c-47.3 35.3-84 78-110 128\\n-16.7 32-27.7 63.7-33 95 0 1.3-.2 2.7-.5 4-.3 1.3-.5 2.3-.5 3 0 7.3 6.7 11 20\\n 11 8 0 13.2-.8 15.5-2.5 2.3-1.7 4.2-5.5 5.5-11.5 2-13.3 5.7-27 11-41 14.7-44.7\\n 39-84.5 73-119.5s73.7-60.2 119-75.5c6-2 9-5.7 9-11s-3-9-9-11c-45.3-15.3-85\\n-40.5-119-75.5s-58.3-74.8-73-119.5c-4.7-14-8.3-27.3-11-40-1.3-6.7-3.2-10.8-5.5\\n-12.5-2.3-1.7-7.5-2.5-15.5-2.5-14 0-21 3.7-21 11 0 2 2 10.3 6 25 20.7 83.3 67\\n 151.7 139 205zm0 0v40h399900v-40z\",rightbrace:\"M400000 542l\\n-6 6h-17c-12.7 0-19.3-.3-20-1-4-4-7.3-8.3-10-13-35.3-51.3-80.8-93.8-136.5-127.5\\ns-117.2-55.8-184.5-66.5c-.7 0-2-.3-4-1-18.7-2.7-76-4.3-172-5H0V214h399571l6 1\\nc124.7 8 235 61.7 331 161 31.3 33.3 59.7 72.7 85 118l7 13v35z\",rightbraceunder:\"M399994 0l6 6v35l-6 11c-56 104-135.3 181.3-238 232-57.3\\n 28.7-117 45-179 50H-300V214h399897c43.3-7 81-15 113-26 100.7-33 179.7-91 237\\n-174 2.7-5 6-9 10-13 .7-1 7.3-1 20-1h17z\",rightgroup:\"M0 80h399565c371 0 266.7 149.4 414 180 5.9 1.2 18 0 18 0 2 0\\n 3-1 3-3v-38c-76-158-257-219-435-219H0z\",rightgroupunder:\"M0 262h399565c371 0 266.7-149.4 414-180 5.9-1.2 18 0 18\\n 0 2 0 3 1 3 3v38c-76 158-257 219-435 219H0z\",rightharpoon:\"M0 241v40h399993c4.7-4.7 7-9.3 7-14 0-9.3\\n-3.7-15.3-11-18-92.7-56.7-159-133.7-199-231-3.3-9.3-6-14.7-8-16-2-1.3-7-2-15-2\\n-10.7 0-16.7 2-18 6-2 2.7-1 9.7 3 21 15.3 42 36.7 81.8 64 119.5 27.3 37.7 58\\n 69.2 92 94.5zm0 0v40h399900v-40z\",rightharpoonplus:\"M0 241v40h399993c4.7-4.7 7-9.3 7-14 0-9.3-3.7-15.3-11\\n-18-92.7-56.7-159-133.7-199-231-3.3-9.3-6-14.7-8-16-2-1.3-7-2-15-2-10.7 0-16.7\\n 2-18 6-2 2.7-1 9.7 3 21 15.3 42 36.7 81.8 64 119.5 27.3 37.7 58 69.2 92 94.5z\\nm0 0v40h399900v-40z m100 194v40h399900v-40zm0 0v40h399900v-40z\",rightharpoondown:\"M399747 511c0 7.3 6.7 11 20 11 8 0 13-.8 15-2.5s4.7-6.8\\n 8-15.5c40-94 99.3-166.3 178-217 13.3-8 20.3-12.3 21-13 5.3-3.3 8.5-5.8 9.5\\n-7.5 1-1.7 1.5-5.2 1.5-10.5s-2.3-10.3-7-15H0v40h399908c-34 25.3-64.7 57-92 95\\n-27.3 38-48.7 77.7-64 119-3.3 8.7-5 14-5 16zM0 241v40h399900v-40z\",rightharpoondownplus:\"M399747 705c0 7.3 6.7 11 20 11 8 0 13-.8\\n 15-2.5s4.7-6.8 8-15.5c40-94 99.3-166.3 178-217 13.3-8 20.3-12.3 21-13 5.3-3.3\\n 8.5-5.8 9.5-7.5 1-1.7 1.5-5.2 1.5-10.5s-2.3-10.3-7-15H0v40h399908c-34 25.3\\n-64.7 57-92 95-27.3 38-48.7 77.7-64 119-3.3 8.7-5 14-5 16zM0 435v40h399900v-40z\\nm0-194v40h400000v-40zm0 0v40h400000v-40z\",righthook:\"M399859 241c-764 0 0 0 0 0 40-3.3 68.7-15.7 86-37 10-12 15-25.3\\n 15-40 0-22.7-9.8-40.7-29.5-54-19.7-13.3-43.5-21-71.5-23-17.3-1.3-26-8-26-20 0\\n-13.3 8.7-20 26-20 38 0 71 11.2 99 33.5 0 0 7 5.6 21 16.7 14 11.2 21 33.5 21\\n 66.8s-14 61.2-42 83.5c-28 22.3-61 33.5-99 33.5L0 241z M0 281v-40h399859v40z\",rightlinesegment:\"M399960 241 V94 h40 V428 h-40 V281 H0 v-40z\\nM399960 241 V94 h40 V428 h-40 V281 H0 v-40z\",rightToFrom:\"M400000 167c-70.7-42-118-97.7-142-167h-23c-15.3 0-23 .3-23\\n 1 0 1.3 5.3 13.7 16 37 18 35.3 41.3 69 70 101l7 8H0v40h399905l-7 8c-28.7 32\\n-52 65.7-70 101-10.7 23.3-16 35.7-16 37 0 .7 7.7 1 23 1h23c24-69.3 71.3-125 142\\n-167z M100 147v40h399900v-40zM0 341v40h399900v-40z\",twoheadleftarrow:\"M0 167c68 40\\n 115.7 95.7 143 167h22c15.3 0 23-.3 23-1 0-1.3-5.3-13.7-16-37-18-35.3-41.3-69\\n-70-101l-7-8h125l9 7c50.7 39.3 85 86 103 140h46c0-4.7-6.3-18.7-19-42-18-35.3\\n-40-67.3-66-96l-9-9h399716v-40H284l9-9c26-28.7 48-60.7 66-96 12.7-23.333 19\\n-37.333 19-42h-46c-18 54-52.3 100.7-103 140l-9 7H95l7-8c28.7-32 52-65.7 70-101\\n 10.7-23.333 16-35.7 16-37 0-.7-7.7-1-23-1h-22C115.7 71.3 68 127 0 167z\",twoheadrightarrow:\"M400000 167\\nc-68-40-115.7-95.7-143-167h-22c-15.3 0-23 .3-23 1 0 1.3 5.3 13.7 16 37 18 35.3\\n 41.3 69 70 101l7 8h-125l-9-7c-50.7-39.3-85-86-103-140h-46c0 4.7 6.3 18.7 19 42\\n 18 35.3 40 67.3 66 96l9 9H0v40h399716l-9 9c-26 28.7-48 60.7-66 96-12.7 23.333\\n-19 37.333-19 42h46c18-54 52.3-100.7 103-140l9-7h125l-7 8c-28.7 32-52 65.7-70\\n 101-10.7 23.333-16 35.7-16 37 0 .7 7.7 1 23 1h22c27.3-71.3 75-127 143-167z\",tilde1:\"M200 55.538c-77 0-168 73.953-177 73.953-3 0-7\\n-2.175-9-5.437L2 97c-1-2-2-4-2-6 0-4 2-7 5-9l20-12C116 12 171 0 207 0c86 0\\n 114 68 191 68 78 0 168-68 177-68 4 0 7 2 9 5l12 19c1 2.175 2 4.35 2 6.525 0\\n 4.35-2 7.613-5 9.788l-19 13.05c-92 63.077-116.937 75.308-183 76.128\\n-68.267.847-113-73.952-191-73.952z\",tilde2:\"M344 55.266c-142 0-300.638 81.316-311.5 86.418\\n-8.01 3.762-22.5 10.91-23.5 5.562L1 120c-1-2-1-3-1-4 0-5 3-9 8-10l18.4-9C160.9\\n 31.9 283 0 358 0c148 0 188 122 331 122s314-97 326-97c4 0 8 2 10 7l7 21.114\\nc1 2.14 1 3.21 1 4.28 0 5.347-3 9.626-7 10.696l-22.3 12.622C852.6 158.372 751\\n 181.476 676 181.476c-149 0-189-126.21-332-126.21z\",tilde3:\"M786 59C457 59 32 175.242 13 175.242c-6 0-10-3.457\\n-11-10.37L.15 138c-1-7 3-12 10-13l19.2-6.4C378.4 40.7 634.3 0 804.3 0c337 0\\n 411.8 157 746.8 157 328 0 754-112 773-112 5 0 10 3 11 9l1 14.075c1 8.066-.697\\n 16.595-6.697 17.492l-21.052 7.31c-367.9 98.146-609.15 122.696-778.15 122.696\\n -338 0-409-156.573-744-156.573z\",tilde4:\"M786 58C457 58 32 177.487 13 177.487c-6 0-10-3.345\\n-11-10.035L.15 143c-1-7 3-12 10-13l22-6.7C381.2 35 637.15 0 807.15 0c337 0 409\\n 177 744 177 328 0 754-127 773-127 5 0 10 3 11 9l1 14.794c1 7.805-3 13.38-9\\n 14.495l-20.7 5.574c-366.85 99.79-607.3 139.372-776.3 139.372-338 0-409\\n -175.236-744-175.236z\",vec:\"M377 20c0-5.333 1.833-10 5.5-14S391 0 397 0c4.667 0 8.667 1.667 12 5\\n3.333 2.667 6.667 9 10 19 6.667 24.667 20.333 43.667 41 57 7.333 4.667 11\\n10.667 11 18 0 6-1 10-3 12s-6.667 5-14 9c-28.667 14.667-53.667 35.667-75 63\\n-1.333 1.333-3.167 3.5-5.5 6.5s-4 4.833-5 5.5c-1 .667-2.5 1.333-4.5 2s-4.333 1\\n-7 1c-4.667 0-9.167-1.833-13.5-5.5S337 184 337 178c0-12.667 15.667-32.333 47-59\\nH213l-171-1c-8.667-6-13-12.333-13-19 0-4.667 4.333-11.333 13-20h359\\nc-16-25.333-24-45-24-59z\",widehat1:\"M529 0h5l519 115c5 1 9 5 9 10 0 1-1 2-1 3l-4 22\\nc-1 5-5 9-11 9h-2L532 67 19 159h-2c-5 0-9-4-11-9l-5-22c-1-6 2-12 8-13z\",widehat2:\"M1181 0h2l1171 176c6 0 10 5 10 11l-2 23c-1 6-5 10\\n-11 10h-1L1182 67 15 220h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z\",widehat3:\"M1181 0h2l1171 236c6 0 10 5 10 11l-2 23c-1 6-5 10\\n-11 10h-1L1182 67 15 280h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z\",widehat4:\"M1181 0h2l1171 296c6 0 10 5 10 11l-2 23c-1 6-5 10\\n-11 10h-1L1182 67 15 340h-1c-6 0-10-4-11-10l-2-23c-1-6 4-11 10-11z\",widecheck1:\"M529,159h5l519,-115c5,-1,9,-5,9,-10c0,-1,-1,-2,-1,-3l-4,-22c-1,\\n-5,-5,-9,-11,-9h-2l-512,92l-513,-92h-2c-5,0,-9,4,-11,9l-5,22c-1,6,2,12,8,13z\",widecheck2:\"M1181,220h2l1171,-176c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10,\\n-11,-10h-1l-1168,153l-1167,-153h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z\",widecheck3:\"M1181,280h2l1171,-236c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10,\\n-11,-10h-1l-1168,213l-1167,-213h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z\",widecheck4:\"M1181,340h2l1171,-296c6,0,10,-5,10,-11l-2,-23c-1,-6,-5,-10,\\n-11,-10h-1l-1168,273l-1167,-273h-1c-6,0,-10,4,-11,10l-2,23c-1,6,4,11,10,11z\",baraboveleftarrow:\"M400000 620h-399890l3 -3c68.7 -52.7 113.7 -120 135 -202\\nc4 -14.7 6 -23 6 -25c0 -7.3 -7 -11 -21 -11c-8 0 -13.2 0.8 -15.5 2.5\\nc-2.3 1.7 -4.2 5.8 -5.5 12.5c-1.3 4.7 -2.7 10.3 -4 17c-12 48.7 -34.8 92 -68.5 130\\ns-74.2 66.3 -121.5 85c-10 4 -16 7.7 -18 11c0 8.7 6 14.3 18 17c47.3 18.7 87.8 47\\n121.5 85s56.5 81.3 68.5 130c0.7 2 1.3 5 2 9s1.2 6.7 1.5 8c0.3 1.3 1 3.3 2 6\\ns2.2 4.5 3.5 5.5c1.3 1 3.3 1.8 6 2.5s6 1 10 1c14 0 21 -3.7 21 -11\\nc0 -2 -2 -10.3 -6 -25c-20 -79.3 -65 -146.7 -135 -202l-3 -3h399890z\\nM100 620v40h399900v-40z M0 241v40h399900v-40zM0 241v40h399900v-40z\",rightarrowabovebar:\"M0 241v40h399891c-47.3 35.3-84 78-110 128-16.7 32\\n-27.7 63.7-33 95 0 1.3-.2 2.7-.5 4-.3 1.3-.5 2.3-.5 3 0 7.3 6.7 11 20 11 8 0\\n13.2-.8 15.5-2.5 2.3-1.7 4.2-5.5 5.5-11.5 2-13.3 5.7-27 11-41 14.7-44.7 39\\n-84.5 73-119.5s73.7-60.2 119-75.5c6-2 9-5.7 9-11s-3-9-9-11c-45.3-15.3-85-40.5\\n-119-75.5s-58.3-74.8-73-119.5c-4.7-14-8.3-27.3-11-40-1.3-6.7-3.2-10.8-5.5\\n-12.5-2.3-1.7-7.5-2.5-15.5-2.5-14 0-21 3.7-21 11 0 2 2 10.3 6 25 20.7 83.3 67\\n151.7 139 205zm96 379h399894v40H0zm0 0h399904v40H0z\",baraboveshortleftharpoon:\"M507,435c-4,4,-6.3,8.7,-7,14c0,5.3,0.7,9,2,11\\nc1.3,2,5.3,5.3,12,10c90.7,54,156,130,196,228c3.3,10.7,6.3,16.3,9,17\\nc2,0.7,5,1,9,1c0,0,5,0,5,0c10.7,0,16.7,-2,18,-6c2,-2.7,1,-9.7,-3,-21\\nc-32,-87.3,-82.7,-157.7,-152,-211c0,0,-3,-3,-3,-3l399351,0l0,-40\\nc-398570,0,-399437,0,-399437,0z M593 435 v40 H399500 v-40z\\nM0 281 v-40 H399908 v40z M0 281 v-40 H399908 v40z\",rightharpoonaboveshortbar:\"M0,241 l0,40c399126,0,399993,0,399993,0\\nc4.7,-4.7,7,-9.3,7,-14c0,-9.3,-3.7,-15.3,-11,-18c-92.7,-56.7,-159,-133.7,-199,\\n-231c-3.3,-9.3,-6,-14.7,-8,-16c-2,-1.3,-7,-2,-15,-2c-10.7,0,-16.7,2,-18,6\\nc-2,2.7,-1,9.7,3,21c15.3,42,36.7,81.8,64,119.5c27.3,37.7,58,69.2,92,94.5z\\nM0 241 v40 H399908 v-40z M0 475 v-40 H399500 v40z M0 475 v-40 H399500 v40z\",shortbaraboveleftharpoon:\"M7,435c-4,4,-6.3,8.7,-7,14c0,5.3,0.7,9,2,11\\nc1.3,2,5.3,5.3,12,10c90.7,54,156,130,196,228c3.3,10.7,6.3,16.3,9,17c2,0.7,5,1,9,\\n1c0,0,5,0,5,0c10.7,0,16.7,-2,18,-6c2,-2.7,1,-9.7,-3,-21c-32,-87.3,-82.7,-157.7,\\n-152,-211c0,0,-3,-3,-3,-3l399907,0l0,-40c-399126,0,-399993,0,-399993,0z\\nM93 435 v40 H400000 v-40z M500 241 v40 H400000 v-40z M500 241 v40 H400000 v-40z\",shortrightharpoonabovebar:\"M53,241l0,40c398570,0,399437,0,399437,0\\nc4.7,-4.7,7,-9.3,7,-14c0,-9.3,-3.7,-15.3,-11,-18c-92.7,-56.7,-159,-133.7,-199,\\n-231c-3.3,-9.3,-6,-14.7,-8,-16c-2,-1.3,-7,-2,-15,-2c-10.7,0,-16.7,2,-18,6\\nc-2,2.7,-1,9.7,3,21c15.3,42,36.7,81.8,64,119.5c27.3,37.7,58,69.2,92,94.5z\\nM500 241 v40 H399408 v-40z M500 435 v40 H400000 v-40z\"}},T=function(){function t(t){this.children=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,this.children=t,this.classes=[],this.height=0,this.depth=0,this.maxFontSize=0,this.style={}}var e=t.prototype;return e.hasClass=function(t){return c.contains(this.classes,t)},e.toNode=function(){for(var t=document.createDocumentFragment(),e=0;e<this.children.length;e++)t.appendChild(this.children[e].toNode());return t},e.toMarkup=function(){for(var t=\"\",e=0;e<this.children.length;e++)t+=this.children[e].toMarkup();return t},e.toText=function(){var t=function(t){return t.toText()};return this.children.map(t).join(\"\")},t}(),A=function(t){return t.filter(function(t){return t}).join(\" \")},B=function(t,e,r){if(this.classes=t||[],this.attributes={},this.height=0,this.depth=0,this.maxFontSize=0,this.style=r||{},e){e.style.isTight()&&this.classes.push(\"mtight\");var a=e.getColor();a&&(this.style.color=a)}},q=function(t){var e=document.createElement(t);for(var r in e.className=A(this.classes),this.style)this.style.hasOwnProperty(r)&&(e.style[r]=this.style[r]);for(var a in this.attributes)this.attributes.hasOwnProperty(a)&&e.setAttribute(a,this.attributes[a]);for(var n=0;n<this.children.length;n++)e.appendChild(this.children[n].toNode());return e},C=function(t){var e=\"<\"+t;this.classes.length&&(e+=' class=\"'+c.escape(A(this.classes))+'\"');var r=\"\";for(var a in this.style)this.style.hasOwnProperty(a)&&(r+=c.hyphenate(a)+\":\"+this.style[a]+\";\");for(var n in r&&(e+=' style=\"'+c.escape(r)+'\"'),this.attributes)this.attributes.hasOwnProperty(n)&&(e+=\" \"+n+'=\"'+c.escape(this.attributes[n])+'\"');e+=\">\";for(var o=0;o<this.children.length;o++)e+=this.children[o].toMarkup();return e+=\"</\"+t+\">\"},N=function(){function t(t,e,r,a){this.children=void 0,this.attributes=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.width=void 0,this.maxFontSize=void 0,this.style=void 0,B.call(this,t,r,a),this.children=e||[]}var e=t.prototype;return e.setAttribute=function(t,e){this.attributes[t]=e},e.hasClass=function(t){return c.contains(this.classes,t)},e.toNode=function(){return q.call(this,\"span\")},e.toMarkup=function(){return C.call(this,\"span\")},t}(),I=function(){function t(t,e,r,a){this.children=void 0,this.attributes=void 0,this.classes=void 0,this.height=void 0,this.depth=void 0,this.maxFontSize=void 0,this.style=void 0,B.call(this,e,a),this.children=r||[],this.setAttribute(\"href\",t)}var e=t.prototype;return e.setAttribute=function(t,e){this.attributes[t]=e},e.hasClass=function(t){return c.contains(this.classes,t)},e.toNode=function(){return q.call(this,\"a\")},e.toMarkup=function(){return C.call(this,\"a\")},t}(),O={\"\\xee\":\"\\u0131\\u0302\",\"\\xef\":\"\\u0131\\u0308\",\"\\xed\":\"\\u0131\\u0301\",\"\\xec\":\"\\u0131\\u0300\"},E=function(){function t(t,e,r,a,n,o,i,s){this.text=void 0,this.height=void 0,this.depth=void 0,this.italic=void 0,this.skew=void 0,this.width=void 0,this.maxFontSize=void 0,this.classes=void 0,this.style=void 0,this.text=t,this.height=e||0,this.depth=r||0,this.italic=a||0,this.skew=n||0,this.width=o||0,this.classes=i||[],this.style=s||{},this.maxFontSize=0;var h=function(t){for(var e=0;e<k.length;e++)for(var r=k[e],a=0;a<r.blocks.length;a++){var n=r.blocks[a];if(t>=n[0]&&t<=n[1])return r.name}return null}(this.text.charCodeAt(0));h&&this.classes.push(h+\"_fallback\"),/[\\xee\\xef\\xed\\xec]/.test(this.text)&&(this.text=O[this.text])}var e=t.prototype;return e.hasClass=function(t){return c.contains(this.classes,t)},e.toNode=function(){var t=document.createTextNode(this.text),e=null;for(var r in this.italic>0&&((e=document.createElement(\"span\")).style.marginRight=this.italic+\"em\"),this.classes.length>0&&((e=e||document.createElement(\"span\")).className=A(this.classes)),this.style)this.style.hasOwnProperty(r)&&((e=e||document.createElement(\"span\")).style[r]=this.style[r]);return e?(e.appendChild(t),e):t},e.toMarkup=function(){var t=!1,e=\"<span\";this.classes.length&&(t=!0,e+=' class=\"',e+=c.escape(A(this.classes)),e+='\"');var r=\"\";for(var a in this.italic>0&&(r+=\"margin-right:\"+this.italic+\"em;\"),this.style)this.style.hasOwnProperty(a)&&(r+=c.hyphenate(a)+\":\"+this.style[a]+\";\");r&&(t=!0,e+=' style=\"'+c.escape(r)+'\"');var n=c.escape(this.text);return t?(e+=\">\",e+=n,e+=\"</span>\"):n},t}(),R=function(){function t(t,e){this.children=void 0,this.attributes=void 0,this.children=t||[],this.attributes=e||{}}var e=t.prototype;return e.toNode=function(){var t=document.createElementNS(\"http://www.w3.org/2000/svg\",\"svg\");for(var e in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,e)&&t.setAttribute(e,this.attributes[e]);for(var r=0;r<this.children.length;r++)t.appendChild(this.children[r].toNode());return t},e.toMarkup=function(){var t=\"<svg\";for(var e in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,e)&&(t+=\" \"+e+\"='\"+this.attributes[e]+\"'\");t+=\">\";for(var r=0;r<this.children.length;r++)t+=this.children[r].toMarkup();return t+=\"</svg>\"},t}(),L=function(){function t(t,e){this.pathName=void 0,this.alternate=void 0,this.pathName=t,this.alternate=e}var e=t.prototype;return e.toNode=function(){var t=document.createElementNS(\"http://www.w3.org/2000/svg\",\"path\");return this.alternate?t.setAttribute(\"d\",this.alternate):t.setAttribute(\"d\",M.path[this.pathName]),t},e.toMarkup=function(){return this.alternate?\"<path d='\"+this.alternate+\"'/>\":\"<path d='\"+M.path[this.pathName]+\"'/>\"},t}(),H=function(){function t(t){this.attributes=void 0,this.attributes=t||{}}var e=t.prototype;return e.toNode=function(){var t=document.createElementNS(\"http://www.w3.org/2000/svg\",\"line\");for(var e in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,e)&&t.setAttribute(e,this.attributes[e]);return t},e.toMarkup=function(){var t=\"<line\";for(var e in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,e)&&(t+=\" \"+e+\"='\"+this.attributes[e]+\"'\");return t+=\"/>\"},t}();var P={\"AMS-Regular\":{65:[0,.68889,0,0,.72222],66:[0,.68889,0,0,.66667],67:[0,.68889,0,0,.72222],68:[0,.68889,0,0,.72222],69:[0,.68889,0,0,.66667],70:[0,.68889,0,0,.61111],71:[0,.68889,0,0,.77778],72:[0,.68889,0,0,.77778],73:[0,.68889,0,0,.38889],74:[.16667,.68889,0,0,.5],75:[0,.68889,0,0,.77778],76:[0,.68889,0,0,.66667],77:[0,.68889,0,0,.94445],78:[0,.68889,0,0,.72222],79:[.16667,.68889,0,0,.77778],80:[0,.68889,0,0,.61111],81:[.16667,.68889,0,0,.77778],82:[0,.68889,0,0,.72222],83:[0,.68889,0,0,.55556],84:[0,.68889,0,0,.66667],85:[0,.68889,0,0,.72222],86:[0,.68889,0,0,.72222],87:[0,.68889,0,0,1],88:[0,.68889,0,0,.72222],89:[0,.68889,0,0,.72222],90:[0,.68889,0,0,.66667],107:[0,.68889,0,0,.55556],165:[0,.675,.025,0,.75],174:[.15559,.69224,0,0,.94666],240:[0,.68889,0,0,.55556],295:[0,.68889,0,0,.54028],710:[0,.825,0,0,2.33334],732:[0,.9,0,0,2.33334],770:[0,.825,0,0,2.33334],771:[0,.9,0,0,2.33334],989:[.08167,.58167,0,0,.77778],1008:[0,.43056,.04028,0,.66667],8245:[0,.54986,0,0,.275],8463:[0,.68889,0,0,.54028],8487:[0,.68889,0,0,.72222],8498:[0,.68889,0,0,.55556],8502:[0,.68889,0,0,.66667],8503:[0,.68889,0,0,.44445],8504:[0,.68889,0,0,.66667],8513:[0,.68889,0,0,.63889],8592:[-.03598,.46402,0,0,.5],8594:[-.03598,.46402,0,0,.5],8602:[-.13313,.36687,0,0,1],8603:[-.13313,.36687,0,0,1],8606:[.01354,.52239,0,0,1],8608:[.01354,.52239,0,0,1],8610:[.01354,.52239,0,0,1.11111],8611:[.01354,.52239,0,0,1.11111],8619:[0,.54986,0,0,1],8620:[0,.54986,0,0,1],8621:[-.13313,.37788,0,0,1.38889],8622:[-.13313,.36687,0,0,1],8624:[0,.69224,0,0,.5],8625:[0,.69224,0,0,.5],8630:[0,.43056,0,0,1],8631:[0,.43056,0,0,1],8634:[.08198,.58198,0,0,.77778],8635:[.08198,.58198,0,0,.77778],8638:[.19444,.69224,0,0,.41667],8639:[.19444,.69224,0,0,.41667],8642:[.19444,.69224,0,0,.41667],8643:[.19444,.69224,0,0,.41667],8644:[.1808,.675,0,0,1],8646:[.1808,.675,0,0,1],8647:[.1808,.675,0,0,1],8648:[.19444,.69224,0,0,.83334],8649:[.1808,.675,0,0,1],8650:[.19444,.69224,0,0,.83334],8651:[.01354,.52239,0,0,1],8652:[.01354,.52239,0,0,1],8653:[-.13313,.36687,0,0,1],8654:[-.13313,.36687,0,0,1],8655:[-.13313,.36687,0,0,1],8666:[.13667,.63667,0,0,1],8667:[.13667,.63667,0,0,1],8669:[-.13313,.37788,0,0,1],8672:[-.064,.437,0,0,1.334],8674:[-.064,.437,0,0,1.334],8705:[0,.825,0,0,.5],8708:[0,.68889,0,0,.55556],8709:[.08167,.58167,0,0,.77778],8717:[0,.43056,0,0,.42917],8722:[-.03598,.46402,0,0,.5],8724:[.08198,.69224,0,0,.77778],8726:[.08167,.58167,0,0,.77778],8733:[0,.69224,0,0,.77778],8736:[0,.69224,0,0,.72222],8737:[0,.69224,0,0,.72222],8738:[.03517,.52239,0,0,.72222],8739:[.08167,.58167,0,0,.22222],8740:[.25142,.74111,0,0,.27778],8741:[.08167,.58167,0,0,.38889],8742:[.25142,.74111,0,0,.5],8756:[0,.69224,0,0,.66667],8757:[0,.69224,0,0,.66667],8764:[-.13313,.36687,0,0,.77778],8765:[-.13313,.37788,0,0,.77778],8769:[-.13313,.36687,0,0,.77778],8770:[-.03625,.46375,0,0,.77778],8774:[.30274,.79383,0,0,.77778],8776:[-.01688,.48312,0,0,.77778],8778:[.08167,.58167,0,0,.77778],8782:[.06062,.54986,0,0,.77778],8783:[.06062,.54986,0,0,.77778],8785:[.08198,.58198,0,0,.77778],8786:[.08198,.58198,0,0,.77778],8787:[.08198,.58198,0,0,.77778],8790:[0,.69224,0,0,.77778],8791:[.22958,.72958,0,0,.77778],8796:[.08198,.91667,0,0,.77778],8806:[.25583,.75583,0,0,.77778],8807:[.25583,.75583,0,0,.77778],8808:[.25142,.75726,0,0,.77778],8809:[.25142,.75726,0,0,.77778],8812:[.25583,.75583,0,0,.5],8814:[.20576,.70576,0,0,.77778],8815:[.20576,.70576,0,0,.77778],8816:[.30274,.79383,0,0,.77778],8817:[.30274,.79383,0,0,.77778],8818:[.22958,.72958,0,0,.77778],8819:[.22958,.72958,0,0,.77778],8822:[.1808,.675,0,0,.77778],8823:[.1808,.675,0,0,.77778],8828:[.13667,.63667,0,0,.77778],8829:[.13667,.63667,0,0,.77778],8830:[.22958,.72958,0,0,.77778],8831:[.22958,.72958,0,0,.77778],8832:[.20576,.70576,0,0,.77778],8833:[.20576,.70576,0,0,.77778],8840:[.30274,.79383,0,0,.77778],8841:[.30274,.79383,0,0,.77778],8842:[.13597,.63597,0,0,.77778],8843:[.13597,.63597,0,0,.77778],8847:[.03517,.54986,0,0,.77778],8848:[.03517,.54986,0,0,.77778],8858:[.08198,.58198,0,0,.77778],8859:[.08198,.58198,0,0,.77778],8861:[.08198,.58198,0,0,.77778],8862:[0,.675,0,0,.77778],8863:[0,.675,0,0,.77778],8864:[0,.675,0,0,.77778],8865:[0,.675,0,0,.77778],8872:[0,.69224,0,0,.61111],8873:[0,.69224,0,0,.72222],8874:[0,.69224,0,0,.88889],8876:[0,.68889,0,0,.61111],8877:[0,.68889,0,0,.61111],8878:[0,.68889,0,0,.72222],8879:[0,.68889,0,0,.72222],8882:[.03517,.54986,0,0,.77778],8883:[.03517,.54986,0,0,.77778],8884:[.13667,.63667,0,0,.77778],8885:[.13667,.63667,0,0,.77778],8888:[0,.54986,0,0,1.11111],8890:[.19444,.43056,0,0,.55556],8891:[.19444,.69224,0,0,.61111],8892:[.19444,.69224,0,0,.61111],8901:[0,.54986,0,0,.27778],8903:[.08167,.58167,0,0,.77778],8905:[.08167,.58167,0,0,.77778],8906:[.08167,.58167,0,0,.77778],8907:[0,.69224,0,0,.77778],8908:[0,.69224,0,0,.77778],8909:[-.03598,.46402,0,0,.77778],8910:[0,.54986,0,0,.76042],8911:[0,.54986,0,0,.76042],8912:[.03517,.54986,0,0,.77778],8913:[.03517,.54986,0,0,.77778],8914:[0,.54986,0,0,.66667],8915:[0,.54986,0,0,.66667],8916:[0,.69224,0,0,.66667],8918:[.0391,.5391,0,0,.77778],8919:[.0391,.5391,0,0,.77778],8920:[.03517,.54986,0,0,1.33334],8921:[.03517,.54986,0,0,1.33334],8922:[.38569,.88569,0,0,.77778],8923:[.38569,.88569,0,0,.77778],8926:[.13667,.63667,0,0,.77778],8927:[.13667,.63667,0,0,.77778],8928:[.30274,.79383,0,0,.77778],8929:[.30274,.79383,0,0,.77778],8934:[.23222,.74111,0,0,.77778],8935:[.23222,.74111,0,0,.77778],8936:[.23222,.74111,0,0,.77778],8937:[.23222,.74111,0,0,.77778],8938:[.20576,.70576,0,0,.77778],8939:[.20576,.70576,0,0,.77778],8940:[.30274,.79383,0,0,.77778],8941:[.30274,.79383,0,0,.77778],8994:[.19444,.69224,0,0,.77778],8995:[.19444,.69224,0,0,.77778],9416:[.15559,.69224,0,0,.90222],9484:[0,.69224,0,0,.5],9488:[0,.69224,0,0,.5],9492:[0,.37788,0,0,.5],9496:[0,.37788,0,0,.5],9585:[.19444,.68889,0,0,.88889],9586:[.19444,.74111,0,0,.88889],9632:[0,.675,0,0,.77778],9633:[0,.675,0,0,.77778],9650:[0,.54986,0,0,.72222],9651:[0,.54986,0,0,.72222],9654:[.03517,.54986,0,0,.77778],9660:[0,.54986,0,0,.72222],9661:[0,.54986,0,0,.72222],9664:[.03517,.54986,0,0,.77778],9674:[.11111,.69224,0,0,.66667],9733:[.19444,.69224,0,0,.94445],10003:[0,.69224,0,0,.83334],10016:[0,.69224,0,0,.83334],10731:[.11111,.69224,0,0,.66667],10846:[.19444,.75583,0,0,.61111],10877:[.13667,.63667,0,0,.77778],10878:[.13667,.63667,0,0,.77778],10885:[.25583,.75583,0,0,.77778],10886:[.25583,.75583,0,0,.77778],10887:[.13597,.63597,0,0,.77778],10888:[.13597,.63597,0,0,.77778],10889:[.26167,.75726,0,0,.77778],10890:[.26167,.75726,0,0,.77778],10891:[.48256,.98256,0,0,.77778],10892:[.48256,.98256,0,0,.77778],10901:[.13667,.63667,0,0,.77778],10902:[.13667,.63667,0,0,.77778],10933:[.25142,.75726,0,0,.77778],10934:[.25142,.75726,0,0,.77778],10935:[.26167,.75726,0,0,.77778],10936:[.26167,.75726,0,0,.77778],10937:[.26167,.75726,0,0,.77778],10938:[.26167,.75726,0,0,.77778],10949:[.25583,.75583,0,0,.77778],10950:[.25583,.75583,0,0,.77778],10955:[.28481,.79383,0,0,.77778],10956:[.28481,.79383,0,0,.77778],57350:[.08167,.58167,0,0,.22222],57351:[.08167,.58167,0,0,.38889],57352:[.08167,.58167,0,0,.77778],57353:[0,.43056,.04028,0,.66667],57356:[.25142,.75726,0,0,.77778],57357:[.25142,.75726,0,0,.77778],57358:[.41951,.91951,0,0,.77778],57359:[.30274,.79383,0,0,.77778],57360:[.30274,.79383,0,0,.77778],57361:[.41951,.91951,0,0,.77778],57366:[.25142,.75726,0,0,.77778],57367:[.25142,.75726,0,0,.77778],57368:[.25142,.75726,0,0,.77778],57369:[.25142,.75726,0,0,.77778],57370:[.13597,.63597,0,0,.77778],57371:[.13597,.63597,0,0,.77778]},\"Caligraphic-Regular\":{48:[0,.43056,0,0,.5],49:[0,.43056,0,0,.5],50:[0,.43056,0,0,.5],51:[.19444,.43056,0,0,.5],52:[.19444,.43056,0,0,.5],53:[.19444,.43056,0,0,.5],54:[0,.64444,0,0,.5],55:[.19444,.43056,0,0,.5],56:[0,.64444,0,0,.5],57:[.19444,.43056,0,0,.5],65:[0,.68333,0,.19445,.79847],66:[0,.68333,.03041,.13889,.65681],67:[0,.68333,.05834,.13889,.52653],68:[0,.68333,.02778,.08334,.77139],69:[0,.68333,.08944,.11111,.52778],70:[0,.68333,.09931,.11111,.71875],71:[.09722,.68333,.0593,.11111,.59487],72:[0,.68333,.00965,.11111,.84452],73:[0,.68333,.07382,0,.54452],74:[.09722,.68333,.18472,.16667,.67778],75:[0,.68333,.01445,.05556,.76195],76:[0,.68333,0,.13889,.68972],77:[0,.68333,0,.13889,1.2009],78:[0,.68333,.14736,.08334,.82049],79:[0,.68333,.02778,.11111,.79611],80:[0,.68333,.08222,.08334,.69556],81:[.09722,.68333,0,.11111,.81667],82:[0,.68333,0,.08334,.8475],83:[0,.68333,.075,.13889,.60556],84:[0,.68333,.25417,0,.54464],85:[0,.68333,.09931,.08334,.62583],86:[0,.68333,.08222,0,.61278],87:[0,.68333,.08222,.08334,.98778],88:[0,.68333,.14643,.13889,.7133],89:[.09722,.68333,.08222,.08334,.66834],90:[0,.68333,.07944,.13889,.72473]},\"Fraktur-Regular\":{33:[0,.69141,0,0,.29574],34:[0,.69141,0,0,.21471],38:[0,.69141,0,0,.73786],39:[0,.69141,0,0,.21201],40:[.24982,.74947,0,0,.38865],41:[.24982,.74947,0,0,.38865],42:[0,.62119,0,0,.27764],43:[.08319,.58283,0,0,.75623],44:[0,.10803,0,0,.27764],45:[.08319,.58283,0,0,.75623],46:[0,.10803,0,0,.27764],47:[.24982,.74947,0,0,.50181],48:[0,.47534,0,0,.50181],49:[0,.47534,0,0,.50181],50:[0,.47534,0,0,.50181],51:[.18906,.47534,0,0,.50181],52:[.18906,.47534,0,0,.50181],53:[.18906,.47534,0,0,.50181],54:[0,.69141,0,0,.50181],55:[.18906,.47534,0,0,.50181],56:[0,.69141,0,0,.50181],57:[.18906,.47534,0,0,.50181],58:[0,.47534,0,0,.21606],59:[.12604,.47534,0,0,.21606],61:[-.13099,.36866,0,0,.75623],63:[0,.69141,0,0,.36245],65:[0,.69141,0,0,.7176],66:[0,.69141,0,0,.88397],67:[0,.69141,0,0,.61254],68:[0,.69141,0,0,.83158],69:[0,.69141,0,0,.66278],70:[.12604,.69141,0,0,.61119],71:[0,.69141,0,0,.78539],72:[.06302,.69141,0,0,.7203],73:[0,.69141,0,0,.55448],74:[.12604,.69141,0,0,.55231],75:[0,.69141,0,0,.66845],76:[0,.69141,0,0,.66602],77:[0,.69141,0,0,1.04953],78:[0,.69141,0,0,.83212],79:[0,.69141,0,0,.82699],80:[.18906,.69141,0,0,.82753],81:[.03781,.69141,0,0,.82699],82:[0,.69141,0,0,.82807],83:[0,.69141,0,0,.82861],84:[0,.69141,0,0,.66899],85:[0,.69141,0,0,.64576],86:[0,.69141,0,0,.83131],87:[0,.69141,0,0,1.04602],88:[0,.69141,0,0,.71922],89:[.18906,.69141,0,0,.83293],90:[.12604,.69141,0,0,.60201],91:[.24982,.74947,0,0,.27764],93:[.24982,.74947,0,0,.27764],94:[0,.69141,0,0,.49965],97:[0,.47534,0,0,.50046],98:[0,.69141,0,0,.51315],99:[0,.47534,0,0,.38946],100:[0,.62119,0,0,.49857],101:[0,.47534,0,0,.40053],102:[.18906,.69141,0,0,.32626],103:[.18906,.47534,0,0,.5037],104:[.18906,.69141,0,0,.52126],105:[0,.69141,0,0,.27899],106:[0,.69141,0,0,.28088],107:[0,.69141,0,0,.38946],108:[0,.69141,0,0,.27953],109:[0,.47534,0,0,.76676],110:[0,.47534,0,0,.52666],111:[0,.47534,0,0,.48885],112:[.18906,.52396,0,0,.50046],113:[.18906,.47534,0,0,.48912],114:[0,.47534,0,0,.38919],115:[0,.47534,0,0,.44266],116:[0,.62119,0,0,.33301],117:[0,.47534,0,0,.5172],118:[0,.52396,0,0,.5118],119:[0,.52396,0,0,.77351],120:[.18906,.47534,0,0,.38865],121:[.18906,.47534,0,0,.49884],122:[.18906,.47534,0,0,.39054],8216:[0,.69141,0,0,.21471],8217:[0,.69141,0,0,.21471],58112:[0,.62119,0,0,.49749],58113:[0,.62119,0,0,.4983],58114:[.18906,.69141,0,0,.33328],58115:[.18906,.69141,0,0,.32923],58116:[.18906,.47534,0,0,.50343],58117:[0,.69141,0,0,.33301],58118:[0,.62119,0,0,.33409],58119:[0,.47534,0,0,.50073]},\"Main-Bold\":{33:[0,.69444,0,0,.35],34:[0,.69444,0,0,.60278],35:[.19444,.69444,0,0,.95833],36:[.05556,.75,0,0,.575],37:[.05556,.75,0,0,.95833],38:[0,.69444,0,0,.89444],39:[0,.69444,0,0,.31944],40:[.25,.75,0,0,.44722],41:[.25,.75,0,0,.44722],42:[0,.75,0,0,.575],43:[.13333,.63333,0,0,.89444],44:[.19444,.15556,0,0,.31944],45:[0,.44444,0,0,.38333],46:[0,.15556,0,0,.31944],47:[.25,.75,0,0,.575],48:[0,.64444,0,0,.575],49:[0,.64444,0,0,.575],50:[0,.64444,0,0,.575],51:[0,.64444,0,0,.575],52:[0,.64444,0,0,.575],53:[0,.64444,0,0,.575],54:[0,.64444,0,0,.575],55:[0,.64444,0,0,.575],56:[0,.64444,0,0,.575],57:[0,.64444,0,0,.575],58:[0,.44444,0,0,.31944],59:[.19444,.44444,0,0,.31944],60:[.08556,.58556,0,0,.89444],61:[-.10889,.39111,0,0,.89444],62:[.08556,.58556,0,0,.89444],63:[0,.69444,0,0,.54305],64:[0,.69444,0,0,.89444],65:[0,.68611,0,0,.86944],66:[0,.68611,0,0,.81805],67:[0,.68611,0,0,.83055],68:[0,.68611,0,0,.88194],69:[0,.68611,0,0,.75555],70:[0,.68611,0,0,.72361],71:[0,.68611,0,0,.90416],72:[0,.68611,0,0,.9],73:[0,.68611,0,0,.43611],74:[0,.68611,0,0,.59444],75:[0,.68611,0,0,.90138],76:[0,.68611,0,0,.69166],77:[0,.68611,0,0,1.09166],78:[0,.68611,0,0,.9],79:[0,.68611,0,0,.86388],80:[0,.68611,0,0,.78611],81:[.19444,.68611,0,0,.86388],82:[0,.68611,0,0,.8625],83:[0,.68611,0,0,.63889],84:[0,.68611,0,0,.8],85:[0,.68611,0,0,.88472],86:[0,.68611,.01597,0,.86944],87:[0,.68611,.01597,0,1.18888],88:[0,.68611,0,0,.86944],89:[0,.68611,.02875,0,.86944],90:[0,.68611,0,0,.70277],91:[.25,.75,0,0,.31944],92:[.25,.75,0,0,.575],93:[.25,.75,0,0,.31944],94:[0,.69444,0,0,.575],95:[.31,.13444,.03194,0,.575],97:[0,.44444,0,0,.55902],98:[0,.69444,0,0,.63889],99:[0,.44444,0,0,.51111],100:[0,.69444,0,0,.63889],101:[0,.44444,0,0,.52708],102:[0,.69444,.10903,0,.35139],103:[.19444,.44444,.01597,0,.575],104:[0,.69444,0,0,.63889],105:[0,.69444,0,0,.31944],106:[.19444,.69444,0,0,.35139],107:[0,.69444,0,0,.60694],108:[0,.69444,0,0,.31944],109:[0,.44444,0,0,.95833],110:[0,.44444,0,0,.63889],111:[0,.44444,0,0,.575],112:[.19444,.44444,0,0,.63889],113:[.19444,.44444,0,0,.60694],114:[0,.44444,0,0,.47361],115:[0,.44444,0,0,.45361],116:[0,.63492,0,0,.44722],117:[0,.44444,0,0,.63889],118:[0,.44444,.01597,0,.60694],119:[0,.44444,.01597,0,.83055],120:[0,.44444,0,0,.60694],121:[.19444,.44444,.01597,0,.60694],122:[0,.44444,0,0,.51111],123:[.25,.75,0,0,.575],124:[.25,.75,0,0,.31944],125:[.25,.75,0,0,.575],126:[.35,.34444,0,0,.575],168:[0,.69444,0,0,.575],172:[0,.44444,0,0,.76666],176:[0,.69444,0,0,.86944],177:[.13333,.63333,0,0,.89444],184:[.17014,0,0,0,.51111],198:[0,.68611,0,0,1.04166],215:[.13333,.63333,0,0,.89444],216:[.04861,.73472,0,0,.89444],223:[0,.69444,0,0,.59722],230:[0,.44444,0,0,.83055],247:[.13333,.63333,0,0,.89444],248:[.09722,.54167,0,0,.575],305:[0,.44444,0,0,.31944],338:[0,.68611,0,0,1.16944],339:[0,.44444,0,0,.89444],567:[.19444,.44444,0,0,.35139],710:[0,.69444,0,0,.575],711:[0,.63194,0,0,.575],713:[0,.59611,0,0,.575],714:[0,.69444,0,0,.575],715:[0,.69444,0,0,.575],728:[0,.69444,0,0,.575],729:[0,.69444,0,0,.31944],730:[0,.69444,0,0,.86944],732:[0,.69444,0,0,.575],733:[0,.69444,0,0,.575],915:[0,.68611,0,0,.69166],916:[0,.68611,0,0,.95833],920:[0,.68611,0,0,.89444],923:[0,.68611,0,0,.80555],926:[0,.68611,0,0,.76666],928:[0,.68611,0,0,.9],931:[0,.68611,0,0,.83055],933:[0,.68611,0,0,.89444],934:[0,.68611,0,0,.83055],936:[0,.68611,0,0,.89444],937:[0,.68611,0,0,.83055],8211:[0,.44444,.03194,0,.575],8212:[0,.44444,.03194,0,1.14999],8216:[0,.69444,0,0,.31944],8217:[0,.69444,0,0,.31944],8220:[0,.69444,0,0,.60278],8221:[0,.69444,0,0,.60278],8224:[.19444,.69444,0,0,.51111],8225:[.19444,.69444,0,0,.51111],8242:[0,.55556,0,0,.34444],8407:[0,.72444,.15486,0,.575],8463:[0,.69444,0,0,.66759],8465:[0,.69444,0,0,.83055],8467:[0,.69444,0,0,.47361],8472:[.19444,.44444,0,0,.74027],8476:[0,.69444,0,0,.83055],8501:[0,.69444,0,0,.70277],8592:[-.10889,.39111,0,0,1.14999],8593:[.19444,.69444,0,0,.575],8594:[-.10889,.39111,0,0,1.14999],8595:[.19444,.69444,0,0,.575],8596:[-.10889,.39111,0,0,1.14999],8597:[.25,.75,0,0,.575],8598:[.19444,.69444,0,0,1.14999],8599:[.19444,.69444,0,0,1.14999],8600:[.19444,.69444,0,0,1.14999],8601:[.19444,.69444,0,0,1.14999],8636:[-.10889,.39111,0,0,1.14999],8637:[-.10889,.39111,0,0,1.14999],8640:[-.10889,.39111,0,0,1.14999],8641:[-.10889,.39111,0,0,1.14999],8656:[-.10889,.39111,0,0,1.14999],8657:[.19444,.69444,0,0,.70277],8658:[-.10889,.39111,0,0,1.14999],8659:[.19444,.69444,0,0,.70277],8660:[-.10889,.39111,0,0,1.14999],8661:[.25,.75,0,0,.70277],8704:[0,.69444,0,0,.63889],8706:[0,.69444,.06389,0,.62847],8707:[0,.69444,0,0,.63889],8709:[.05556,.75,0,0,.575],8711:[0,.68611,0,0,.95833],8712:[.08556,.58556,0,0,.76666],8715:[.08556,.58556,0,0,.76666],8722:[.13333,.63333,0,0,.89444],8723:[.13333,.63333,0,0,.89444],8725:[.25,.75,0,0,.575],8726:[.25,.75,0,0,.575],8727:[-.02778,.47222,0,0,.575],8728:[-.02639,.47361,0,0,.575],8729:[-.02639,.47361,0,0,.575],8730:[.18,.82,0,0,.95833],8733:[0,.44444,0,0,.89444],8734:[0,.44444,0,0,1.14999],8736:[0,.69224,0,0,.72222],8739:[.25,.75,0,0,.31944],8741:[.25,.75,0,0,.575],8743:[0,.55556,0,0,.76666],8744:[0,.55556,0,0,.76666],8745:[0,.55556,0,0,.76666],8746:[0,.55556,0,0,.76666],8747:[.19444,.69444,.12778,0,.56875],8764:[-.10889,.39111,0,0,.89444],8768:[.19444,.69444,0,0,.31944],8771:[.00222,.50222,0,0,.89444],8776:[.02444,.52444,0,0,.89444],8781:[.00222,.50222,0,0,.89444],8801:[.00222,.50222,0,0,.89444],8804:[.19667,.69667,0,0,.89444],8805:[.19667,.69667,0,0,.89444],8810:[.08556,.58556,0,0,1.14999],8811:[.08556,.58556,0,0,1.14999],8826:[.08556,.58556,0,0,.89444],8827:[.08556,.58556,0,0,.89444],8834:[.08556,.58556,0,0,.89444],8835:[.08556,.58556,0,0,.89444],8838:[.19667,.69667,0,0,.89444],8839:[.19667,.69667,0,0,.89444],8846:[0,.55556,0,0,.76666],8849:[.19667,.69667,0,0,.89444],8850:[.19667,.69667,0,0,.89444],8851:[0,.55556,0,0,.76666],8852:[0,.55556,0,0,.76666],8853:[.13333,.63333,0,0,.89444],8854:[.13333,.63333,0,0,.89444],8855:[.13333,.63333,0,0,.89444],8856:[.13333,.63333,0,0,.89444],8857:[.13333,.63333,0,0,.89444],8866:[0,.69444,0,0,.70277],8867:[0,.69444,0,0,.70277],8868:[0,.69444,0,0,.89444],8869:[0,.69444,0,0,.89444],8900:[-.02639,.47361,0,0,.575],8901:[-.02639,.47361,0,0,.31944],8902:[-.02778,.47222,0,0,.575],8968:[.25,.75,0,0,.51111],8969:[.25,.75,0,0,.51111],8970:[.25,.75,0,0,.51111],8971:[.25,.75,0,0,.51111],8994:[-.13889,.36111,0,0,1.14999],8995:[-.13889,.36111,0,0,1.14999],9651:[.19444,.69444,0,0,1.02222],9657:[-.02778,.47222,0,0,.575],9661:[.19444,.69444,0,0,1.02222],9667:[-.02778,.47222,0,0,.575],9711:[.19444,.69444,0,0,1.14999],9824:[.12963,.69444,0,0,.89444],9825:[.12963,.69444,0,0,.89444],9826:[.12963,.69444,0,0,.89444],9827:[.12963,.69444,0,0,.89444],9837:[0,.75,0,0,.44722],9838:[.19444,.69444,0,0,.44722],9839:[.19444,.69444,0,0,.44722],10216:[.25,.75,0,0,.44722],10217:[.25,.75,0,0,.44722],10815:[0,.68611,0,0,.9],10927:[.19667,.69667,0,0,.89444],10928:[.19667,.69667,0,0,.89444],57376:[.19444,.69444,0,0,0]},\"Main-BoldItalic\":{33:[0,.69444,.11417,0,.38611],34:[0,.69444,.07939,0,.62055],35:[.19444,.69444,.06833,0,.94444],37:[.05556,.75,.12861,0,.94444],38:[0,.69444,.08528,0,.88555],39:[0,.69444,.12945,0,.35555],40:[.25,.75,.15806,0,.47333],41:[.25,.75,.03306,0,.47333],42:[0,.75,.14333,0,.59111],43:[.10333,.60333,.03306,0,.88555],44:[.19444,.14722,0,0,.35555],45:[0,.44444,.02611,0,.41444],46:[0,.14722,0,0,.35555],47:[.25,.75,.15806,0,.59111],48:[0,.64444,.13167,0,.59111],49:[0,.64444,.13167,0,.59111],50:[0,.64444,.13167,0,.59111],51:[0,.64444,.13167,0,.59111],52:[.19444,.64444,.13167,0,.59111],53:[0,.64444,.13167,0,.59111],54:[0,.64444,.13167,0,.59111],55:[.19444,.64444,.13167,0,.59111],56:[0,.64444,.13167,0,.59111],57:[0,.64444,.13167,0,.59111],58:[0,.44444,.06695,0,.35555],59:[.19444,.44444,.06695,0,.35555],61:[-.10889,.39111,.06833,0,.88555],63:[0,.69444,.11472,0,.59111],64:[0,.69444,.09208,0,.88555],65:[0,.68611,0,0,.86555],66:[0,.68611,.0992,0,.81666],67:[0,.68611,.14208,0,.82666],68:[0,.68611,.09062,0,.87555],69:[0,.68611,.11431,0,.75666],70:[0,.68611,.12903,0,.72722],71:[0,.68611,.07347,0,.89527],72:[0,.68611,.17208,0,.8961],73:[0,.68611,.15681,0,.47166],74:[0,.68611,.145,0,.61055],75:[0,.68611,.14208,0,.89499],76:[0,.68611,0,0,.69777],77:[0,.68611,.17208,0,1.07277],78:[0,.68611,.17208,0,.8961],79:[0,.68611,.09062,0,.85499],80:[0,.68611,.0992,0,.78721],81:[.19444,.68611,.09062,0,.85499],82:[0,.68611,.02559,0,.85944],83:[0,.68611,.11264,0,.64999],84:[0,.68611,.12903,0,.7961],85:[0,.68611,.17208,0,.88083],86:[0,.68611,.18625,0,.86555],87:[0,.68611,.18625,0,1.15999],88:[0,.68611,.15681,0,.86555],89:[0,.68611,.19803,0,.86555],90:[0,.68611,.14208,0,.70888],91:[.25,.75,.1875,0,.35611],93:[.25,.75,.09972,0,.35611],94:[0,.69444,.06709,0,.59111],95:[.31,.13444,.09811,0,.59111],97:[0,.44444,.09426,0,.59111],98:[0,.69444,.07861,0,.53222],99:[0,.44444,.05222,0,.53222],100:[0,.69444,.10861,0,.59111],101:[0,.44444,.085,0,.53222],102:[.19444,.69444,.21778,0,.4],103:[.19444,.44444,.105,0,.53222],104:[0,.69444,.09426,0,.59111],105:[0,.69326,.11387,0,.35555],106:[.19444,.69326,.1672,0,.35555],107:[0,.69444,.11111,0,.53222],108:[0,.69444,.10861,0,.29666],109:[0,.44444,.09426,0,.94444],110:[0,.44444,.09426,0,.64999],111:[0,.44444,.07861,0,.59111],112:[.19444,.44444,.07861,0,.59111],113:[.19444,.44444,.105,0,.53222],114:[0,.44444,.11111,0,.50167],115:[0,.44444,.08167,0,.48694],116:[0,.63492,.09639,0,.385],117:[0,.44444,.09426,0,.62055],118:[0,.44444,.11111,0,.53222],119:[0,.44444,.11111,0,.76777],120:[0,.44444,.12583,0,.56055],121:[.19444,.44444,.105,0,.56166],122:[0,.44444,.13889,0,.49055],126:[.35,.34444,.11472,0,.59111],163:[0,.69444,0,0,.86853],168:[0,.69444,.11473,0,.59111],176:[0,.69444,0,0,.94888],184:[.17014,0,0,0,.53222],198:[0,.68611,.11431,0,1.02277],216:[.04861,.73472,.09062,0,.88555],223:[.19444,.69444,.09736,0,.665],230:[0,.44444,.085,0,.82666],248:[.09722,.54167,.09458,0,.59111],305:[0,.44444,.09426,0,.35555],338:[0,.68611,.11431,0,1.14054],339:[0,.44444,.085,0,.82666],567:[.19444,.44444,.04611,0,.385],710:[0,.69444,.06709,0,.59111],711:[0,.63194,.08271,0,.59111],713:[0,.59444,.10444,0,.59111],714:[0,.69444,.08528,0,.59111],715:[0,.69444,0,0,.59111],728:[0,.69444,.10333,0,.59111],729:[0,.69444,.12945,0,.35555],730:[0,.69444,0,0,.94888],732:[0,.69444,.11472,0,.59111],733:[0,.69444,.11472,0,.59111],915:[0,.68611,.12903,0,.69777],916:[0,.68611,0,0,.94444],920:[0,.68611,.09062,0,.88555],923:[0,.68611,0,0,.80666],926:[0,.68611,.15092,0,.76777],928:[0,.68611,.17208,0,.8961],931:[0,.68611,.11431,0,.82666],933:[0,.68611,.10778,0,.88555],934:[0,.68611,.05632,0,.82666],936:[0,.68611,.10778,0,.88555],937:[0,.68611,.0992,0,.82666],8211:[0,.44444,.09811,0,.59111],8212:[0,.44444,.09811,0,1.18221],8216:[0,.69444,.12945,0,.35555],8217:[0,.69444,.12945,0,.35555],8220:[0,.69444,.16772,0,.62055],8221:[0,.69444,.07939,0,.62055]},\"Main-Italic\":{33:[0,.69444,.12417,0,.30667],34:[0,.69444,.06961,0,.51444],35:[.19444,.69444,.06616,0,.81777],37:[.05556,.75,.13639,0,.81777],38:[0,.69444,.09694,0,.76666],39:[0,.69444,.12417,0,.30667],40:[.25,.75,.16194,0,.40889],41:[.25,.75,.03694,0,.40889],42:[0,.75,.14917,0,.51111],43:[.05667,.56167,.03694,0,.76666],44:[.19444,.10556,0,0,.30667],45:[0,.43056,.02826,0,.35778],46:[0,.10556,0,0,.30667],47:[.25,.75,.16194,0,.51111],48:[0,.64444,.13556,0,.51111],49:[0,.64444,.13556,0,.51111],50:[0,.64444,.13556,0,.51111],51:[0,.64444,.13556,0,.51111],52:[.19444,.64444,.13556,0,.51111],53:[0,.64444,.13556,0,.51111],54:[0,.64444,.13556,0,.51111],55:[.19444,.64444,.13556,0,.51111],56:[0,.64444,.13556,0,.51111],57:[0,.64444,.13556,0,.51111],58:[0,.43056,.0582,0,.30667],59:[.19444,.43056,.0582,0,.30667],61:[-.13313,.36687,.06616,0,.76666],63:[0,.69444,.1225,0,.51111],64:[0,.69444,.09597,0,.76666],65:[0,.68333,0,0,.74333],66:[0,.68333,.10257,0,.70389],67:[0,.68333,.14528,0,.71555],68:[0,.68333,.09403,0,.755],69:[0,.68333,.12028,0,.67833],70:[0,.68333,.13305,0,.65277],71:[0,.68333,.08722,0,.77361],72:[0,.68333,.16389,0,.74333],73:[0,.68333,.15806,0,.38555],74:[0,.68333,.14028,0,.525],75:[0,.68333,.14528,0,.76888],76:[0,.68333,0,0,.62722],77:[0,.68333,.16389,0,.89666],78:[0,.68333,.16389,0,.74333],79:[0,.68333,.09403,0,.76666],80:[0,.68333,.10257,0,.67833],81:[.19444,.68333,.09403,0,.76666],82:[0,.68333,.03868,0,.72944],83:[0,.68333,.11972,0,.56222],84:[0,.68333,.13305,0,.71555],85:[0,.68333,.16389,0,.74333],86:[0,.68333,.18361,0,.74333],87:[0,.68333,.18361,0,.99888],88:[0,.68333,.15806,0,.74333],89:[0,.68333,.19383,0,.74333],90:[0,.68333,.14528,0,.61333],91:[.25,.75,.1875,0,.30667],93:[.25,.75,.10528,0,.30667],94:[0,.69444,.06646,0,.51111],95:[.31,.12056,.09208,0,.51111],97:[0,.43056,.07671,0,.51111],98:[0,.69444,.06312,0,.46],99:[0,.43056,.05653,0,.46],100:[0,.69444,.10333,0,.51111],101:[0,.43056,.07514,0,.46],102:[.19444,.69444,.21194,0,.30667],103:[.19444,.43056,.08847,0,.46],104:[0,.69444,.07671,0,.51111],105:[0,.65536,.1019,0,.30667],106:[.19444,.65536,.14467,0,.30667],107:[0,.69444,.10764,0,.46],108:[0,.69444,.10333,0,.25555],109:[0,.43056,.07671,0,.81777],110:[0,.43056,.07671,0,.56222],111:[0,.43056,.06312,0,.51111],112:[.19444,.43056,.06312,0,.51111],113:[.19444,.43056,.08847,0,.46],114:[0,.43056,.10764,0,.42166],115:[0,.43056,.08208,0,.40889],116:[0,.61508,.09486,0,.33222],117:[0,.43056,.07671,0,.53666],118:[0,.43056,.10764,0,.46],119:[0,.43056,.10764,0,.66444],120:[0,.43056,.12042,0,.46389],121:[.19444,.43056,.08847,0,.48555],122:[0,.43056,.12292,0,.40889],126:[.35,.31786,.11585,0,.51111],163:[0,.69444,0,0,.76909],168:[0,.66786,.10474,0,.51111],176:[0,.69444,0,0,.83129],184:[.17014,0,0,0,.46],198:[0,.68333,.12028,0,.88277],216:[.04861,.73194,.09403,0,.76666],223:[.19444,.69444,.10514,0,.53666],230:[0,.43056,.07514,0,.71555],248:[.09722,.52778,.09194,0,.51111],305:[0,.43056,0,.02778,.32246],338:[0,.68333,.12028,0,.98499],339:[0,.43056,.07514,0,.71555],567:[.19444,.43056,0,.08334,.38403],710:[0,.69444,.06646,0,.51111],711:[0,.62847,.08295,0,.51111],713:[0,.56167,.10333,0,.51111],714:[0,.69444,.09694,0,.51111],715:[0,.69444,0,0,.51111],728:[0,.69444,.10806,0,.51111],729:[0,.66786,.11752,0,.30667],730:[0,.69444,0,0,.83129],732:[0,.66786,.11585,0,.51111],733:[0,.69444,.1225,0,.51111],915:[0,.68333,.13305,0,.62722],916:[0,.68333,0,0,.81777],920:[0,.68333,.09403,0,.76666],923:[0,.68333,0,0,.69222],926:[0,.68333,.15294,0,.66444],928:[0,.68333,.16389,0,.74333],931:[0,.68333,.12028,0,.71555],933:[0,.68333,.11111,0,.76666],934:[0,.68333,.05986,0,.71555],936:[0,.68333,.11111,0,.76666],937:[0,.68333,.10257,0,.71555],8211:[0,.43056,.09208,0,.51111],8212:[0,.43056,.09208,0,1.02222],8216:[0,.69444,.12417,0,.30667],8217:[0,.69444,.12417,0,.30667],8220:[0,.69444,.1685,0,.51444],8221:[0,.69444,.06961,0,.51444],8463:[0,.68889,0,0,.54028]},\"Main-Regular\":{32:[0,0,0,0,.25],33:[0,.69444,0,0,.27778],34:[0,.69444,0,0,.5],35:[.19444,.69444,0,0,.83334],36:[.05556,.75,0,0,.5],37:[.05556,.75,0,0,.83334],38:[0,.69444,0,0,.77778],39:[0,.69444,0,0,.27778],40:[.25,.75,0,0,.38889],41:[.25,.75,0,0,.38889],42:[0,.75,0,0,.5],43:[.08333,.58333,0,0,.77778],44:[.19444,.10556,0,0,.27778],45:[0,.43056,0,0,.33333],46:[0,.10556,0,0,.27778],47:[.25,.75,0,0,.5],48:[0,.64444,0,0,.5],49:[0,.64444,0,0,.5],50:[0,.64444,0,0,.5],51:[0,.64444,0,0,.5],52:[0,.64444,0,0,.5],53:[0,.64444,0,0,.5],54:[0,.64444,0,0,.5],55:[0,.64444,0,0,.5],56:[0,.64444,0,0,.5],57:[0,.64444,0,0,.5],58:[0,.43056,0,0,.27778],59:[.19444,.43056,0,0,.27778],60:[.0391,.5391,0,0,.77778],61:[-.13313,.36687,0,0,.77778],62:[.0391,.5391,0,0,.77778],63:[0,.69444,0,0,.47222],64:[0,.69444,0,0,.77778],65:[0,.68333,0,0,.75],66:[0,.68333,0,0,.70834],67:[0,.68333,0,0,.72222],68:[0,.68333,0,0,.76389],69:[0,.68333,0,0,.68056],70:[0,.68333,0,0,.65278],71:[0,.68333,0,0,.78472],72:[0,.68333,0,0,.75],73:[0,.68333,0,0,.36111],74:[0,.68333,0,0,.51389],75:[0,.68333,0,0,.77778],76:[0,.68333,0,0,.625],77:[0,.68333,0,0,.91667],78:[0,.68333,0,0,.75],79:[0,.68333,0,0,.77778],80:[0,.68333,0,0,.68056],81:[.19444,.68333,0,0,.77778],82:[0,.68333,0,0,.73611],83:[0,.68333,0,0,.55556],84:[0,.68333,0,0,.72222],85:[0,.68333,0,0,.75],86:[0,.68333,.01389,0,.75],87:[0,.68333,.01389,0,1.02778],88:[0,.68333,0,0,.75],89:[0,.68333,.025,0,.75],90:[0,.68333,0,0,.61111],91:[.25,.75,0,0,.27778],92:[.25,.75,0,0,.5],93:[.25,.75,0,0,.27778],94:[0,.69444,0,0,.5],95:[.31,.12056,.02778,0,.5],97:[0,.43056,0,0,.5],98:[0,.69444,0,0,.55556],99:[0,.43056,0,0,.44445],100:[0,.69444,0,0,.55556],101:[0,.43056,0,0,.44445],102:[0,.69444,.07778,0,.30556],103:[.19444,.43056,.01389,0,.5],104:[0,.69444,0,0,.55556],105:[0,.66786,0,0,.27778],106:[.19444,.66786,0,0,.30556],107:[0,.69444,0,0,.52778],108:[0,.69444,0,0,.27778],109:[0,.43056,0,0,.83334],110:[0,.43056,0,0,.55556],111:[0,.43056,0,0,.5],112:[.19444,.43056,0,0,.55556],113:[.19444,.43056,0,0,.52778],114:[0,.43056,0,0,.39167],115:[0,.43056,0,0,.39445],116:[0,.61508,0,0,.38889],117:[0,.43056,0,0,.55556],118:[0,.43056,.01389,0,.52778],119:[0,.43056,.01389,0,.72222],120:[0,.43056,0,0,.52778],121:[.19444,.43056,.01389,0,.52778],122:[0,.43056,0,0,.44445],123:[.25,.75,0,0,.5],124:[.25,.75,0,0,.27778],125:[.25,.75,0,0,.5],126:[.35,.31786,0,0,.5],160:[0,0,0,0,.25],167:[.19444,.69444,0,0,.44445],168:[0,.66786,0,0,.5],172:[0,.43056,0,0,.66667],176:[0,.69444,0,0,.75],177:[.08333,.58333,0,0,.77778],182:[.19444,.69444,0,0,.61111],184:[.17014,0,0,0,.44445],198:[0,.68333,0,0,.90278],215:[.08333,.58333,0,0,.77778],216:[.04861,.73194,0,0,.77778],223:[0,.69444,0,0,.5],230:[0,.43056,0,0,.72222],247:[.08333,.58333,0,0,.77778],248:[.09722,.52778,0,0,.5],305:[0,.43056,0,0,.27778],338:[0,.68333,0,0,1.01389],339:[0,.43056,0,0,.77778],567:[.19444,.43056,0,0,.30556],710:[0,.69444,0,0,.5],711:[0,.62847,0,0,.5],713:[0,.56778,0,0,.5],714:[0,.69444,0,0,.5],715:[0,.69444,0,0,.5],728:[0,.69444,0,0,.5],729:[0,.66786,0,0,.27778],730:[0,.69444,0,0,.75],732:[0,.66786,0,0,.5],733:[0,.69444,0,0,.5],915:[0,.68333,0,0,.625],916:[0,.68333,0,0,.83334],920:[0,.68333,0,0,.77778],923:[0,.68333,0,0,.69445],926:[0,.68333,0,0,.66667],928:[0,.68333,0,0,.75],931:[0,.68333,0,0,.72222],933:[0,.68333,0,0,.77778],934:[0,.68333,0,0,.72222],936:[0,.68333,0,0,.77778],937:[0,.68333,0,0,.72222],8211:[0,.43056,.02778,0,.5],8212:[0,.43056,.02778,0,1],8216:[0,.69444,0,0,.27778],8217:[0,.69444,0,0,.27778],8220:[0,.69444,0,0,.5],8221:[0,.69444,0,0,.5],8224:[.19444,.69444,0,0,.44445],8225:[.19444,.69444,0,0,.44445],8230:[0,.12,0,0,1.172],8242:[0,.55556,0,0,.275],8407:[0,.71444,.15382,0,.5],8463:[0,.68889,0,0,.54028],8465:[0,.69444,0,0,.72222],8467:[0,.69444,0,.11111,.41667],8472:[.19444,.43056,0,.11111,.63646],8476:[0,.69444,0,0,.72222],8501:[0,.69444,0,0,.61111],8592:[-.13313,.36687,0,0,1],8593:[.19444,.69444,0,0,.5],8594:[-.13313,.36687,0,0,1],8595:[.19444,.69444,0,0,.5],8596:[-.13313,.36687,0,0,1],8597:[.25,.75,0,0,.5],8598:[.19444,.69444,0,0,1],8599:[.19444,.69444,0,0,1],8600:[.19444,.69444,0,0,1],8601:[.19444,.69444,0,0,1],8614:[.011,.511,0,0,1],8617:[.011,.511,0,0,1.126],8618:[.011,.511,0,0,1.126],8636:[-.13313,.36687,0,0,1],8637:[-.13313,.36687,0,0,1],8640:[-.13313,.36687,0,0,1],8641:[-.13313,.36687,0,0,1],8652:[.011,.671,0,0,1],8656:[-.13313,.36687,0,0,1],8657:[.19444,.69444,0,0,.61111],8658:[-.13313,.36687,0,0,1],8659:[.19444,.69444,0,0,.61111],8660:[-.13313,.36687,0,0,1],8661:[.25,.75,0,0,.61111],8704:[0,.69444,0,0,.55556],8706:[0,.69444,.05556,.08334,.5309],8707:[0,.69444,0,0,.55556],8709:[.05556,.75,0,0,.5],8711:[0,.68333,0,0,.83334],8712:[.0391,.5391,0,0,.66667],8715:[.0391,.5391,0,0,.66667],8722:[.08333,.58333,0,0,.77778],8723:[.08333,.58333,0,0,.77778],8725:[.25,.75,0,0,.5],8726:[.25,.75,0,0,.5],8727:[-.03472,.46528,0,0,.5],8728:[-.05555,.44445,0,0,.5],8729:[-.05555,.44445,0,0,.5],8730:[.2,.8,0,0,.83334],8733:[0,.43056,0,0,.77778],8734:[0,.43056,0,0,1],8736:[0,.69224,0,0,.72222],8739:[.25,.75,0,0,.27778],8741:[.25,.75,0,0,.5],8743:[0,.55556,0,0,.66667],8744:[0,.55556,0,0,.66667],8745:[0,.55556,0,0,.66667],8746:[0,.55556,0,0,.66667],8747:[.19444,.69444,.11111,0,.41667],8764:[-.13313,.36687,0,0,.77778],8768:[.19444,.69444,0,0,.27778],8771:[-.03625,.46375,0,0,.77778],8773:[-.022,.589,0,0,1],8776:[-.01688,.48312,0,0,.77778],8781:[-.03625,.46375,0,0,.77778],8784:[-.133,.67,0,0,.778],8801:[-.03625,.46375,0,0,.77778],8804:[.13597,.63597,0,0,.77778],8805:[.13597,.63597,0,0,.77778],8810:[.0391,.5391,0,0,1],8811:[.0391,.5391,0,0,1],8826:[.0391,.5391,0,0,.77778],8827:[.0391,.5391,0,0,.77778],8834:[.0391,.5391,0,0,.77778],8835:[.0391,.5391,0,0,.77778],8838:[.13597,.63597,0,0,.77778],8839:[.13597,.63597,0,0,.77778],8846:[0,.55556,0,0,.66667],8849:[.13597,.63597,0,0,.77778],8850:[.13597,.63597,0,0,.77778],8851:[0,.55556,0,0,.66667],8852:[0,.55556,0,0,.66667],8853:[.08333,.58333,0,0,.77778],8854:[.08333,.58333,0,0,.77778],8855:[.08333,.58333,0,0,.77778],8856:[.08333,.58333,0,0,.77778],8857:[.08333,.58333,0,0,.77778],8866:[0,.69444,0,0,.61111],8867:[0,.69444,0,0,.61111],8868:[0,.69444,0,0,.77778],8869:[0,.69444,0,0,.77778],8872:[.249,.75,0,0,.867],8900:[-.05555,.44445,0,0,.5],8901:[-.05555,.44445,0,0,.27778],8902:[-.03472,.46528,0,0,.5],8904:[.005,.505,0,0,.9],8942:[.03,.9,0,0,.278],8943:[-.19,.31,0,0,1.172],8945:[-.1,.82,0,0,1.282],8968:[.25,.75,0,0,.44445],8969:[.25,.75,0,0,.44445],8970:[.25,.75,0,0,.44445],8971:[.25,.75,0,0,.44445],8994:[-.14236,.35764,0,0,1],8995:[-.14236,.35764,0,0,1],9136:[.244,.744,0,0,.412],9137:[.244,.744,0,0,.412],9651:[.19444,.69444,0,0,.88889],9657:[-.03472,.46528,0,0,.5],9661:[.19444,.69444,0,0,.88889],9667:[-.03472,.46528,0,0,.5],9711:[.19444,.69444,0,0,1],9824:[.12963,.69444,0,0,.77778],9825:[.12963,.69444,0,0,.77778],9826:[.12963,.69444,0,0,.77778],9827:[.12963,.69444,0,0,.77778],9837:[0,.75,0,0,.38889],9838:[.19444,.69444,0,0,.38889],9839:[.19444,.69444,0,0,.38889],10216:[.25,.75,0,0,.38889],10217:[.25,.75,0,0,.38889],10222:[.244,.744,0,0,.412],10223:[.244,.744,0,0,.412],10229:[.011,.511,0,0,1.609],10230:[.011,.511,0,0,1.638],10231:[.011,.511,0,0,1.859],10232:[.024,.525,0,0,1.609],10233:[.024,.525,0,0,1.638],10234:[.024,.525,0,0,1.858],10236:[.011,.511,0,0,1.638],10815:[0,.68333,0,0,.75],10927:[.13597,.63597,0,0,.77778],10928:[.13597,.63597,0,0,.77778],57376:[.19444,.69444,0,0,0]},\"Math-BoldItalic\":{65:[0,.68611,0,0,.86944],66:[0,.68611,.04835,0,.8664],67:[0,.68611,.06979,0,.81694],68:[0,.68611,.03194,0,.93812],69:[0,.68611,.05451,0,.81007],70:[0,.68611,.15972,0,.68889],71:[0,.68611,0,0,.88673],72:[0,.68611,.08229,0,.98229],73:[0,.68611,.07778,0,.51111],74:[0,.68611,.10069,0,.63125],75:[0,.68611,.06979,0,.97118],76:[0,.68611,0,0,.75555],77:[0,.68611,.11424,0,1.14201],78:[0,.68611,.11424,0,.95034],79:[0,.68611,.03194,0,.83666],80:[0,.68611,.15972,0,.72309],81:[.19444,.68611,0,0,.86861],82:[0,.68611,.00421,0,.87235],83:[0,.68611,.05382,0,.69271],84:[0,.68611,.15972,0,.63663],85:[0,.68611,.11424,0,.80027],86:[0,.68611,.25555,0,.67778],87:[0,.68611,.15972,0,1.09305],88:[0,.68611,.07778,0,.94722],89:[0,.68611,.25555,0,.67458],90:[0,.68611,.06979,0,.77257],97:[0,.44444,0,0,.63287],98:[0,.69444,0,0,.52083],99:[0,.44444,0,0,.51342],100:[0,.69444,0,0,.60972],101:[0,.44444,0,0,.55361],102:[.19444,.69444,.11042,0,.56806],103:[.19444,.44444,.03704,0,.5449],104:[0,.69444,0,0,.66759],105:[0,.69326,0,0,.4048],106:[.19444,.69326,.0622,0,.47083],107:[0,.69444,.01852,0,.6037],108:[0,.69444,.0088,0,.34815],109:[0,.44444,0,0,1.0324],110:[0,.44444,0,0,.71296],111:[0,.44444,0,0,.58472],112:[.19444,.44444,0,0,.60092],113:[.19444,.44444,.03704,0,.54213],114:[0,.44444,.03194,0,.5287],115:[0,.44444,0,0,.53125],116:[0,.63492,0,0,.41528],117:[0,.44444,0,0,.68102],118:[0,.44444,.03704,0,.56666],119:[0,.44444,.02778,0,.83148],120:[0,.44444,0,0,.65903],121:[.19444,.44444,.03704,0,.59028],122:[0,.44444,.04213,0,.55509],915:[0,.68611,.15972,0,.65694],916:[0,.68611,0,0,.95833],920:[0,.68611,.03194,0,.86722],923:[0,.68611,0,0,.80555],926:[0,.68611,.07458,0,.84125],928:[0,.68611,.08229,0,.98229],931:[0,.68611,.05451,0,.88507],933:[0,.68611,.15972,0,.67083],934:[0,.68611,0,0,.76666],936:[0,.68611,.11653,0,.71402],937:[0,.68611,.04835,0,.8789],945:[0,.44444,0,0,.76064],946:[.19444,.69444,.03403,0,.65972],947:[.19444,.44444,.06389,0,.59003],948:[0,.69444,.03819,0,.52222],949:[0,.44444,0,0,.52882],950:[.19444,.69444,.06215,0,.50833],951:[.19444,.44444,.03704,0,.6],952:[0,.69444,.03194,0,.5618],953:[0,.44444,0,0,.41204],954:[0,.44444,0,0,.66759],955:[0,.69444,0,0,.67083],956:[.19444,.44444,0,0,.70787],957:[0,.44444,.06898,0,.57685],958:[.19444,.69444,.03021,0,.50833],959:[0,.44444,0,0,.58472],960:[0,.44444,.03704,0,.68241],961:[.19444,.44444,0,0,.6118],962:[.09722,.44444,.07917,0,.42361],963:[0,.44444,.03704,0,.68588],964:[0,.44444,.13472,0,.52083],965:[0,.44444,.03704,0,.63055],966:[.19444,.44444,0,0,.74722],967:[.19444,.44444,0,0,.71805],968:[.19444,.69444,.03704,0,.75833],969:[0,.44444,.03704,0,.71782],977:[0,.69444,0,0,.69155],981:[.19444,.69444,0,0,.7125],982:[0,.44444,.03194,0,.975],1009:[.19444,.44444,0,0,.6118],1013:[0,.44444,0,0,.48333]},\"Math-Italic\":{65:[0,.68333,0,.13889,.75],66:[0,.68333,.05017,.08334,.75851],67:[0,.68333,.07153,.08334,.71472],68:[0,.68333,.02778,.05556,.82792],69:[0,.68333,.05764,.08334,.7382],70:[0,.68333,.13889,.08334,.64306],71:[0,.68333,0,.08334,.78625],72:[0,.68333,.08125,.05556,.83125],73:[0,.68333,.07847,.11111,.43958],74:[0,.68333,.09618,.16667,.55451],75:[0,.68333,.07153,.05556,.84931],76:[0,.68333,0,.02778,.68056],77:[0,.68333,.10903,.08334,.97014],78:[0,.68333,.10903,.08334,.80347],79:[0,.68333,.02778,.08334,.76278],80:[0,.68333,.13889,.08334,.64201],81:[.19444,.68333,0,.08334,.79056],82:[0,.68333,.00773,.08334,.75929],83:[0,.68333,.05764,.08334,.6132],84:[0,.68333,.13889,.08334,.58438],85:[0,.68333,.10903,.02778,.68278],86:[0,.68333,.22222,0,.58333],87:[0,.68333,.13889,0,.94445],88:[0,.68333,.07847,.08334,.82847],89:[0,.68333,.22222,0,.58056],90:[0,.68333,.07153,.08334,.68264],97:[0,.43056,0,0,.52859],98:[0,.69444,0,0,.42917],99:[0,.43056,0,.05556,.43276],100:[0,.69444,0,.16667,.52049],101:[0,.43056,0,.05556,.46563],102:[.19444,.69444,.10764,.16667,.48959],103:[.19444,.43056,.03588,.02778,.47697],104:[0,.69444,0,0,.57616],105:[0,.65952,0,0,.34451],106:[.19444,.65952,.05724,0,.41181],107:[0,.69444,.03148,0,.5206],108:[0,.69444,.01968,.08334,.29838],109:[0,.43056,0,0,.87801],110:[0,.43056,0,0,.60023],111:[0,.43056,0,.05556,.48472],112:[.19444,.43056,0,.08334,.50313],113:[.19444,.43056,.03588,.08334,.44641],114:[0,.43056,.02778,.05556,.45116],115:[0,.43056,0,.05556,.46875],116:[0,.61508,0,.08334,.36111],117:[0,.43056,0,.02778,.57246],118:[0,.43056,.03588,.02778,.48472],119:[0,.43056,.02691,.08334,.71592],120:[0,.43056,0,.02778,.57153],121:[.19444,.43056,.03588,.05556,.49028],122:[0,.43056,.04398,.05556,.46505],915:[0,.68333,.13889,.08334,.61528],916:[0,.68333,0,.16667,.83334],920:[0,.68333,.02778,.08334,.76278],923:[0,.68333,0,.16667,.69445],926:[0,.68333,.07569,.08334,.74236],928:[0,.68333,.08125,.05556,.83125],931:[0,.68333,.05764,.08334,.77986],933:[0,.68333,.13889,.05556,.58333],934:[0,.68333,0,.08334,.66667],936:[0,.68333,.11,.05556,.61222],937:[0,.68333,.05017,.08334,.7724],945:[0,.43056,.0037,.02778,.6397],946:[.19444,.69444,.05278,.08334,.56563],947:[.19444,.43056,.05556,0,.51773],948:[0,.69444,.03785,.05556,.44444],949:[0,.43056,0,.08334,.46632],950:[.19444,.69444,.07378,.08334,.4375],951:[.19444,.43056,.03588,.05556,.49653],952:[0,.69444,.02778,.08334,.46944],953:[0,.43056,0,.05556,.35394],954:[0,.43056,0,0,.57616],955:[0,.69444,0,0,.58334],956:[.19444,.43056,0,.02778,.60255],957:[0,.43056,.06366,.02778,.49398],958:[.19444,.69444,.04601,.11111,.4375],959:[0,.43056,0,.05556,.48472],960:[0,.43056,.03588,0,.57003],961:[.19444,.43056,0,.08334,.51702],962:[.09722,.43056,.07986,.08334,.36285],963:[0,.43056,.03588,0,.57141],964:[0,.43056,.1132,.02778,.43715],965:[0,.43056,.03588,.02778,.54028],966:[.19444,.43056,0,.08334,.65417],967:[.19444,.43056,0,.05556,.62569],968:[.19444,.69444,.03588,.11111,.65139],969:[0,.43056,.03588,0,.62245],977:[0,.69444,0,.08334,.59144],981:[.19444,.69444,0,.08334,.59583],982:[0,.43056,.02778,0,.82813],1009:[.19444,.43056,0,.08334,.51702],1013:[0,.43056,0,.05556,.4059]},\"Math-Regular\":{65:[0,.68333,0,.13889,.75],66:[0,.68333,.05017,.08334,.75851],67:[0,.68333,.07153,.08334,.71472],68:[0,.68333,.02778,.05556,.82792],69:[0,.68333,.05764,.08334,.7382],70:[0,.68333,.13889,.08334,.64306],71:[0,.68333,0,.08334,.78625],72:[0,.68333,.08125,.05556,.83125],73:[0,.68333,.07847,.11111,.43958],74:[0,.68333,.09618,.16667,.55451],75:[0,.68333,.07153,.05556,.84931],76:[0,.68333,0,.02778,.68056],77:[0,.68333,.10903,.08334,.97014],78:[0,.68333,.10903,.08334,.80347],79:[0,.68333,.02778,.08334,.76278],80:[0,.68333,.13889,.08334,.64201],81:[.19444,.68333,0,.08334,.79056],82:[0,.68333,.00773,.08334,.75929],83:[0,.68333,.05764,.08334,.6132],84:[0,.68333,.13889,.08334,.58438],85:[0,.68333,.10903,.02778,.68278],86:[0,.68333,.22222,0,.58333],87:[0,.68333,.13889,0,.94445],88:[0,.68333,.07847,.08334,.82847],89:[0,.68333,.22222,0,.58056],90:[0,.68333,.07153,.08334,.68264],97:[0,.43056,0,0,.52859],98:[0,.69444,0,0,.42917],99:[0,.43056,0,.05556,.43276],100:[0,.69444,0,.16667,.52049],101:[0,.43056,0,.05556,.46563],102:[.19444,.69444,.10764,.16667,.48959],103:[.19444,.43056,.03588,.02778,.47697],104:[0,.69444,0,0,.57616],105:[0,.65952,0,0,.34451],106:[.19444,.65952,.05724,0,.41181],107:[0,.69444,.03148,0,.5206],108:[0,.69444,.01968,.08334,.29838],109:[0,.43056,0,0,.87801],110:[0,.43056,0,0,.60023],111:[0,.43056,0,.05556,.48472],112:[.19444,.43056,0,.08334,.50313],113:[.19444,.43056,.03588,.08334,.44641],114:[0,.43056,.02778,.05556,.45116],115:[0,.43056,0,.05556,.46875],116:[0,.61508,0,.08334,.36111],117:[0,.43056,0,.02778,.57246],118:[0,.43056,.03588,.02778,.48472],119:[0,.43056,.02691,.08334,.71592],120:[0,.43056,0,.02778,.57153],121:[.19444,.43056,.03588,.05556,.49028],122:[0,.43056,.04398,.05556,.46505],915:[0,.68333,.13889,.08334,.61528],916:[0,.68333,0,.16667,.83334],920:[0,.68333,.02778,.08334,.76278],923:[0,.68333,0,.16667,.69445],926:[0,.68333,.07569,.08334,.74236],928:[0,.68333,.08125,.05556,.83125],931:[0,.68333,.05764,.08334,.77986],933:[0,.68333,.13889,.05556,.58333],934:[0,.68333,0,.08334,.66667],936:[0,.68333,.11,.05556,.61222],937:[0,.68333,.05017,.08334,.7724],945:[0,.43056,.0037,.02778,.6397],946:[.19444,.69444,.05278,.08334,.56563],947:[.19444,.43056,.05556,0,.51773],948:[0,.69444,.03785,.05556,.44444],949:[0,.43056,0,.08334,.46632],950:[.19444,.69444,.07378,.08334,.4375],951:[.19444,.43056,.03588,.05556,.49653],952:[0,.69444,.02778,.08334,.46944],953:[0,.43056,0,.05556,.35394],954:[0,.43056,0,0,.57616],955:[0,.69444,0,0,.58334],956:[.19444,.43056,0,.02778,.60255],957:[0,.43056,.06366,.02778,.49398],958:[.19444,.69444,.04601,.11111,.4375],959:[0,.43056,0,.05556,.48472],960:[0,.43056,.03588,0,.57003],961:[.19444,.43056,0,.08334,.51702],962:[.09722,.43056,.07986,.08334,.36285],963:[0,.43056,.03588,0,.57141],964:[0,.43056,.1132,.02778,.43715],965:[0,.43056,.03588,.02778,.54028],966:[.19444,.43056,0,.08334,.65417],967:[.19444,.43056,0,.05556,.62569],968:[.19444,.69444,.03588,.11111,.65139],969:[0,.43056,.03588,0,.62245],977:[0,.69444,0,.08334,.59144],981:[.19444,.69444,0,.08334,.59583],982:[0,.43056,.02778,0,.82813],1009:[.19444,.43056,0,.08334,.51702],1013:[0,.43056,0,.05556,.4059]},\"SansSerif-Bold\":{33:[0,.69444,0,0,.36667],34:[0,.69444,0,0,.55834],35:[.19444,.69444,0,0,.91667],36:[.05556,.75,0,0,.55],37:[.05556,.75,0,0,1.02912],38:[0,.69444,0,0,.83056],39:[0,.69444,0,0,.30556],40:[.25,.75,0,0,.42778],41:[.25,.75,0,0,.42778],42:[0,.75,0,0,.55],43:[.11667,.61667,0,0,.85556],44:[.10556,.13056,0,0,.30556],45:[0,.45833,0,0,.36667],46:[0,.13056,0,0,.30556],47:[.25,.75,0,0,.55],48:[0,.69444,0,0,.55],49:[0,.69444,0,0,.55],50:[0,.69444,0,0,.55],51:[0,.69444,0,0,.55],52:[0,.69444,0,0,.55],53:[0,.69444,0,0,.55],54:[0,.69444,0,0,.55],55:[0,.69444,0,0,.55],56:[0,.69444,0,0,.55],57:[0,.69444,0,0,.55],58:[0,.45833,0,0,.30556],59:[.10556,.45833,0,0,.30556],61:[-.09375,.40625,0,0,.85556],63:[0,.69444,0,0,.51945],64:[0,.69444,0,0,.73334],65:[0,.69444,0,0,.73334],66:[0,.69444,0,0,.73334],67:[0,.69444,0,0,.70278],68:[0,.69444,0,0,.79445],69:[0,.69444,0,0,.64167],70:[0,.69444,0,0,.61111],71:[0,.69444,0,0,.73334],72:[0,.69444,0,0,.79445],73:[0,.69444,0,0,.33056],74:[0,.69444,0,0,.51945],75:[0,.69444,0,0,.76389],76:[0,.69444,0,0,.58056],77:[0,.69444,0,0,.97778],78:[0,.69444,0,0,.79445],79:[0,.69444,0,0,.79445],80:[0,.69444,0,0,.70278],81:[.10556,.69444,0,0,.79445],82:[0,.69444,0,0,.70278],83:[0,.69444,0,0,.61111],84:[0,.69444,0,0,.73334],85:[0,.69444,0,0,.76389],86:[0,.69444,.01528,0,.73334],87:[0,.69444,.01528,0,1.03889],88:[0,.69444,0,0,.73334],89:[0,.69444,.0275,0,.73334],90:[0,.69444,0,0,.67223],91:[.25,.75,0,0,.34306],93:[.25,.75,0,0,.34306],94:[0,.69444,0,0,.55],95:[.35,.10833,.03056,0,.55],97:[0,.45833,0,0,.525],98:[0,.69444,0,0,.56111],99:[0,.45833,0,0,.48889],100:[0,.69444,0,0,.56111],101:[0,.45833,0,0,.51111],102:[0,.69444,.07639,0,.33611],103:[.19444,.45833,.01528,0,.55],104:[0,.69444,0,0,.56111],105:[0,.69444,0,0,.25556],106:[.19444,.69444,0,0,.28611],107:[0,.69444,0,0,.53056],108:[0,.69444,0,0,.25556],109:[0,.45833,0,0,.86667],110:[0,.45833,0,0,.56111],111:[0,.45833,0,0,.55],112:[.19444,.45833,0,0,.56111],113:[.19444,.45833,0,0,.56111],114:[0,.45833,.01528,0,.37222],115:[0,.45833,0,0,.42167],116:[0,.58929,0,0,.40417],117:[0,.45833,0,0,.56111],118:[0,.45833,.01528,0,.5],119:[0,.45833,.01528,0,.74445],120:[0,.45833,0,0,.5],121:[.19444,.45833,.01528,0,.5],122:[0,.45833,0,0,.47639],126:[.35,.34444,0,0,.55],168:[0,.69444,0,0,.55],176:[0,.69444,0,0,.73334],180:[0,.69444,0,0,.55],184:[.17014,0,0,0,.48889],305:[0,.45833,0,0,.25556],567:[.19444,.45833,0,0,.28611],710:[0,.69444,0,0,.55],711:[0,.63542,0,0,.55],713:[0,.63778,0,0,.55],728:[0,.69444,0,0,.55],729:[0,.69444,0,0,.30556],730:[0,.69444,0,0,.73334],732:[0,.69444,0,0,.55],733:[0,.69444,0,0,.55],915:[0,.69444,0,0,.58056],916:[0,.69444,0,0,.91667],920:[0,.69444,0,0,.85556],923:[0,.69444,0,0,.67223],926:[0,.69444,0,0,.73334],928:[0,.69444,0,0,.79445],931:[0,.69444,0,0,.79445],933:[0,.69444,0,0,.85556],934:[0,.69444,0,0,.79445],936:[0,.69444,0,0,.85556],937:[0,.69444,0,0,.79445],8211:[0,.45833,.03056,0,.55],8212:[0,.45833,.03056,0,1.10001],8216:[0,.69444,0,0,.30556],8217:[0,.69444,0,0,.30556],8220:[0,.69444,0,0,.55834],8221:[0,.69444,0,0,.55834]},\"SansSerif-Italic\":{33:[0,.69444,.05733,0,.31945],34:[0,.69444,.00316,0,.5],35:[.19444,.69444,.05087,0,.83334],36:[.05556,.75,.11156,0,.5],37:[.05556,.75,.03126,0,.83334],38:[0,.69444,.03058,0,.75834],39:[0,.69444,.07816,0,.27778],40:[.25,.75,.13164,0,.38889],41:[.25,.75,.02536,0,.38889],42:[0,.75,.11775,0,.5],43:[.08333,.58333,.02536,0,.77778],44:[.125,.08333,0,0,.27778],45:[0,.44444,.01946,0,.33333],46:[0,.08333,0,0,.27778],47:[.25,.75,.13164,0,.5],48:[0,.65556,.11156,0,.5],49:[0,.65556,.11156,0,.5],50:[0,.65556,.11156,0,.5],51:[0,.65556,.11156,0,.5],52:[0,.65556,.11156,0,.5],53:[0,.65556,.11156,0,.5],54:[0,.65556,.11156,0,.5],55:[0,.65556,.11156,0,.5],56:[0,.65556,.11156,0,.5],57:[0,.65556,.11156,0,.5],58:[0,.44444,.02502,0,.27778],59:[.125,.44444,.02502,0,.27778],61:[-.13,.37,.05087,0,.77778],63:[0,.69444,.11809,0,.47222],64:[0,.69444,.07555,0,.66667],65:[0,.69444,0,0,.66667],66:[0,.69444,.08293,0,.66667],67:[0,.69444,.11983,0,.63889],68:[0,.69444,.07555,0,.72223],69:[0,.69444,.11983,0,.59722],70:[0,.69444,.13372,0,.56945],71:[0,.69444,.11983,0,.66667],72:[0,.69444,.08094,0,.70834],73:[0,.69444,.13372,0,.27778],74:[0,.69444,.08094,0,.47222],75:[0,.69444,.11983,0,.69445],76:[0,.69444,0,0,.54167],77:[0,.69444,.08094,0,.875],78:[0,.69444,.08094,0,.70834],79:[0,.69444,.07555,0,.73611],80:[0,.69444,.08293,0,.63889],81:[.125,.69444,.07555,0,.73611],82:[0,.69444,.08293,0,.64584],83:[0,.69444,.09205,0,.55556],84:[0,.69444,.13372,0,.68056],85:[0,.69444,.08094,0,.6875],86:[0,.69444,.1615,0,.66667],87:[0,.69444,.1615,0,.94445],88:[0,.69444,.13372,0,.66667],89:[0,.69444,.17261,0,.66667],90:[0,.69444,.11983,0,.61111],91:[.25,.75,.15942,0,.28889],93:[.25,.75,.08719,0,.28889],94:[0,.69444,.0799,0,.5],95:[.35,.09444,.08616,0,.5],97:[0,.44444,.00981,0,.48056],98:[0,.69444,.03057,0,.51667],99:[0,.44444,.08336,0,.44445],100:[0,.69444,.09483,0,.51667],101:[0,.44444,.06778,0,.44445],102:[0,.69444,.21705,0,.30556],103:[.19444,.44444,.10836,0,.5],104:[0,.69444,.01778,0,.51667],105:[0,.67937,.09718,0,.23889],106:[.19444,.67937,.09162,0,.26667],107:[0,.69444,.08336,0,.48889],108:[0,.69444,.09483,0,.23889],109:[0,.44444,.01778,0,.79445],110:[0,.44444,.01778,0,.51667],111:[0,.44444,.06613,0,.5],112:[.19444,.44444,.0389,0,.51667],113:[.19444,.44444,.04169,0,.51667],114:[0,.44444,.10836,0,.34167],115:[0,.44444,.0778,0,.38333],116:[0,.57143,.07225,0,.36111],117:[0,.44444,.04169,0,.51667],118:[0,.44444,.10836,0,.46111],119:[0,.44444,.10836,0,.68334],120:[0,.44444,.09169,0,.46111],121:[.19444,.44444,.10836,0,.46111],122:[0,.44444,.08752,0,.43472],126:[.35,.32659,.08826,0,.5],168:[0,.67937,.06385,0,.5],176:[0,.69444,0,0,.73752],184:[.17014,0,0,0,.44445],305:[0,.44444,.04169,0,.23889],567:[.19444,.44444,.04169,0,.26667],710:[0,.69444,.0799,0,.5],711:[0,.63194,.08432,0,.5],713:[0,.60889,.08776,0,.5],714:[0,.69444,.09205,0,.5],715:[0,.69444,0,0,.5],728:[0,.69444,.09483,0,.5],729:[0,.67937,.07774,0,.27778],730:[0,.69444,0,0,.73752],732:[0,.67659,.08826,0,.5],733:[0,.69444,.09205,0,.5],915:[0,.69444,.13372,0,.54167],916:[0,.69444,0,0,.83334],920:[0,.69444,.07555,0,.77778],923:[0,.69444,0,0,.61111],926:[0,.69444,.12816,0,.66667],928:[0,.69444,.08094,0,.70834],931:[0,.69444,.11983,0,.72222],933:[0,.69444,.09031,0,.77778],934:[0,.69444,.04603,0,.72222],936:[0,.69444,.09031,0,.77778],937:[0,.69444,.08293,0,.72222],8211:[0,.44444,.08616,0,.5],8212:[0,.44444,.08616,0,1],8216:[0,.69444,.07816,0,.27778],8217:[0,.69444,.07816,0,.27778],8220:[0,.69444,.14205,0,.5],8221:[0,.69444,.00316,0,.5]},\"SansSerif-Regular\":{33:[0,.69444,0,0,.31945],34:[0,.69444,0,0,.5],35:[.19444,.69444,0,0,.83334],36:[.05556,.75,0,0,.5],37:[.05556,.75,0,0,.83334],38:[0,.69444,0,0,.75834],39:[0,.69444,0,0,.27778],40:[.25,.75,0,0,.38889],41:[.25,.75,0,0,.38889],42:[0,.75,0,0,.5],43:[.08333,.58333,0,0,.77778],44:[.125,.08333,0,0,.27778],45:[0,.44444,0,0,.33333],46:[0,.08333,0,0,.27778],47:[.25,.75,0,0,.5],48:[0,.65556,0,0,.5],49:[0,.65556,0,0,.5],50:[0,.65556,0,0,.5],51:[0,.65556,0,0,.5],52:[0,.65556,0,0,.5],53:[0,.65556,0,0,.5],54:[0,.65556,0,0,.5],55:[0,.65556,0,0,.5],56:[0,.65556,0,0,.5],57:[0,.65556,0,0,.5],58:[0,.44444,0,0,.27778],59:[.125,.44444,0,0,.27778],61:[-.13,.37,0,0,.77778],63:[0,.69444,0,0,.47222],64:[0,.69444,0,0,.66667],65:[0,.69444,0,0,.66667],66:[0,.69444,0,0,.66667],67:[0,.69444,0,0,.63889],68:[0,.69444,0,0,.72223],69:[0,.69444,0,0,.59722],70:[0,.69444,0,0,.56945],71:[0,.69444,0,0,.66667],72:[0,.69444,0,0,.70834],73:[0,.69444,0,0,.27778],74:[0,.69444,0,0,.47222],75:[0,.69444,0,0,.69445],76:[0,.69444,0,0,.54167],77:[0,.69444,0,0,.875],78:[0,.69444,0,0,.70834],79:[0,.69444,0,0,.73611],80:[0,.69444,0,0,.63889],81:[.125,.69444,0,0,.73611],82:[0,.69444,0,0,.64584],83:[0,.69444,0,0,.55556],84:[0,.69444,0,0,.68056],85:[0,.69444,0,0,.6875],86:[0,.69444,.01389,0,.66667],87:[0,.69444,.01389,0,.94445],88:[0,.69444,0,0,.66667],89:[0,.69444,.025,0,.66667],90:[0,.69444,0,0,.61111],91:[.25,.75,0,0,.28889],93:[.25,.75,0,0,.28889],94:[0,.69444,0,0,.5],95:[.35,.09444,.02778,0,.5],97:[0,.44444,0,0,.48056],98:[0,.69444,0,0,.51667],99:[0,.44444,0,0,.44445],100:[0,.69444,0,0,.51667],101:[0,.44444,0,0,.44445],102:[0,.69444,.06944,0,.30556],103:[.19444,.44444,.01389,0,.5],104:[0,.69444,0,0,.51667],105:[0,.67937,0,0,.23889],106:[.19444,.67937,0,0,.26667],107:[0,.69444,0,0,.48889],108:[0,.69444,0,0,.23889],109:[0,.44444,0,0,.79445],110:[0,.44444,0,0,.51667],111:[0,.44444,0,0,.5],112:[.19444,.44444,0,0,.51667],113:[.19444,.44444,0,0,.51667],114:[0,.44444,.01389,0,.34167],115:[0,.44444,0,0,.38333],116:[0,.57143,0,0,.36111],117:[0,.44444,0,0,.51667],118:[0,.44444,.01389,0,.46111],119:[0,.44444,.01389,0,.68334],120:[0,.44444,0,0,.46111],121:[.19444,.44444,.01389,0,.46111],122:[0,.44444,0,0,.43472],126:[.35,.32659,0,0,.5],168:[0,.67937,0,0,.5],176:[0,.69444,0,0,.66667],184:[.17014,0,0,0,.44445],305:[0,.44444,0,0,.23889],567:[.19444,.44444,0,0,.26667],710:[0,.69444,0,0,.5],711:[0,.63194,0,0,.5],713:[0,.60889,0,0,.5],714:[0,.69444,0,0,.5],715:[0,.69444,0,0,.5],728:[0,.69444,0,0,.5],729:[0,.67937,0,0,.27778],730:[0,.69444,0,0,.66667],732:[0,.67659,0,0,.5],733:[0,.69444,0,0,.5],915:[0,.69444,0,0,.54167],916:[0,.69444,0,0,.83334],920:[0,.69444,0,0,.77778],923:[0,.69444,0,0,.61111],926:[0,.69444,0,0,.66667],928:[0,.69444,0,0,.70834],931:[0,.69444,0,0,.72222],933:[0,.69444,0,0,.77778],934:[0,.69444,0,0,.72222],936:[0,.69444,0,0,.77778],937:[0,.69444,0,0,.72222],8211:[0,.44444,.02778,0,.5],8212:[0,.44444,.02778,0,1],8216:[0,.69444,0,0,.27778],8217:[0,.69444,0,0,.27778],8220:[0,.69444,0,0,.5],8221:[0,.69444,0,0,.5]},\"Script-Regular\":{65:[0,.7,.22925,0,.80253],66:[0,.7,.04087,0,.90757],67:[0,.7,.1689,0,.66619],68:[0,.7,.09371,0,.77443],69:[0,.7,.18583,0,.56162],70:[0,.7,.13634,0,.89544],71:[0,.7,.17322,0,.60961],72:[0,.7,.29694,0,.96919],73:[0,.7,.19189,0,.80907],74:[.27778,.7,.19189,0,1.05159],75:[0,.7,.31259,0,.91364],76:[0,.7,.19189,0,.87373],77:[0,.7,.15981,0,1.08031],78:[0,.7,.3525,0,.9015],79:[0,.7,.08078,0,.73787],80:[0,.7,.08078,0,1.01262],81:[0,.7,.03305,0,.88282],82:[0,.7,.06259,0,.85],83:[0,.7,.19189,0,.86767],84:[0,.7,.29087,0,.74697],85:[0,.7,.25815,0,.79996],86:[0,.7,.27523,0,.62204],87:[0,.7,.27523,0,.80532],88:[0,.7,.26006,0,.94445],89:[0,.7,.2939,0,.70961],90:[0,.7,.24037,0,.8212]},\"Size1-Regular\":{40:[.35001,.85,0,0,.45834],41:[.35001,.85,0,0,.45834],47:[.35001,.85,0,0,.57778],91:[.35001,.85,0,0,.41667],92:[.35001,.85,0,0,.57778],93:[.35001,.85,0,0,.41667],123:[.35001,.85,0,0,.58334],125:[.35001,.85,0,0,.58334],710:[0,.72222,0,0,.55556],732:[0,.72222,0,0,.55556],770:[0,.72222,0,0,.55556],771:[0,.72222,0,0,.55556],8214:[-99e-5,.601,0,0,.77778],8593:[1e-5,.6,0,0,.66667],8595:[1e-5,.6,0,0,.66667],8657:[1e-5,.6,0,0,.77778],8659:[1e-5,.6,0,0,.77778],8719:[.25001,.75,0,0,.94445],8720:[.25001,.75,0,0,.94445],8721:[.25001,.75,0,0,1.05556],8730:[.35001,.85,0,0,1],8739:[-.00599,.606,0,0,.33333],8741:[-.00599,.606,0,0,.55556],8747:[.30612,.805,.19445,0,.47222],8748:[.306,.805,.19445,0,.47222],8749:[.306,.805,.19445,0,.47222],8750:[.30612,.805,.19445,0,.47222],8896:[.25001,.75,0,0,.83334],8897:[.25001,.75,0,0,.83334],8898:[.25001,.75,0,0,.83334],8899:[.25001,.75,0,0,.83334],8968:[.35001,.85,0,0,.47222],8969:[.35001,.85,0,0,.47222],8970:[.35001,.85,0,0,.47222],8971:[.35001,.85,0,0,.47222],9168:[-99e-5,.601,0,0,.66667],10216:[.35001,.85,0,0,.47222],10217:[.35001,.85,0,0,.47222],10752:[.25001,.75,0,0,1.11111],10753:[.25001,.75,0,0,1.11111],10754:[.25001,.75,0,0,1.11111],10756:[.25001,.75,0,0,.83334],10758:[.25001,.75,0,0,.83334]},\"Size2-Regular\":{40:[.65002,1.15,0,0,.59722],41:[.65002,1.15,0,0,.59722],47:[.65002,1.15,0,0,.81111],91:[.65002,1.15,0,0,.47222],92:[.65002,1.15,0,0,.81111],93:[.65002,1.15,0,0,.47222],123:[.65002,1.15,0,0,.66667],125:[.65002,1.15,0,0,.66667],710:[0,.75,0,0,1],732:[0,.75,0,0,1],770:[0,.75,0,0,1],771:[0,.75,0,0,1],8719:[.55001,1.05,0,0,1.27778],8720:[.55001,1.05,0,0,1.27778],8721:[.55001,1.05,0,0,1.44445],8730:[.65002,1.15,0,0,1],8747:[.86225,1.36,.44445,0,.55556],8748:[.862,1.36,.44445,0,.55556],8749:[.862,1.36,.44445,0,.55556],8750:[.86225,1.36,.44445,0,.55556],8896:[.55001,1.05,0,0,1.11111],8897:[.55001,1.05,0,0,1.11111],8898:[.55001,1.05,0,0,1.11111],8899:[.55001,1.05,0,0,1.11111],8968:[.65002,1.15,0,0,.52778],8969:[.65002,1.15,0,0,.52778],8970:[.65002,1.15,0,0,.52778],8971:[.65002,1.15,0,0,.52778],10216:[.65002,1.15,0,0,.61111],10217:[.65002,1.15,0,0,.61111],10752:[.55001,1.05,0,0,1.51112],10753:[.55001,1.05,0,0,1.51112],10754:[.55001,1.05,0,0,1.51112],10756:[.55001,1.05,0,0,1.11111],10758:[.55001,1.05,0,0,1.11111]},\"Size3-Regular\":{40:[.95003,1.45,0,0,.73611],41:[.95003,1.45,0,0,.73611],47:[.95003,1.45,0,0,1.04445],91:[.95003,1.45,0,0,.52778],92:[.95003,1.45,0,0,1.04445],93:[.95003,1.45,0,0,.52778],123:[.95003,1.45,0,0,.75],125:[.95003,1.45,0,0,.75],710:[0,.75,0,0,1.44445],732:[0,.75,0,0,1.44445],770:[0,.75,0,0,1.44445],771:[0,.75,0,0,1.44445],8730:[.95003,1.45,0,0,1],8968:[.95003,1.45,0,0,.58334],8969:[.95003,1.45,0,0,.58334],8970:[.95003,1.45,0,0,.58334],8971:[.95003,1.45,0,0,.58334],10216:[.95003,1.45,0,0,.75],10217:[.95003,1.45,0,0,.75]},\"Size4-Regular\":{40:[1.25003,1.75,0,0,.79167],41:[1.25003,1.75,0,0,.79167],47:[1.25003,1.75,0,0,1.27778],91:[1.25003,1.75,0,0,.58334],92:[1.25003,1.75,0,0,1.27778],93:[1.25003,1.75,0,0,.58334],123:[1.25003,1.75,0,0,.80556],125:[1.25003,1.75,0,0,.80556],710:[0,.825,0,0,1.8889],732:[0,.825,0,0,1.8889],770:[0,.825,0,0,1.8889],771:[0,.825,0,0,1.8889],8730:[1.25003,1.75,0,0,1],8968:[1.25003,1.75,0,0,.63889],8969:[1.25003,1.75,0,0,.63889],8970:[1.25003,1.75,0,0,.63889],8971:[1.25003,1.75,0,0,.63889],9115:[.64502,1.155,0,0,.875],9116:[1e-5,.6,0,0,.875],9117:[.64502,1.155,0,0,.875],9118:[.64502,1.155,0,0,.875],9119:[1e-5,.6,0,0,.875],9120:[.64502,1.155,0,0,.875],9121:[.64502,1.155,0,0,.66667],9122:[-99e-5,.601,0,0,.66667],9123:[.64502,1.155,0,0,.66667],9124:[.64502,1.155,0,0,.66667],9125:[-99e-5,.601,0,0,.66667],9126:[.64502,1.155,0,0,.66667],9127:[1e-5,.9,0,0,.88889],9128:[.65002,1.15,0,0,.88889],9129:[.90001,0,0,0,.88889],9130:[0,.3,0,0,.88889],9131:[1e-5,.9,0,0,.88889],9132:[.65002,1.15,0,0,.88889],9133:[.90001,0,0,0,.88889],9143:[.88502,.915,0,0,1.05556],10216:[1.25003,1.75,0,0,.80556],10217:[1.25003,1.75,0,0,.80556],57344:[-.00499,.605,0,0,1.05556],57345:[-.00499,.605,0,0,1.05556],57680:[0,.12,0,0,.45],57681:[0,.12,0,0,.45],57682:[0,.12,0,0,.45],57683:[0,.12,0,0,.45]},\"Typewriter-Regular\":{32:[0,0,0,0,.525],33:[0,.61111,0,0,.525],34:[0,.61111,0,0,.525],35:[0,.61111,0,0,.525],36:[.08333,.69444,0,0,.525],37:[.08333,.69444,0,0,.525],38:[0,.61111,0,0,.525],39:[0,.61111,0,0,.525],40:[.08333,.69444,0,0,.525],41:[.08333,.69444,0,0,.525],42:[0,.52083,0,0,.525],43:[-.08056,.53055,0,0,.525],44:[.13889,.125,0,0,.525],45:[-.08056,.53055,0,0,.525],46:[0,.125,0,0,.525],47:[.08333,.69444,0,0,.525],48:[0,.61111,0,0,.525],49:[0,.61111,0,0,.525],50:[0,.61111,0,0,.525],51:[0,.61111,0,0,.525],52:[0,.61111,0,0,.525],53:[0,.61111,0,0,.525],54:[0,.61111,0,0,.525],55:[0,.61111,0,0,.525],56:[0,.61111,0,0,.525],57:[0,.61111,0,0,.525],58:[0,.43056,0,0,.525],59:[.13889,.43056,0,0,.525],60:[-.05556,.55556,0,0,.525],61:[-.19549,.41562,0,0,.525],62:[-.05556,.55556,0,0,.525],63:[0,.61111,0,0,.525],64:[0,.61111,0,0,.525],65:[0,.61111,0,0,.525],66:[0,.61111,0,0,.525],67:[0,.61111,0,0,.525],68:[0,.61111,0,0,.525],69:[0,.61111,0,0,.525],70:[0,.61111,0,0,.525],71:[0,.61111,0,0,.525],72:[0,.61111,0,0,.525],73:[0,.61111,0,0,.525],74:[0,.61111,0,0,.525],75:[0,.61111,0,0,.525],76:[0,.61111,0,0,.525],77:[0,.61111,0,0,.525],78:[0,.61111,0,0,.525],79:[0,.61111,0,0,.525],80:[0,.61111,0,0,.525],81:[.13889,.61111,0,0,.525],82:[0,.61111,0,0,.525],83:[0,.61111,0,0,.525],84:[0,.61111,0,0,.525],85:[0,.61111,0,0,.525],86:[0,.61111,0,0,.525],87:[0,.61111,0,0,.525],88:[0,.61111,0,0,.525],89:[0,.61111,0,0,.525],90:[0,.61111,0,0,.525],91:[.08333,.69444,0,0,.525],92:[.08333,.69444,0,0,.525],93:[.08333,.69444,0,0,.525],94:[0,.61111,0,0,.525],95:[.09514,0,0,0,.525],96:[0,.61111,0,0,.525],97:[0,.43056,0,0,.525],98:[0,.61111,0,0,.525],99:[0,.43056,0,0,.525],100:[0,.61111,0,0,.525],101:[0,.43056,0,0,.525],102:[0,.61111,0,0,.525],103:[.22222,.43056,0,0,.525],104:[0,.61111,0,0,.525],105:[0,.61111,0,0,.525],106:[.22222,.61111,0,0,.525],107:[0,.61111,0,0,.525],108:[0,.61111,0,0,.525],109:[0,.43056,0,0,.525],110:[0,.43056,0,0,.525],111:[0,.43056,0,0,.525],112:[.22222,.43056,0,0,.525],113:[.22222,.43056,0,0,.525],114:[0,.43056,0,0,.525],115:[0,.43056,0,0,.525],116:[0,.55358,0,0,.525],117:[0,.43056,0,0,.525],118:[0,.43056,0,0,.525],119:[0,.43056,0,0,.525],120:[0,.43056,0,0,.525],121:[.22222,.43056,0,0,.525],122:[0,.43056,0,0,.525],123:[.08333,.69444,0,0,.525],124:[.08333,.69444,0,0,.525],125:[.08333,.69444,0,0,.525],126:[0,.61111,0,0,.525],127:[0,.61111,0,0,.525],160:[0,0,0,0,.525],176:[0,.61111,0,0,.525],184:[.19445,0,0,0,.525],305:[0,.43056,0,0,.525],567:[.22222,.43056,0,0,.525],711:[0,.56597,0,0,.525],713:[0,.56555,0,0,.525],714:[0,.61111,0,0,.525],715:[0,.61111,0,0,.525],728:[0,.61111,0,0,.525],730:[0,.61111,0,0,.525],770:[0,.61111,0,0,.525],771:[0,.61111,0,0,.525],776:[0,.61111,0,0,.525],915:[0,.61111,0,0,.525],916:[0,.61111,0,0,.525],920:[0,.61111,0,0,.525],923:[0,.61111,0,0,.525],926:[0,.61111,0,0,.525],928:[0,.61111,0,0,.525],931:[0,.61111,0,0,.525],933:[0,.61111,0,0,.525],934:[0,.61111,0,0,.525],936:[0,.61111,0,0,.525],937:[0,.61111,0,0,.525],8216:[0,.61111,0,0,.525],8217:[0,.61111,0,0,.525],8242:[0,.61111,0,0,.525],9251:[.11111,.21944,0,0,.525]}},D={slant:[.25,.25,.25],space:[0,0,0],stretch:[0,0,0],shrink:[0,0,0],xHeight:[.431,.431,.431],quad:[1,1.171,1.472],extraSpace:[0,0,0],num1:[.677,.732,.925],num2:[.394,.384,.387],num3:[.444,.471,.504],denom1:[.686,.752,1.025],denom2:[.345,.344,.532],sup1:[.413,.503,.504],sup2:[.363,.431,.404],sup3:[.289,.286,.294],sub1:[.15,.143,.2],sub2:[.247,.286,.4],supDrop:[.386,.353,.494],subDrop:[.05,.071,.1],delim1:[2.39,1.7,1.98],delim2:[1.01,1.157,1.42],axisHeight:[.25,.25,.25],defaultRuleThickness:[.04,.049,.049],bigOpSpacing1:[.111,.111,.111],bigOpSpacing2:[.166,.166,.166],bigOpSpacing3:[.2,.2,.2],bigOpSpacing4:[.6,.611,.611],bigOpSpacing5:[.1,.143,.143],sqrtRuleThickness:[.04,.04,.04],ptPerEm:[10,10,10],doubleRuleSep:[.2,.2,.2]},F={\"\\xc5\":\"A\",\"\\xc7\":\"C\",\"\\xd0\":\"D\",\"\\xde\":\"o\",\"\\xe5\":\"a\",\"\\xe7\":\"c\",\"\\xf0\":\"d\",\"\\xfe\":\"o\",\"\\u0410\":\"A\",\"\\u0411\":\"B\",\"\\u0412\":\"B\",\"\\u0413\":\"F\",\"\\u0414\":\"A\",\"\\u0415\":\"E\",\"\\u0416\":\"K\",\"\\u0417\":\"3\",\"\\u0418\":\"N\",\"\\u0419\":\"N\",\"\\u041a\":\"K\",\"\\u041b\":\"N\",\"\\u041c\":\"M\",\"\\u041d\":\"H\",\"\\u041e\":\"O\",\"\\u041f\":\"N\",\"\\u0420\":\"P\",\"\\u0421\":\"C\",\"\\u0422\":\"T\",\"\\u0423\":\"y\",\"\\u0424\":\"O\",\"\\u0425\":\"X\",\"\\u0426\":\"U\",\"\\u0427\":\"h\",\"\\u0428\":\"W\",\"\\u0429\":\"W\",\"\\u042a\":\"B\",\"\\u042b\":\"X\",\"\\u042c\":\"B\",\"\\u042d\":\"3\",\"\\u042e\":\"X\",\"\\u042f\":\"R\",\"\\u0430\":\"a\",\"\\u0431\":\"b\",\"\\u0432\":\"a\",\"\\u0433\":\"r\",\"\\u0434\":\"y\",\"\\u0435\":\"e\",\"\\u0436\":\"m\",\"\\u0437\":\"e\",\"\\u0438\":\"n\",\"\\u0439\":\"n\",\"\\u043a\":\"n\",\"\\u043b\":\"n\",\"\\u043c\":\"m\",\"\\u043d\":\"n\",\"\\u043e\":\"o\",\"\\u043f\":\"n\",\"\\u0440\":\"p\",\"\\u0441\":\"c\",\"\\u0442\":\"o\",\"\\u0443\":\"y\",\"\\u0444\":\"b\",\"\\u0445\":\"x\",\"\\u0446\":\"n\",\"\\u0447\":\"n\",\"\\u0448\":\"w\",\"\\u0449\":\"w\",\"\\u044a\":\"a\",\"\\u044b\":\"m\",\"\\u044c\":\"a\",\"\\u044d\":\"e\",\"\\u044e\":\"m\",\"\\u044f\":\"r\"};function V(t,e,r){if(!P[e])throw new Error(\"Font metrics not found for font: \"+e+\".\");var a=t.charCodeAt(0),n=P[e][a];if(!n&&t[0]in F&&(a=F[t[0]].charCodeAt(0),n=P[e][a]),n||\"text\"!==r||z(a)&&(n=P[e][77]),n)return{depth:n[0],height:n[1],italic:n[2],skew:n[3],width:n[4]}}var U={};var G={bin:1,close:1,inner:1,open:1,punct:1,rel:1},X={\"accent-token\":1,mathord:1,\"op-token\":1,spacing:1,textord:1},Y={math:{},text:{}},_=Y;function W(t,e,r,a,n,o){Y[t][n]={font:e,group:r,replace:a},o&&a&&(Y[t][a]=Y[t][n])}var j=\"main\",$=\"ams\",Z=\"bin\",K=\"mathord\",J=\"op-token\",Q=\"rel\";W(\"math\",j,Q,\"\\u2261\",\"\\\\equiv\",!0),W(\"math\",j,Q,\"\\u227a\",\"\\\\prec\",!0),W(\"math\",j,Q,\"\\u227b\",\"\\\\succ\",!0),W(\"math\",j,Q,\"\\u223c\",\"\\\\sim\",!0),W(\"math\",j,Q,\"\\u22a5\",\"\\\\perp\"),W(\"math\",j,Q,\"\\u2aaf\",\"\\\\preceq\",!0),W(\"math\",j,Q,\"\\u2ab0\",\"\\\\succeq\",!0),W(\"math\",j,Q,\"\\u2243\",\"\\\\simeq\",!0),W(\"math\",j,Q,\"\\u2223\",\"\\\\mid\",!0),W(\"math\",j,Q,\"\\u226a\",\"\\\\ll\",!0),W(\"math\",j,Q,\"\\u226b\",\"\\\\gg\",!0),W(\"math\",j,Q,\"\\u224d\",\"\\\\asymp\",!0),W(\"math\",j,Q,\"\\u2225\",\"\\\\parallel\"),W(\"math\",j,Q,\"\\u22c8\",\"\\\\bowtie\",!0),W(\"math\",j,Q,\"\\u2323\",\"\\\\smile\",!0),W(\"math\",j,Q,\"\\u2291\",\"\\\\sqsubseteq\",!0),W(\"math\",j,Q,\"\\u2292\",\"\\\\sqsupseteq\",!0),W(\"math\",j,Q,\"\\u2250\",\"\\\\doteq\",!0),W(\"math\",j,Q,\"\\u2322\",\"\\\\frown\",!0),W(\"math\",j,Q,\"\\u220b\",\"\\\\ni\",!0),W(\"math\",j,Q,\"\\u221d\",\"\\\\propto\",!0),W(\"math\",j,Q,\"\\u22a2\",\"\\\\vdash\",!0),W(\"math\",j,Q,\"\\u22a3\",\"\\\\dashv\",!0),W(\"math\",j,Q,\"\\u220b\",\"\\\\owns\"),W(\"math\",j,\"punct\",\".\",\"\\\\ldotp\"),W(\"math\",j,\"punct\",\"\\u22c5\",\"\\\\cdotp\"),W(\"math\",j,\"textord\",\"#\",\"\\\\#\"),W(\"text\",j,\"textord\",\"#\",\"\\\\#\"),W(\"math\",j,\"textord\",\"&\",\"\\\\&\"),W(\"text\",j,\"textord\",\"&\",\"\\\\&\"),W(\"math\",j,\"textord\",\"\\u2135\",\"\\\\aleph\",!0),W(\"math\",j,\"textord\",\"\\u2200\",\"\\\\forall\",!0),W(\"math\",j,\"textord\",\"\\u210f\",\"\\\\hbar\",!0),W(\"math\",j,\"textord\",\"\\u2203\",\"\\\\exists\",!0),W(\"math\",j,\"textord\",\"\\u2207\",\"\\\\nabla\",!0),W(\"math\",j,\"textord\",\"\\u266d\",\"\\\\flat\",!0),W(\"math\",j,\"textord\",\"\\u2113\",\"\\\\ell\",!0),W(\"math\",j,\"textord\",\"\\u266e\",\"\\\\natural\",!0),W(\"math\",j,\"textord\",\"\\u2663\",\"\\\\clubsuit\",!0),W(\"math\",j,\"textord\",\"\\u2118\",\"\\\\wp\",!0),W(\"math\",j,\"textord\",\"\\u266f\",\"\\\\sharp\",!0),W(\"math\",j,\"textord\",\"\\u2662\",\"\\\\diamondsuit\",!0),W(\"math\",j,\"textord\",\"\\u211c\",\"\\\\Re\",!0),W(\"math\",j,\"textord\",\"\\u2661\",\"\\\\heartsuit\",!0),W(\"math\",j,\"textord\",\"\\u2111\",\"\\\\Im\",!0),W(\"math\",j,\"textord\",\"\\u2660\",\"\\\\spadesuit\",!0),W(\"text\",j,\"textord\",\"\\xa7\",\"\\\\S\",!0),W(\"text\",j,\"textord\",\"\\xb6\",\"\\\\P\",!0),W(\"math\",j,\"textord\",\"\\u2020\",\"\\\\dag\"),W(\"text\",j,\"textord\",\"\\u2020\",\"\\\\dag\"),W(\"text\",j,\"textord\",\"\\u2020\",\"\\\\textdagger\"),W(\"math\",j,\"textord\",\"\\u2021\",\"\\\\ddag\"),W(\"text\",j,\"textord\",\"\\u2021\",\"\\\\ddag\"),W(\"text\",j,\"textord\",\"\\u2021\",\"\\\\textdaggerdbl\"),W(\"math\",j,\"close\",\"\\u23b1\",\"\\\\rmoustache\",!0),W(\"math\",j,\"open\",\"\\u23b0\",\"\\\\lmoustache\",!0),W(\"math\",j,\"close\",\"\\u27ef\",\"\\\\rgroup\",!0),W(\"math\",j,\"open\",\"\\u27ee\",\"\\\\lgroup\",!0),W(\"math\",j,Z,\"\\u2213\",\"\\\\mp\",!0),W(\"math\",j,Z,\"\\u2296\",\"\\\\ominus\",!0),W(\"math\",j,Z,\"\\u228e\",\"\\\\uplus\",!0),W(\"math\",j,Z,\"\\u2293\",\"\\\\sqcap\",!0),W(\"math\",j,Z,\"\\u2217\",\"\\\\ast\"),W(\"math\",j,Z,\"\\u2294\",\"\\\\sqcup\",!0),W(\"math\",j,Z,\"\\u25ef\",\"\\\\bigcirc\"),W(\"math\",j,Z,\"\\u2219\",\"\\\\bullet\"),W(\"math\",j,Z,\"\\u2021\",\"\\\\ddagger\"),W(\"math\",j,Z,\"\\u2240\",\"\\\\wr\",!0),W(\"math\",j,Z,\"\\u2a3f\",\"\\\\amalg\"),W(\"math\",j,Z,\"&\",\"\\\\And\"),W(\"math\",j,Q,\"\\u27f5\",\"\\\\longleftarrow\",!0),W(\"math\",j,Q,\"\\u21d0\",\"\\\\Leftarrow\",!0),W(\"math\",j,Q,\"\\u27f8\",\"\\\\Longleftarrow\",!0),W(\"math\",j,Q,\"\\u27f6\",\"\\\\longrightarrow\",!0),W(\"math\",j,Q,\"\\u21d2\",\"\\\\Rightarrow\",!0),W(\"math\",j,Q,\"\\u27f9\",\"\\\\Longrightarrow\",!0),W(\"math\",j,Q,\"\\u2194\",\"\\\\leftrightarrow\",!0),W(\"math\",j,Q,\"\\u27f7\",\"\\\\longleftrightarrow\",!0),W(\"math\",j,Q,\"\\u21d4\",\"\\\\Leftrightarrow\",!0),W(\"math\",j,Q,\"\\u27fa\",\"\\\\Longleftrightarrow\",!0),W(\"math\",j,Q,\"\\u21a6\",\"\\\\mapsto\",!0),W(\"math\",j,Q,\"\\u27fc\",\"\\\\longmapsto\",!0),W(\"math\",j,Q,\"\\u2197\",\"\\\\nearrow\",!0),W(\"math\",j,Q,\"\\u21a9\",\"\\\\hookleftarrow\",!0),W(\"math\",j,Q,\"\\u21aa\",\"\\\\hookrightarrow\",!0),W(\"math\",j,Q,\"\\u2198\",\"\\\\searrow\",!0),W(\"math\",j,Q,\"\\u21bc\",\"\\\\leftharpoonup\",!0),W(\"math\",j,Q,\"\\u21c0\",\"\\\\rightharpoonup\",!0),W(\"math\",j,Q,\"\\u2199\",\"\\\\swarrow\",!0),W(\"math\",j,Q,\"\\u21bd\",\"\\\\leftharpoondown\",!0),W(\"math\",j,Q,\"\\u21c1\",\"\\\\rightharpoondown\",!0),W(\"math\",j,Q,\"\\u2196\",\"\\\\nwarrow\",!0),W(\"math\",j,Q,\"\\u21cc\",\"\\\\rightleftharpoons\",!0),W(\"math\",$,Q,\"\\u226e\",\"\\\\nless\",!0),W(\"math\",$,Q,\"\\ue010\",\"\\\\@nleqslant\"),W(\"math\",$,Q,\"\\ue011\",\"\\\\@nleqq\"),W(\"math\",$,Q,\"\\u2a87\",\"\\\\lneq\",!0),W(\"math\",$,Q,\"\\u2268\",\"\\\\lneqq\",!0),W(\"math\",$,Q,\"\\ue00c\",\"\\\\@lvertneqq\"),W(\"math\",$,Q,\"\\u22e6\",\"\\\\lnsim\",!0),W(\"math\",$,Q,\"\\u2a89\",\"\\\\lnapprox\",!0),W(\"math\",$,Q,\"\\u2280\",\"\\\\nprec\",!0),W(\"math\",$,Q,\"\\u22e0\",\"\\\\npreceq\",!0),W(\"math\",$,Q,\"\\u22e8\",\"\\\\precnsim\",!0),W(\"math\",$,Q,\"\\u2ab9\",\"\\\\precnapprox\",!0),W(\"math\",$,Q,\"\\u2241\",\"\\\\nsim\",!0),W(\"math\",$,Q,\"\\ue006\",\"\\\\@nshortmid\"),W(\"math\",$,Q,\"\\u2224\",\"\\\\nmid\",!0),W(\"math\",$,Q,\"\\u22ac\",\"\\\\nvdash\",!0),W(\"math\",$,Q,\"\\u22ad\",\"\\\\nvDash\",!0),W(\"math\",$,Q,\"\\u22ea\",\"\\\\ntriangleleft\"),W(\"math\",$,Q,\"\\u22ec\",\"\\\\ntrianglelefteq\",!0),W(\"math\",$,Q,\"\\u228a\",\"\\\\subsetneq\",!0),W(\"math\",$,Q,\"\\ue01a\",\"\\\\@varsubsetneq\"),W(\"math\",$,Q,\"\\u2acb\",\"\\\\subsetneqq\",!0),W(\"math\",$,Q,\"\\ue017\",\"\\\\@varsubsetneqq\"),W(\"math\",$,Q,\"\\u226f\",\"\\\\ngtr\",!0),W(\"math\",$,Q,\"\\ue00f\",\"\\\\@ngeqslant\"),W(\"math\",$,Q,\"\\ue00e\",\"\\\\@ngeqq\"),W(\"math\",$,Q,\"\\u2a88\",\"\\\\gneq\",!0),W(\"math\",$,Q,\"\\u2269\",\"\\\\gneqq\",!0),W(\"math\",$,Q,\"\\ue00d\",\"\\\\@gvertneqq\"),W(\"math\",$,Q,\"\\u22e7\",\"\\\\gnsim\",!0),W(\"math\",$,Q,\"\\u2a8a\",\"\\\\gnapprox\",!0),W(\"math\",$,Q,\"\\u2281\",\"\\\\nsucc\",!0),W(\"math\",$,Q,\"\\u22e1\",\"\\\\nsucceq\",!0),W(\"math\",$,Q,\"\\u22e9\",\"\\\\succnsim\",!0),W(\"math\",$,Q,\"\\u2aba\",\"\\\\succnapprox\",!0),W(\"math\",$,Q,\"\\u2246\",\"\\\\ncong\",!0),W(\"math\",$,Q,\"\\ue007\",\"\\\\@nshortparallel\"),W(\"math\",$,Q,\"\\u2226\",\"\\\\nparallel\",!0),W(\"math\",$,Q,\"\\u22af\",\"\\\\nVDash\",!0),W(\"math\",$,Q,\"\\u22eb\",\"\\\\ntriangleright\"),W(\"math\",$,Q,\"\\u22ed\",\"\\\\ntrianglerighteq\",!0),W(\"math\",$,Q,\"\\ue018\",\"\\\\@nsupseteqq\"),W(\"math\",$,Q,\"\\u228b\",\"\\\\supsetneq\",!0),W(\"math\",$,Q,\"\\ue01b\",\"\\\\@varsupsetneq\"),W(\"math\",$,Q,\"\\u2acc\",\"\\\\supsetneqq\",!0),W(\"math\",$,Q,\"\\ue019\",\"\\\\@varsupsetneqq\"),W(\"math\",$,Q,\"\\u22ae\",\"\\\\nVdash\",!0),W(\"math\",$,Q,\"\\u2ab5\",\"\\\\precneqq\",!0),W(\"math\",$,Q,\"\\u2ab6\",\"\\\\succneqq\",!0),W(\"math\",$,Q,\"\\ue016\",\"\\\\@nsubseteqq\"),W(\"math\",$,Z,\"\\u22b4\",\"\\\\unlhd\"),W(\"math\",$,Z,\"\\u22b5\",\"\\\\unrhd\"),W(\"math\",$,Q,\"\\u219a\",\"\\\\nleftarrow\",!0),W(\"math\",$,Q,\"\\u219b\",\"\\\\nrightarrow\",!0),W(\"math\",$,Q,\"\\u21cd\",\"\\\\nLeftarrow\",!0),W(\"math\",$,Q,\"\\u21cf\",\"\\\\nRightarrow\",!0),W(\"math\",$,Q,\"\\u21ae\",\"\\\\nleftrightarrow\",!0),W(\"math\",$,Q,\"\\u21ce\",\"\\\\nLeftrightarrow\",!0),W(\"math\",$,Q,\"\\u25b3\",\"\\\\vartriangle\"),W(\"math\",$,\"textord\",\"\\u210f\",\"\\\\hslash\"),W(\"math\",$,\"textord\",\"\\u25bd\",\"\\\\triangledown\"),W(\"math\",$,\"textord\",\"\\u25ca\",\"\\\\lozenge\"),W(\"math\",$,\"textord\",\"\\u24c8\",\"\\\\circledS\"),W(\"math\",$,\"textord\",\"\\xae\",\"\\\\circledR\"),W(\"text\",$,\"textord\",\"\\xae\",\"\\\\circledR\"),W(\"math\",$,\"textord\",\"\\u2221\",\"\\\\measuredangle\",!0),W(\"math\",$,\"textord\",\"\\u2204\",\"\\\\nexists\"),W(\"math\",$,\"textord\",\"\\u2127\",\"\\\\mho\"),W(\"math\",$,\"textord\",\"\\u2132\",\"\\\\Finv\",!0),W(\"math\",$,\"textord\",\"\\u2141\",\"\\\\Game\",!0),W(\"math\",$,\"textord\",\"\\u2035\",\"\\\\backprime\"),W(\"math\",$,\"textord\",\"\\u25b2\",\"\\\\blacktriangle\"),W(\"math\",$,\"textord\",\"\\u25bc\",\"\\\\blacktriangledown\"),W(\"math\",$,\"textord\",\"\\u25a0\",\"\\\\blacksquare\"),W(\"math\",$,\"textord\",\"\\u29eb\",\"\\\\blacklozenge\"),W(\"math\",$,\"textord\",\"\\u2605\",\"\\\\bigstar\"),W(\"math\",$,\"textord\",\"\\u2222\",\"\\\\sphericalangle\",!0),W(\"math\",$,\"textord\",\"\\u2201\",\"\\\\complement\",!0),W(\"math\",$,\"textord\",\"\\xf0\",\"\\\\eth\",!0),W(\"math\",$,\"textord\",\"\\u2571\",\"\\\\diagup\"),W(\"math\",$,\"textord\",\"\\u2572\",\"\\\\diagdown\"),W(\"math\",$,\"textord\",\"\\u25a1\",\"\\\\square\"),W(\"math\",$,\"textord\",\"\\u25a1\",\"\\\\Box\"),W(\"math\",$,\"textord\",\"\\u25ca\",\"\\\\Diamond\"),W(\"math\",$,\"textord\",\"\\xa5\",\"\\\\yen\",!0),W(\"text\",$,\"textord\",\"\\xa5\",\"\\\\yen\",!0),W(\"math\",$,\"textord\",\"\\u2713\",\"\\\\checkmark\",!0),W(\"text\",$,\"textord\",\"\\u2713\",\"\\\\checkmark\"),W(\"math\",$,\"textord\",\"\\u2136\",\"\\\\beth\",!0),W(\"math\",$,\"textord\",\"\\u2138\",\"\\\\daleth\",!0),W(\"math\",$,\"textord\",\"\\u2137\",\"\\\\gimel\",!0),W(\"math\",$,\"textord\",\"\\u03dd\",\"\\\\digamma\"),W(\"math\",$,\"textord\",\"\\u03f0\",\"\\\\varkappa\"),W(\"math\",$,\"open\",\"\\u250c\",\"\\\\ulcorner\",!0),W(\"math\",$,\"close\",\"\\u2510\",\"\\\\urcorner\",!0),W(\"math\",$,\"open\",\"\\u2514\",\"\\\\llcorner\",!0),W(\"math\",$,\"close\",\"\\u2518\",\"\\\\lrcorner\",!0),W(\"math\",$,Q,\"\\u2266\",\"\\\\leqq\",!0),W(\"math\",$,Q,\"\\u2a7d\",\"\\\\leqslant\",!0),W(\"math\",$,Q,\"\\u2a95\",\"\\\\eqslantless\",!0),W(\"math\",$,Q,\"\\u2272\",\"\\\\lesssim\",!0),W(\"math\",$,Q,\"\\u2a85\",\"\\\\lessapprox\",!0),W(\"math\",$,Q,\"\\u224a\",\"\\\\approxeq\",!0),W(\"math\",$,Z,\"\\u22d6\",\"\\\\lessdot\"),W(\"math\",$,Q,\"\\u22d8\",\"\\\\lll\",!0),W(\"math\",$,Q,\"\\u2276\",\"\\\\lessgtr\",!0),W(\"math\",$,Q,\"\\u22da\",\"\\\\lesseqgtr\",!0),W(\"math\",$,Q,\"\\u2a8b\",\"\\\\lesseqqgtr\",!0),W(\"math\",$,Q,\"\\u2251\",\"\\\\doteqdot\"),W(\"math\",$,Q,\"\\u2253\",\"\\\\risingdotseq\",!0),W(\"math\",$,Q,\"\\u2252\",\"\\\\fallingdotseq\",!0),W(\"math\",$,Q,\"\\u223d\",\"\\\\backsim\",!0),W(\"math\",$,Q,\"\\u22cd\",\"\\\\backsimeq\",!0),W(\"math\",$,Q,\"\\u2ac5\",\"\\\\subseteqq\",!0),W(\"math\",$,Q,\"\\u22d0\",\"\\\\Subset\",!0),W(\"math\",$,Q,\"\\u228f\",\"\\\\sqsubset\",!0),W(\"math\",$,Q,\"\\u227c\",\"\\\\preccurlyeq\",!0),W(\"math\",$,Q,\"\\u22de\",\"\\\\curlyeqprec\",!0),W(\"math\",$,Q,\"\\u227e\",\"\\\\precsim\",!0),W(\"math\",$,Q,\"\\u2ab7\",\"\\\\precapprox\",!0),W(\"math\",$,Q,\"\\u22b2\",\"\\\\vartriangleleft\"),W(\"math\",$,Q,\"\\u22b4\",\"\\\\trianglelefteq\"),W(\"math\",$,Q,\"\\u22a8\",\"\\\\vDash\",!0),W(\"math\",$,Q,\"\\u22aa\",\"\\\\Vvdash\",!0),W(\"math\",$,Q,\"\\u2323\",\"\\\\smallsmile\"),W(\"math\",$,Q,\"\\u2322\",\"\\\\smallfrown\"),W(\"math\",$,Q,\"\\u224f\",\"\\\\bumpeq\",!0),W(\"math\",$,Q,\"\\u224e\",\"\\\\Bumpeq\",!0),W(\"math\",$,Q,\"\\u2267\",\"\\\\geqq\",!0),W(\"math\",$,Q,\"\\u2a7e\",\"\\\\geqslant\",!0),W(\"math\",$,Q,\"\\u2a96\",\"\\\\eqslantgtr\",!0),W(\"math\",$,Q,\"\\u2273\",\"\\\\gtrsim\",!0),W(\"math\",$,Q,\"\\u2a86\",\"\\\\gtrapprox\",!0),W(\"math\",$,Z,\"\\u22d7\",\"\\\\gtrdot\"),W(\"math\",$,Q,\"\\u22d9\",\"\\\\ggg\",!0),W(\"math\",$,Q,\"\\u2277\",\"\\\\gtrless\",!0),W(\"math\",$,Q,\"\\u22db\",\"\\\\gtreqless\",!0),W(\"math\",$,Q,\"\\u2a8c\",\"\\\\gtreqqless\",!0),W(\"math\",$,Q,\"\\u2256\",\"\\\\eqcirc\",!0),W(\"math\",$,Q,\"\\u2257\",\"\\\\circeq\",!0),W(\"math\",$,Q,\"\\u225c\",\"\\\\triangleq\",!0),W(\"math\",$,Q,\"\\u223c\",\"\\\\thicksim\"),W(\"math\",$,Q,\"\\u2248\",\"\\\\thickapprox\"),W(\"math\",$,Q,\"\\u2ac6\",\"\\\\supseteqq\",!0),W(\"math\",$,Q,\"\\u22d1\",\"\\\\Supset\",!0),W(\"math\",$,Q,\"\\u2290\",\"\\\\sqsupset\",!0),W(\"math\",$,Q,\"\\u227d\",\"\\\\succcurlyeq\",!0),W(\"math\",$,Q,\"\\u22df\",\"\\\\curlyeqsucc\",!0),W(\"math\",$,Q,\"\\u227f\",\"\\\\succsim\",!0),W(\"math\",$,Q,\"\\u2ab8\",\"\\\\succapprox\",!0),W(\"math\",$,Q,\"\\u22b3\",\"\\\\vartriangleright\"),W(\"math\",$,Q,\"\\u22b5\",\"\\\\trianglerighteq\"),W(\"math\",$,Q,\"\\u22a9\",\"\\\\Vdash\",!0),W(\"math\",$,Q,\"\\u2223\",\"\\\\shortmid\"),W(\"math\",$,Q,\"\\u2225\",\"\\\\shortparallel\"),W(\"math\",$,Q,\"\\u226c\",\"\\\\between\",!0),W(\"math\",$,Q,\"\\u22d4\",\"\\\\pitchfork\",!0),W(\"math\",$,Q,\"\\u221d\",\"\\\\varpropto\"),W(\"math\",$,Q,\"\\u25c0\",\"\\\\blacktriangleleft\"),W(\"math\",$,Q,\"\\u2234\",\"\\\\therefore\",!0),W(\"math\",$,Q,\"\\u220d\",\"\\\\backepsilon\"),W(\"math\",$,Q,\"\\u25b6\",\"\\\\blacktriangleright\"),W(\"math\",$,Q,\"\\u2235\",\"\\\\because\",!0),W(\"math\",$,Q,\"\\u22d8\",\"\\\\llless\"),W(\"math\",$,Q,\"\\u22d9\",\"\\\\gggtr\"),W(\"math\",$,Z,\"\\u22b2\",\"\\\\lhd\"),W(\"math\",$,Z,\"\\u22b3\",\"\\\\rhd\"),W(\"math\",$,Q,\"\\u2242\",\"\\\\eqsim\",!0),W(\"math\",j,Q,\"\\u22c8\",\"\\\\Join\"),W(\"math\",$,Q,\"\\u2251\",\"\\\\Doteq\",!0),W(\"math\",$,Z,\"\\u2214\",\"\\\\dotplus\",!0),W(\"math\",$,Z,\"\\u2216\",\"\\\\smallsetminus\"),W(\"math\",$,Z,\"\\u22d2\",\"\\\\Cap\",!0),W(\"math\",$,Z,\"\\u22d3\",\"\\\\Cup\",!0),W(\"math\",$,Z,\"\\u2a5e\",\"\\\\doublebarwedge\",!0),W(\"math\",$,Z,\"\\u229f\",\"\\\\boxminus\",!0),W(\"math\",$,Z,\"\\u229e\",\"\\\\boxplus\",!0),W(\"math\",$,Z,\"\\u22c7\",\"\\\\divideontimes\",!0),W(\"math\",$,Z,\"\\u22c9\",\"\\\\ltimes\",!0),W(\"math\",$,Z,\"\\u22ca\",\"\\\\rtimes\",!0),W(\"math\",$,Z,\"\\u22cb\",\"\\\\leftthreetimes\",!0),W(\"math\",$,Z,\"\\u22cc\",\"\\\\rightthreetimes\",!0),W(\"math\",$,Z,\"\\u22cf\",\"\\\\curlywedge\",!0),W(\"math\",$,Z,\"\\u22ce\",\"\\\\curlyvee\",!0),W(\"math\",$,Z,\"\\u229d\",\"\\\\circleddash\",!0),W(\"math\",$,Z,\"\\u229b\",\"\\\\circledast\",!0),W(\"math\",$,Z,\"\\u22c5\",\"\\\\centerdot\"),W(\"math\",$,Z,\"\\u22ba\",\"\\\\intercal\",!0),W(\"math\",$,Z,\"\\u22d2\",\"\\\\doublecap\"),W(\"math\",$,Z,\"\\u22d3\",\"\\\\doublecup\"),W(\"math\",$,Z,\"\\u22a0\",\"\\\\boxtimes\",!0),W(\"math\",$,Q,\"\\u21e2\",\"\\\\dashrightarrow\",!0),W(\"math\",$,Q,\"\\u21e0\",\"\\\\dashleftarrow\",!0),W(\"math\",$,Q,\"\\u21c7\",\"\\\\leftleftarrows\",!0),W(\"math\",$,Q,\"\\u21c6\",\"\\\\leftrightarrows\",!0),W(\"math\",$,Q,\"\\u21da\",\"\\\\Lleftarrow\",!0),W(\"math\",$,Q,\"\\u219e\",\"\\\\twoheadleftarrow\",!0),W(\"math\",$,Q,\"\\u21a2\",\"\\\\leftarrowtail\",!0),W(\"math\",$,Q,\"\\u21ab\",\"\\\\looparrowleft\",!0),W(\"math\",$,Q,\"\\u21cb\",\"\\\\leftrightharpoons\",!0),W(\"math\",$,Q,\"\\u21b6\",\"\\\\curvearrowleft\",!0),W(\"math\",$,Q,\"\\u21ba\",\"\\\\circlearrowleft\",!0),W(\"math\",$,Q,\"\\u21b0\",\"\\\\Lsh\",!0),W(\"math\",$,Q,\"\\u21c8\",\"\\\\upuparrows\",!0),W(\"math\",$,Q,\"\\u21bf\",\"\\\\upharpoonleft\",!0),W(\"math\",$,Q,\"\\u21c3\",\"\\\\downharpoonleft\",!0),W(\"math\",$,Q,\"\\u22b8\",\"\\\\multimap\",!0),W(\"math\",$,Q,\"\\u21ad\",\"\\\\leftrightsquigarrow\",!0),W(\"math\",$,Q,\"\\u21c9\",\"\\\\rightrightarrows\",!0),W(\"math\",$,Q,\"\\u21c4\",\"\\\\rightleftarrows\",!0),W(\"math\",$,Q,\"\\u21a0\",\"\\\\twoheadrightarrow\",!0),W(\"math\",$,Q,\"\\u21a3\",\"\\\\rightarrowtail\",!0),W(\"math\",$,Q,\"\\u21ac\",\"\\\\looparrowright\",!0),W(\"math\",$,Q,\"\\u21b7\",\"\\\\curvearrowright\",!0),W(\"math\",$,Q,\"\\u21bb\",\"\\\\circlearrowright\",!0),W(\"math\",$,Q,\"\\u21b1\",\"\\\\Rsh\",!0),W(\"math\",$,Q,\"\\u21ca\",\"\\\\downdownarrows\",!0),W(\"math\",$,Q,\"\\u21be\",\"\\\\upharpoonright\",!0),W(\"math\",$,Q,\"\\u21c2\",\"\\\\downharpoonright\",!0),W(\"math\",$,Q,\"\\u21dd\",\"\\\\rightsquigarrow\",!0),W(\"math\",$,Q,\"\\u21dd\",\"\\\\leadsto\"),W(\"math\",$,Q,\"\\u21db\",\"\\\\Rrightarrow\",!0),W(\"math\",$,Q,\"\\u21be\",\"\\\\restriction\"),W(\"math\",j,\"textord\",\"\\u2018\",\"`\"),W(\"math\",j,\"textord\",\"$\",\"\\\\$\"),W(\"text\",j,\"textord\",\"$\",\"\\\\$\"),W(\"text\",j,\"textord\",\"$\",\"\\\\textdollar\"),W(\"math\",j,\"textord\",\"%\",\"\\\\%\"),W(\"text\",j,\"textord\",\"%\",\"\\\\%\"),W(\"math\",j,\"textord\",\"_\",\"\\\\_\"),W(\"text\",j,\"textord\",\"_\",\"\\\\_\"),W(\"text\",j,\"textord\",\"_\",\"\\\\textunderscore\"),W(\"math\",j,\"textord\",\"\\u2220\",\"\\\\angle\",!0),W(\"math\",j,\"textord\",\"\\u221e\",\"\\\\infty\",!0),W(\"math\",j,\"textord\",\"\\u2032\",\"\\\\prime\"),W(\"math\",j,\"textord\",\"\\u25b3\",\"\\\\triangle\"),W(\"math\",j,\"textord\",\"\\u0393\",\"\\\\Gamma\",!0),W(\"math\",j,\"textord\",\"\\u0394\",\"\\\\Delta\",!0),W(\"math\",j,\"textord\",\"\\u0398\",\"\\\\Theta\",!0),W(\"math\",j,\"textord\",\"\\u039b\",\"\\\\Lambda\",!0),W(\"math\",j,\"textord\",\"\\u039e\",\"\\\\Xi\",!0),W(\"math\",j,\"textord\",\"\\u03a0\",\"\\\\Pi\",!0),W(\"math\",j,\"textord\",\"\\u03a3\",\"\\\\Sigma\",!0),W(\"math\",j,\"textord\",\"\\u03a5\",\"\\\\Upsilon\",!0),W(\"math\",j,\"textord\",\"\\u03a6\",\"\\\\Phi\",!0),W(\"math\",j,\"textord\",\"\\u03a8\",\"\\\\Psi\",!0),W(\"math\",j,\"textord\",\"\\u03a9\",\"\\\\Omega\",!0),W(\"math\",j,\"textord\",\"A\",\"\\u0391\"),W(\"math\",j,\"textord\",\"B\",\"\\u0392\"),W(\"math\",j,\"textord\",\"E\",\"\\u0395\"),W(\"math\",j,\"textord\",\"Z\",\"\\u0396\"),W(\"math\",j,\"textord\",\"H\",\"\\u0397\"),W(\"math\",j,\"textord\",\"I\",\"\\u0399\"),W(\"math\",j,\"textord\",\"K\",\"\\u039a\"),W(\"math\",j,\"textord\",\"M\",\"\\u039c\"),W(\"math\",j,\"textord\",\"N\",\"\\u039d\"),W(\"math\",j,\"textord\",\"O\",\"\\u039f\"),W(\"math\",j,\"textord\",\"P\",\"\\u03a1\"),W(\"math\",j,\"textord\",\"T\",\"\\u03a4\"),W(\"math\",j,\"textord\",\"X\",\"\\u03a7\"),W(\"math\",j,\"textord\",\"\\xac\",\"\\\\neg\",!0),W(\"math\",j,\"textord\",\"\\xac\",\"\\\\lnot\"),W(\"math\",j,\"textord\",\"\\u22a4\",\"\\\\top\"),W(\"math\",j,\"textord\",\"\\u22a5\",\"\\\\bot\"),W(\"math\",j,\"textord\",\"\\u2205\",\"\\\\emptyset\"),W(\"math\",$,\"textord\",\"\\u2205\",\"\\\\varnothing\"),W(\"math\",j,K,\"\\u03b1\",\"\\\\alpha\",!0),W(\"math\",j,K,\"\\u03b2\",\"\\\\beta\",!0),W(\"math\",j,K,\"\\u03b3\",\"\\\\gamma\",!0),W(\"math\",j,K,\"\\u03b4\",\"\\\\delta\",!0),W(\"math\",j,K,\"\\u03f5\",\"\\\\epsilon\",!0),W(\"math\",j,K,\"\\u03b6\",\"\\\\zeta\",!0),W(\"math\",j,K,\"\\u03b7\",\"\\\\eta\",!0),W(\"math\",j,K,\"\\u03b8\",\"\\\\theta\",!0),W(\"math\",j,K,\"\\u03b9\",\"\\\\iota\",!0),W(\"math\",j,K,\"\\u03ba\",\"\\\\kappa\",!0),W(\"math\",j,K,\"\\u03bb\",\"\\\\lambda\",!0),W(\"math\",j,K,\"\\u03bc\",\"\\\\mu\",!0),W(\"math\",j,K,\"\\u03bd\",\"\\\\nu\",!0),W(\"math\",j,K,\"\\u03be\",\"\\\\xi\",!0),W(\"math\",j,K,\"\\u03bf\",\"\\\\omicron\",!0),W(\"math\",j,K,\"\\u03c0\",\"\\\\pi\",!0),W(\"math\",j,K,\"\\u03c1\",\"\\\\rho\",!0),W(\"math\",j,K,\"\\u03c3\",\"\\\\sigma\",!0),W(\"math\",j,K,\"\\u03c4\",\"\\\\tau\",!0),W(\"math\",j,K,\"\\u03c5\",\"\\\\upsilon\",!0),W(\"math\",j,K,\"\\u03d5\",\"\\\\phi\",!0),W(\"math\",j,K,\"\\u03c7\",\"\\\\chi\",!0),W(\"math\",j,K,\"\\u03c8\",\"\\\\psi\",!0),W(\"math\",j,K,\"\\u03c9\",\"\\\\omega\",!0),W(\"math\",j,K,\"\\u03b5\",\"\\\\varepsilon\",!0),W(\"math\",j,K,\"\\u03d1\",\"\\\\vartheta\",!0),W(\"math\",j,K,\"\\u03d6\",\"\\\\varpi\",!0),W(\"math\",j,K,\"\\u03f1\",\"\\\\varrho\",!0),W(\"math\",j,K,\"\\u03c2\",\"\\\\varsigma\",!0),W(\"math\",j,K,\"\\u03c6\",\"\\\\varphi\",!0),W(\"math\",j,Z,\"\\u2217\",\"*\"),W(\"math\",j,Z,\"+\",\"+\"),W(\"math\",j,Z,\"\\u2212\",\"-\"),W(\"math\",j,Z,\"\\u22c5\",\"\\\\cdot\",!0),W(\"math\",j,Z,\"\\u2218\",\"\\\\circ\"),W(\"math\",j,Z,\"\\xf7\",\"\\\\div\",!0),W(\"math\",j,Z,\"\\xb1\",\"\\\\pm\",!0),W(\"math\",j,Z,\"\\xd7\",\"\\\\times\",!0),W(\"math\",j,Z,\"\\u2229\",\"\\\\cap\",!0),W(\"math\",j,Z,\"\\u222a\",\"\\\\cup\",!0),W(\"math\",j,Z,\"\\u2216\",\"\\\\setminus\"),W(\"math\",j,Z,\"\\u2227\",\"\\\\land\"),W(\"math\",j,Z,\"\\u2228\",\"\\\\lor\"),W(\"math\",j,Z,\"\\u2227\",\"\\\\wedge\",!0),W(\"math\",j,Z,\"\\u2228\",\"\\\\vee\",!0),W(\"math\",j,\"textord\",\"\\u221a\",\"\\\\surd\"),W(\"math\",j,\"open\",\"(\",\"(\"),W(\"math\",j,\"open\",\"[\",\"[\"),W(\"math\",j,\"open\",\"\\u27e8\",\"\\\\langle\",!0),W(\"math\",j,\"open\",\"\\u2223\",\"\\\\lvert\"),W(\"math\",j,\"open\",\"\\u2225\",\"\\\\lVert\"),W(\"math\",j,\"close\",\")\",\")\"),W(\"math\",j,\"close\",\"]\",\"]\"),W(\"math\",j,\"close\",\"?\",\"?\"),W(\"math\",j,\"close\",\"!\",\"!\"),W(\"math\",j,\"close\",\"\\u27e9\",\"\\\\rangle\",!0),W(\"math\",j,\"close\",\"\\u2223\",\"\\\\rvert\"),W(\"math\",j,\"close\",\"\\u2225\",\"\\\\rVert\"),W(\"math\",j,Q,\"=\",\"=\"),W(\"math\",j,Q,\"<\",\"<\"),W(\"math\",j,Q,\">\",\">\"),W(\"math\",j,Q,\":\",\":\"),W(\"math\",j,Q,\"\\u2248\",\"\\\\approx\",!0),W(\"math\",j,Q,\"\\u2245\",\"\\\\cong\",!0),W(\"math\",j,Q,\"\\u2265\",\"\\\\ge\"),W(\"math\",j,Q,\"\\u2265\",\"\\\\geq\",!0),W(\"math\",j,Q,\"\\u2190\",\"\\\\gets\"),W(\"math\",j,Q,\">\",\"\\\\gt\"),W(\"math\",j,Q,\"\\u2208\",\"\\\\in\",!0),W(\"math\",j,Q,\"\\ue020\",\"\\\\@not\"),W(\"math\",j,Q,\"\\u2282\",\"\\\\subset\",!0),W(\"math\",j,Q,\"\\u2283\",\"\\\\supset\",!0),W(\"math\",j,Q,\"\\u2286\",\"\\\\subseteq\",!0),W(\"math\",j,Q,\"\\u2287\",\"\\\\supseteq\",!0),W(\"math\",$,Q,\"\\u2288\",\"\\\\nsubseteq\",!0),W(\"math\",$,Q,\"\\u2289\",\"\\\\nsupseteq\",!0),W(\"math\",j,Q,\"\\u22a8\",\"\\\\models\"),W(\"math\",j,Q,\"\\u2190\",\"\\\\leftarrow\",!0),W(\"math\",j,Q,\"\\u2264\",\"\\\\le\"),W(\"math\",j,Q,\"\\u2264\",\"\\\\leq\",!0),W(\"math\",j,Q,\"<\",\"\\\\lt\"),W(\"math\",j,Q,\"\\u2192\",\"\\\\rightarrow\",!0),W(\"math\",j,Q,\"\\u2192\",\"\\\\to\"),W(\"math\",$,Q,\"\\u2271\",\"\\\\ngeq\",!0),W(\"math\",$,Q,\"\\u2270\",\"\\\\nleq\",!0),W(\"math\",j,\"spacing\",\"\\xa0\",\"\\\\ \"),W(\"math\",j,\"spacing\",\"\\xa0\",\"~\"),W(\"math\",j,\"spacing\",\"\\xa0\",\"\\\\space\"),W(\"math\",j,\"spacing\",\"\\xa0\",\"\\\\nobreakspace\"),W(\"text\",j,\"spacing\",\"\\xa0\",\"\\\\ \"),W(\"text\",j,\"spacing\",\"\\xa0\",\"~\"),W(\"text\",j,\"spacing\",\"\\xa0\",\"\\\\space\"),W(\"text\",j,\"spacing\",\"\\xa0\",\"\\\\nobreakspace\"),W(\"math\",j,\"spacing\",null,\"\\\\nobreak\"),W(\"math\",j,\"spacing\",null,\"\\\\allowbreak\"),W(\"math\",j,\"punct\",\",\",\",\"),W(\"math\",j,\"punct\",\";\",\";\"),W(\"math\",$,Z,\"\\u22bc\",\"\\\\barwedge\",!0),W(\"math\",$,Z,\"\\u22bb\",\"\\\\veebar\",!0),W(\"math\",j,Z,\"\\u2299\",\"\\\\odot\",!0),W(\"math\",j,Z,\"\\u2295\",\"\\\\oplus\",!0),W(\"math\",j,Z,\"\\u2297\",\"\\\\otimes\",!0),W(\"math\",j,\"textord\",\"\\u2202\",\"\\\\partial\",!0),W(\"math\",j,Z,\"\\u2298\",\"\\\\oslash\",!0),W(\"math\",$,Z,\"\\u229a\",\"\\\\circledcirc\",!0),W(\"math\",$,Z,\"\\u22a1\",\"\\\\boxdot\",!0),W(\"math\",j,Z,\"\\u25b3\",\"\\\\bigtriangleup\"),W(\"math\",j,Z,\"\\u25bd\",\"\\\\bigtriangledown\"),W(\"math\",j,Z,\"\\u2020\",\"\\\\dagger\"),W(\"math\",j,Z,\"\\u22c4\",\"\\\\diamond\"),W(\"math\",j,Z,\"\\u22c6\",\"\\\\star\"),W(\"math\",j,Z,\"\\u25c3\",\"\\\\triangleleft\"),W(\"math\",j,Z,\"\\u25b9\",\"\\\\triangleright\"),W(\"math\",j,\"open\",\"{\",\"\\\\{\"),W(\"text\",j,\"textord\",\"{\",\"\\\\{\"),W(\"text\",j,\"textord\",\"{\",\"\\\\textbraceleft\"),W(\"math\",j,\"close\",\"}\",\"\\\\}\"),W(\"text\",j,\"textord\",\"}\",\"\\\\}\"),W(\"text\",j,\"textord\",\"}\",\"\\\\textbraceright\"),W(\"math\",j,\"open\",\"{\",\"\\\\lbrace\"),W(\"math\",j,\"close\",\"}\",\"\\\\rbrace\"),W(\"math\",j,\"open\",\"[\",\"\\\\lbrack\"),W(\"text\",j,\"textord\",\"[\",\"\\\\lbrack\"),W(\"math\",j,\"close\",\"]\",\"\\\\rbrack\"),W(\"text\",j,\"textord\",\"]\",\"\\\\rbrack\"),W(\"math\",j,\"open\",\"(\",\"\\\\lparen\"),W(\"math\",j,\"close\",\")\",\"\\\\rparen\"),W(\"text\",j,\"textord\",\"<\",\"\\\\textless\"),W(\"text\",j,\"textord\",\">\",\"\\\\textgreater\"),W(\"math\",j,\"open\",\"\\u230a\",\"\\\\lfloor\",!0),W(\"math\",j,\"close\",\"\\u230b\",\"\\\\rfloor\",!0),W(\"math\",j,\"open\",\"\\u2308\",\"\\\\lceil\",!0),W(\"math\",j,\"close\",\"\\u2309\",\"\\\\rceil\",!0),W(\"math\",j,\"textord\",\"\\\\\",\"\\\\backslash\"),W(\"math\",j,\"textord\",\"\\u2223\",\"|\"),W(\"math\",j,\"textord\",\"\\u2223\",\"\\\\vert\"),W(\"text\",j,\"textord\",\"|\",\"\\\\textbar\"),W(\"math\",j,\"textord\",\"\\u2225\",\"\\\\|\"),W(\"math\",j,\"textord\",\"\\u2225\",\"\\\\Vert\"),W(\"text\",j,\"textord\",\"\\u2225\",\"\\\\textbardbl\"),W(\"text\",j,\"textord\",\"~\",\"\\\\textasciitilde\"),W(\"text\",j,\"textord\",\"\\\\\",\"\\\\textbackslash\"),W(\"text\",j,\"textord\",\"^\",\"\\\\textasciicircum\"),W(\"math\",j,Q,\"\\u2191\",\"\\\\uparrow\",!0),W(\"math\",j,Q,\"\\u21d1\",\"\\\\Uparrow\",!0),W(\"math\",j,Q,\"\\u2193\",\"\\\\downarrow\",!0),W(\"math\",j,Q,\"\\u21d3\",\"\\\\Downarrow\",!0),W(\"math\",j,Q,\"\\u2195\",\"\\\\updownarrow\",!0),W(\"math\",j,Q,\"\\u21d5\",\"\\\\Updownarrow\",!0),W(\"math\",j,J,\"\\u2210\",\"\\\\coprod\"),W(\"math\",j,J,\"\\u22c1\",\"\\\\bigvee\"),W(\"math\",j,J,\"\\u22c0\",\"\\\\bigwedge\"),W(\"math\",j,J,\"\\u2a04\",\"\\\\biguplus\"),W(\"math\",j,J,\"\\u22c2\",\"\\\\bigcap\"),W(\"math\",j,J,\"\\u22c3\",\"\\\\bigcup\"),W(\"math\",j,J,\"\\u222b\",\"\\\\int\"),W(\"math\",j,J,\"\\u222b\",\"\\\\intop\"),W(\"math\",j,J,\"\\u222c\",\"\\\\iint\"),W(\"math\",j,J,\"\\u222d\",\"\\\\iiint\"),W(\"math\",j,J,\"\\u220f\",\"\\\\prod\"),W(\"math\",j,J,\"\\u2211\",\"\\\\sum\"),W(\"math\",j,J,\"\\u2a02\",\"\\\\bigotimes\"),W(\"math\",j,J,\"\\u2a01\",\"\\\\bigoplus\"),W(\"math\",j,J,\"\\u2a00\",\"\\\\bigodot\"),W(\"math\",j,J,\"\\u222e\",\"\\\\oint\"),W(\"math\",j,J,\"\\u222f\",\"\\\\oiint\"),W(\"math\",j,J,\"\\u2230\",\"\\\\oiiint\"),W(\"math\",j,J,\"\\u2a06\",\"\\\\bigsqcup\"),W(\"math\",j,J,\"\\u222b\",\"\\\\smallint\"),W(\"text\",j,\"inner\",\"\\u2026\",\"\\\\textellipsis\"),W(\"math\",j,\"inner\",\"\\u2026\",\"\\\\mathellipsis\"),W(\"text\",j,\"inner\",\"\\u2026\",\"\\\\ldots\",!0),W(\"math\",j,\"inner\",\"\\u2026\",\"\\\\ldots\",!0),W(\"math\",j,\"inner\",\"\\u22ef\",\"\\\\@cdots\",!0),W(\"math\",j,\"inner\",\"\\u22f1\",\"\\\\ddots\",!0),W(\"math\",j,\"textord\",\"\\u22ee\",\"\\\\varvdots\"),W(\"math\",j,\"accent-token\",\"\\u02ca\",\"\\\\acute\"),W(\"math\",j,\"accent-token\",\"\\u02cb\",\"\\\\grave\"),W(\"math\",j,\"accent-token\",\"\\xa8\",\"\\\\ddot\"),W(\"math\",j,\"accent-token\",\"~\",\"\\\\tilde\"),W(\"math\",j,\"accent-token\",\"\\u02c9\",\"\\\\bar\"),W(\"math\",j,\"accent-token\",\"\\u02d8\",\"\\\\breve\"),W(\"math\",j,\"accent-token\",\"\\u02c7\",\"\\\\check\"),W(\"math\",j,\"accent-token\",\"^\",\"\\\\hat\"),W(\"math\",j,\"accent-token\",\"\\u20d7\",\"\\\\vec\"),W(\"math\",j,\"accent-token\",\"\\u02d9\",\"\\\\dot\"),W(\"math\",j,\"accent-token\",\"\\u02da\",\"\\\\mathring\"),W(\"math\",j,K,\"\\u0131\",\"\\\\imath\",!0),W(\"math\",j,K,\"\\u0237\",\"\\\\jmath\",!0),W(\"text\",j,\"textord\",\"\\u0131\",\"\\\\i\",!0),W(\"text\",j,\"textord\",\"\\u0237\",\"\\\\j\",!0),W(\"text\",j,\"textord\",\"\\xdf\",\"\\\\ss\",!0),W(\"text\",j,\"textord\",\"\\xe6\",\"\\\\ae\",!0),W(\"text\",j,\"textord\",\"\\xe6\",\"\\\\ae\",!0),W(\"text\",j,\"textord\",\"\\u0153\",\"\\\\oe\",!0),W(\"text\",j,\"textord\",\"\\xf8\",\"\\\\o\",!0),W(\"text\",j,\"textord\",\"\\xc6\",\"\\\\AE\",!0),W(\"text\",j,\"textord\",\"\\u0152\",\"\\\\OE\",!0),W(\"text\",j,\"textord\",\"\\xd8\",\"\\\\O\",!0),W(\"text\",j,\"accent-token\",\"\\u02ca\",\"\\\\'\"),W(\"text\",j,\"accent-token\",\"\\u02cb\",\"\\\\`\"),W(\"text\",j,\"accent-token\",\"\\u02c6\",\"\\\\^\"),W(\"text\",j,\"accent-token\",\"\\u02dc\",\"\\\\~\"),W(\"text\",j,\"accent-token\",\"\\u02c9\",\"\\\\=\"),W(\"text\",j,\"accent-token\",\"\\u02d8\",\"\\\\u\"),W(\"text\",j,\"accent-token\",\"\\u02d9\",\"\\\\.\"),W(\"text\",j,\"accent-token\",\"\\u02da\",\"\\\\r\"),W(\"text\",j,\"accent-token\",\"\\u02c7\",\"\\\\v\"),W(\"text\",j,\"accent-token\",\"\\xa8\",'\\\\\"'),W(\"text\",j,\"accent-token\",\"\\u02dd\",\"\\\\H\"),W(\"text\",j,\"accent-token\",\"\\u25ef\",\"\\\\textcircled\");var tt={\"--\":!0,\"---\":!0,\"``\":!0,\"''\":!0};W(\"text\",j,\"textord\",\"\\u2013\",\"--\"),W(\"text\",j,\"textord\",\"\\u2013\",\"\\\\textendash\"),W(\"text\",j,\"textord\",\"\\u2014\",\"---\"),W(\"text\",j,\"textord\",\"\\u2014\",\"\\\\textemdash\"),W(\"text\",j,\"textord\",\"\\u2018\",\"`\"),W(\"text\",j,\"textord\",\"\\u2018\",\"\\\\textquoteleft\"),W(\"text\",j,\"textord\",\"\\u2019\",\"'\"),W(\"text\",j,\"textord\",\"\\u2019\",\"\\\\textquoteright\"),W(\"text\",j,\"textord\",\"\\u201c\",\"``\"),W(\"text\",j,\"textord\",\"\\u201c\",\"\\\\textquotedblleft\"),W(\"text\",j,\"textord\",\"\\u201d\",\"''\"),W(\"text\",j,\"textord\",\"\\u201d\",\"\\\\textquotedblright\"),W(\"math\",j,\"textord\",\"\\xb0\",\"\\\\degree\",!0),W(\"text\",j,\"textord\",\"\\xb0\",\"\\\\degree\"),W(\"text\",j,\"textord\",\"\\xb0\",\"\\\\textdegree\",!0),W(\"math\",j,K,\"\\xa3\",\"\\\\pounds\"),W(\"math\",j,K,\"\\xa3\",\"\\\\mathsterling\",!0),W(\"text\",j,K,\"\\xa3\",\"\\\\pounds\"),W(\"text\",j,K,\"\\xa3\",\"\\\\textsterling\",!0),W(\"math\",$,\"textord\",\"\\u2720\",\"\\\\maltese\"),W(\"text\",$,\"textord\",\"\\u2720\",\"\\\\maltese\"),W(\"text\",j,\"spacing\",\"\\xa0\",\"\\\\ \"),W(\"text\",j,\"spacing\",\"\\xa0\",\" \"),W(\"text\",j,\"spacing\",\"\\xa0\",\"~\");for(var et=0;et<'0123456789/@.\"'.length;et++){var rt='0123456789/@.\"'.charAt(et);W(\"math\",j,\"textord\",rt,rt)}for(var at=0;at<'0123456789!@*()-=+[]<>|\";:?/.,'.length;at++){var nt='0123456789!@*()-=+[]<>|\";:?/.,'.charAt(at);W(\"text\",j,\"textord\",nt,nt)}for(var ot=\"ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz\",it=0;it<ot.length;it++){var st=ot.charAt(it);W(\"math\",j,K,st,st),W(\"text\",j,\"textord\",st,st)}W(\"math\",$,\"textord\",\"C\",\"\\u2102\"),W(\"text\",$,\"textord\",\"C\",\"\\u2102\"),W(\"math\",$,\"textord\",\"H\",\"\\u210d\"),W(\"text\",$,\"textord\",\"H\",\"\\u210d\"),W(\"math\",$,\"textord\",\"N\",\"\\u2115\"),W(\"text\",$,\"textord\",\"N\",\"\\u2115\"),W(\"math\",$,\"textord\",\"P\",\"\\u2119\"),W(\"text\",$,\"textord\",\"P\",\"\\u2119\"),W(\"math\",$,\"textord\",\"Q\",\"\\u211a\"),W(\"text\",$,\"textord\",\"Q\",\"\\u211a\"),W(\"math\",$,\"textord\",\"R\",\"\\u211d\"),W(\"text\",$,\"textord\",\"R\",\"\\u211d\"),W(\"math\",$,\"textord\",\"Z\",\"\\u2124\"),W(\"text\",$,\"textord\",\"Z\",\"\\u2124\"),W(\"math\",j,K,\"h\",\"\\u210e\"),W(\"text\",j,K,\"h\",\"\\u210e\");for(var ht=\"\",lt=0;lt<ot.length;lt++){var mt=ot.charAt(lt);W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56320+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56372+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56424+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56580+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56736+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56788+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56840+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56944+lt)),W(\"text\",j,\"textord\",mt,ht),lt<26&&(W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56632+lt)),W(\"text\",j,\"textord\",mt,ht),W(\"math\",j,K,mt,ht=String.fromCharCode(55349,56476+lt)),W(\"text\",j,\"textord\",mt,ht))}W(\"math\",j,K,\"k\",ht=String.fromCharCode(55349,56668)),W(\"text\",j,\"textord\",\"k\",ht);for(var ct=0;ct<10;ct++){var ut=ct.toString();W(\"math\",j,K,ut,ht=String.fromCharCode(55349,57294+ct)),W(\"text\",j,\"textord\",ut,ht),W(\"math\",j,K,ut,ht=String.fromCharCode(55349,57314+ct)),W(\"text\",j,\"textord\",ut,ht),W(\"math\",j,K,ut,ht=String.fromCharCode(55349,57324+ct)),W(\"text\",j,\"textord\",ut,ht),W(\"math\",j,K,ut,ht=String.fromCharCode(55349,57334+ct)),W(\"text\",j,\"textord\",ut,ht)}for(var dt=0;dt<\"\\xc7\\xd0\\xde\\xe7\\xfe\".length;dt++){var pt=\"\\xc7\\xd0\\xde\\xe7\\xfe\".charAt(dt);W(\"math\",j,K,pt,pt),W(\"text\",j,\"textord\",pt,pt)}W(\"text\",j,\"textord\",\"\\xf0\",\"\\xf0\"),W(\"text\",j,\"textord\",\"\\u2013\",\"\\u2013\"),W(\"text\",j,\"textord\",\"\\u2014\",\"\\u2014\"),W(\"text\",j,\"textord\",\"\\u2018\",\"\\u2018\"),W(\"text\",j,\"textord\",\"\\u2019\",\"\\u2019\"),W(\"text\",j,\"textord\",\"\\u201c\",\"\\u201c\"),W(\"text\",j,\"textord\",\"\\u201d\",\"\\u201d\");var ft=[[\"mathbf\",\"textbf\",\"Main-Bold\"],[\"mathbf\",\"textbf\",\"Main-Bold\"],[\"mathdefault\",\"textit\",\"Math-Italic\"],[\"mathdefault\",\"textit\",\"Math-Italic\"],[\"boldsymbol\",\"boldsymbol\",\"Main-BoldItalic\"],[\"boldsymbol\",\"boldsymbol\",\"Main-BoldItalic\"],[\"mathscr\",\"textscr\",\"Script-Regular\"],[\"\",\"\",\"\"],[\"\",\"\",\"\"],[\"\",\"\",\"\"],[\"mathfrak\",\"textfrak\",\"Fraktur-Regular\"],[\"mathfrak\",\"textfrak\",\"Fraktur-Regular\"],[\"mathbb\",\"textbb\",\"AMS-Regular\"],[\"mathbb\",\"textbb\",\"AMS-Regular\"],[\"\",\"\",\"\"],[\"\",\"\",\"\"],[\"mathsf\",\"textsf\",\"SansSerif-Regular\"],[\"mathsf\",\"textsf\",\"SansSerif-Regular\"],[\"mathboldsf\",\"textboldsf\",\"SansSerif-Bold\"],[\"mathboldsf\",\"textboldsf\",\"SansSerif-Bold\"],[\"mathitsf\",\"textitsf\",\"SansSerif-Italic\"],[\"mathitsf\",\"textitsf\",\"SansSerif-Italic\"],[\"\",\"\",\"\"],[\"\",\"\",\"\"],[\"mathtt\",\"texttt\",\"Typewriter-Regular\"],[\"mathtt\",\"texttt\",\"Typewriter-Regular\"]],gt=[[\"mathbf\",\"textbf\",\"Main-Bold\"],[\"\",\"\",\"\"],[\"mathsf\",\"textsf\",\"SansSerif-Regular\"],[\"mathboldsf\",\"textboldsf\",\"SansSerif-Bold\"],[\"mathtt\",\"texttt\",\"Typewriter-Regular\"]],xt=[[1,1,1],[2,1,1],[3,1,1],[4,2,1],[5,2,1],[6,3,1],[7,4,2],[8,6,3],[9,7,6],[10,8,7],[11,10,9]],vt=[.5,.6,.7,.8,.9,1,1.2,1.44,1.728,2.074,2.488],bt=function(t,e){return e.size<2?t:xt[t-1][e.size-1]},yt=function(){function t(e){this.style=void 0,this.color=void 0,this.size=void 0,this.textSize=void 0,this.phantom=void 0,this.font=void 0,this.fontFamily=void 0,this.fontWeight=void 0,this.fontShape=void 0,this.sizeMultiplier=void 0,this.maxSize=void 0,this._fontMetrics=void 0,this.style=e.style,this.color=e.color,this.size=e.size||t.BASESIZE,this.textSize=e.textSize||this.size,this.phantom=!!e.phantom,this.font=e.font||\"\",this.fontFamily=e.fontFamily||\"\",this.fontWeight=e.fontWeight||\"\",this.fontShape=e.fontShape||\"\",this.sizeMultiplier=vt[this.size-1],this.maxSize=e.maxSize,this._fontMetrics=void 0}var e=t.prototype;return e.extend=function(e){var r={style:this.style,size:this.size,textSize:this.textSize,color:this.color,phantom:this.phantom,font:this.font,fontFamily:this.fontFamily,fontWeight:this.fontWeight,fontShape:this.fontShape,maxSize:this.maxSize};for(var a in e)e.hasOwnProperty(a)&&(r[a]=e[a]);return new t(r)},e.havingStyle=function(t){return this.style===t?this:this.extend({style:t,size:bt(this.textSize,t)})},e.havingCrampedStyle=function(){return this.havingStyle(this.style.cramp())},e.havingSize=function(t){return this.size===t&&this.textSize===t?this:this.extend({style:this.style.text(),size:t,textSize:t,sizeMultiplier:vt[t-1]})},e.havingBaseStyle=function(e){e=e||this.style.text();var r=bt(t.BASESIZE,e);return this.size===r&&this.textSize===t.BASESIZE&&this.style===e?this:this.extend({style:e,size:r})},e.havingBaseSizing=function(){var t;switch(this.style.id){case 4:case 5:t=3;break;case 6:case 7:t=1;break;default:t=6}return this.extend({style:this.style.text(),size:t})},e.withColor=function(t){return this.extend({color:t})},e.withPhantom=function(){return this.extend({phantom:!0})},e.withFont=function(t){return this.extend({font:t})},e.withTextFontFamily=function(t){return this.extend({fontFamily:t,font:\"\"})},e.withTextFontWeight=function(t){return this.extend({fontWeight:t,font:\"\"})},e.withTextFontShape=function(t){return this.extend({fontShape:t,font:\"\"})},e.sizingClasses=function(t){return t.size!==this.size?[\"sizing\",\"reset-size\"+t.size,\"size\"+this.size]:[]},e.baseSizingClasses=function(){return this.size!==t.BASESIZE?[\"sizing\",\"reset-size\"+this.size,\"size\"+t.BASESIZE]:[]},e.fontMetrics=function(){return this._fontMetrics||(this._fontMetrics=function(t){var e;if(!U[e=t>=5?0:t>=3?1:2]){var r=U[e]={cssEmPerMu:D.quad[e]/18};for(var a in D)D.hasOwnProperty(a)&&(r[a]=D[a][e])}return U[e]}(this.size)),this._fontMetrics},e.getColor=function(){return this.phantom?\"transparent\":this.color},t}();yt.BASESIZE=6;var wt=yt,kt={pt:1,mm:7227/2540,cm:7227/254,in:72.27,bp:1.00375,pc:12,dd:1238/1157,cc:14856/1157,nd:685/642,nc:1370/107,sp:1/65536,px:1.00375},St={ex:!0,em:!0,mu:!0},zt=function(t,e){var r;if(t.unit in kt)r=kt[t.unit]/e.fontMetrics().ptPerEm/e.sizeMultiplier;else if(\"mu\"===t.unit)r=e.fontMetrics().cssEmPerMu;else{var a;if(a=e.style.isTight()?e.havingStyle(e.style.text()):e,\"ex\"===t.unit)r=a.fontMetrics().xHeight;else{if(\"em\"!==t.unit)throw new i(\"Invalid unit: '\"+t.unit+\"'\");r=a.fontMetrics().quad}a!==e&&(r*=a.sizeMultiplier/e.sizeMultiplier)}return Math.min(t.number*r,e.maxSize)},Mt=[\"\\\\imath\",\"\\u0131\",\"\\\\jmath\",\"\\u0237\",\"\\\\pounds\",\"\\\\mathsterling\",\"\\\\textsterling\",\"\\xa3\"],Tt=function(t,e,r){return _[r][t]&&_[r][t].replace&&(t=_[r][t].replace),{value:t,metrics:V(t,e,r)}},At=function(t,e,r,a,n){var o,i=Tt(t,e,r),s=i.metrics;if(t=i.value,s){var h=s.italic;(\"text\"===r||a&&\"mathit\"===a.font)&&(h=0),o=new E(t,s.height,s.depth,h,s.skew,s.width,n)}else\"undefined\"!=typeof console&&console.warn(\"No character metrics for '\"+t+\"' in style '\"+e+\"'\"),o=new E(t,0,0,0,0,0,n);if(a){o.maxFontSize=a.sizeMultiplier,a.style.isTight()&&o.classes.push(\"mtight\");var l=a.getColor();l&&(o.style.color=l)}return o},Bt=function(t,e){if(A(t.classes)!==A(e.classes)||t.skew!==e.skew||t.maxFontSize!==e.maxFontSize)return!1;for(var r in t.style)if(t.style.hasOwnProperty(r)&&t.style[r]!==e.style[r])return!1;for(var a in e.style)if(e.style.hasOwnProperty(a)&&t.style[a]!==e.style[a])return!1;return!0},qt=function(t){for(var e=0,r=0,a=0,n=0;n<t.children.length;n++){var o=t.children[n];o.height>e&&(e=o.height),o.depth>r&&(r=o.depth),o.maxFontSize>a&&(a=o.maxFontSize)}t.height=e,t.depth=r,t.maxFontSize=a},Ct=function(t,e,r,a){var n=new N(t,e,r,a);return qt(n),n},Nt=function(t,e,r,a){return new N(t,e,r,a)},It=function(t){var e=new T(t);return qt(e),e},Ot=function(t,e,r){var a=\"\";switch(t){case\"amsrm\":a=\"AMS\";break;case\"textrm\":a=\"Main\";break;case\"textsf\":a=\"SansSerif\";break;case\"texttt\":a=\"Typewriter\";break;default:a=t}return a+\"-\"+(\"textbf\"===e&&\"textit\"===r?\"BoldItalic\":\"textbf\"===e?\"Bold\":\"textit\"===e?\"Italic\":\"Regular\")},Et={mathbf:{variant:\"bold\",fontName:\"Main-Bold\"},mathrm:{variant:\"normal\",fontName:\"Main-Regular\"},textit:{variant:\"italic\",fontName:\"Main-Italic\"},mathit:{variant:\"italic\",fontName:\"Main-Italic\"},mathbb:{variant:\"double-struck\",fontName:\"AMS-Regular\"},mathcal:{variant:\"script\",fontName:\"Caligraphic-Regular\"},mathfrak:{variant:\"fraktur\",fontName:\"Fraktur-Regular\"},mathscr:{variant:\"script\",fontName:\"Script-Regular\"},mathsf:{variant:\"sans-serif\",fontName:\"SansSerif-Regular\"},mathtt:{variant:\"monospace\",fontName:\"Typewriter-Regular\"}},Rt={vec:[\"vec\",.471,.714],oiintSize1:[\"oiintSize1\",.957,.499],oiintSize2:[\"oiintSize2\",1.472,.659],oiiintSize1:[\"oiiintSize1\",1.304,.499],oiiintSize2:[\"oiiintSize2\",1.98,.659]},Lt={fontMap:Et,makeSymbol:At,mathsym:function(t,e,r,a){return void 0===a&&(a=[]),r&&r.font&&\"boldsymbol\"===r.font&&Tt(t,\"Main-Bold\",e).metrics?At(t,\"Main-Bold\",e,r,a.concat([\"mathbf\"])):\"\\\\\"===t||\"main\"===_[e][t].font?At(t,\"Main-Regular\",e,r,a):At(t,\"AMS-Regular\",e,r,a.concat([\"amsrm\"]))},makeSpan:Ct,makeSvgSpan:Nt,makeLineSpan:function(t,e,r){var a=Ct([t],[],e);return a.height=r||e.fontMetrics().defaultRuleThickness,a.style.borderBottomWidth=a.height+\"em\",a.maxFontSize=1,a},makeAnchor:function(t,e,r,a){var n=new I(t,e,r,a);return qt(n),n},makeFragment:It,wrapFragment:function(t,e){return t instanceof T?Ct([],[t],e):t},makeVList:function(t,e){for(var r=function(t){if(\"individualShift\"===t.positionType){for(var e=t.children,r=[e[0]],a=-e[0].shift-e[0].elem.depth,n=a,o=1;o<e.length;o++){var i=-e[o].shift-n-e[o].elem.depth,s=i-(e[o-1].elem.height+e[o-1].elem.depth);n+=i,r.push({type:\"kern\",size:s}),r.push(e[o])}return{children:r,depth:a}}var h;if(\"top\"===t.positionType){for(var l=t.positionData,m=0;m<t.children.length;m++){var c=t.children[m];l-=\"kern\"===c.type?c.size:c.elem.height+c.elem.depth}h=l}else if(\"bottom\"===t.positionType)h=-t.positionData;else{var u=t.children[0];if(\"elem\"!==u.type)throw new Error('First child must have type \"elem\".');if(\"shift\"===t.positionType)h=-u.elem.depth-t.positionData;else{if(\"firstBaseline\"!==t.positionType)throw new Error(\"Invalid positionType \"+t.positionType+\".\");h=-u.elem.depth}}return{children:t.children,depth:h}}(t),a=r.children,n=r.depth,o=0,i=0;i<a.length;i++){var s=a[i];if(\"elem\"===s.type){var h=s.elem;o=Math.max(o,h.maxFontSize,h.height)}}o+=2;var l=Ct([\"pstrut\"],[]);l.style.height=o+\"em\";for(var m=[],c=n,u=n,d=n,p=0;p<a.length;p++){var f=a[p];if(\"kern\"===f.type)d+=f.size;else{var g=f.elem,x=f.wrapperClasses||[],v=f.wrapperStyle||{},b=Ct(x,[l,g],void 0,v);b.style.top=-o-d-g.depth+\"em\",f.marginLeft&&(b.style.marginLeft=f.marginLeft),f.marginRight&&(b.style.marginRight=f.marginRight),m.push(b),d+=g.height+g.depth}c=Math.min(c,d),u=Math.max(u,d)}var y,w=Ct([\"vlist\"],m);if(w.style.height=u+\"em\",c<0){var k=Ct([],[]),S=Ct([\"vlist\"],[k]);S.style.height=-c+\"em\";var z=Ct([\"vlist-s\"],[new E(\"\\u200b\")]);y=[Ct([\"vlist-r\"],[w,z]),Ct([\"vlist-r\"],[S])]}else y=[Ct([\"vlist-r\"],[w])];var M=Ct([\"vlist-t\"],y);return 2===y.length&&M.classes.push(\"vlist-t2\"),M.height=u,M.depth=-c,M},makeOrd:function(t,e,r){var a,n=t.mode,o=t.text,s=[\"mord\"],h=\"math\"===n||\"text\"===n&&e.font,l=h?e.font:e.fontFamily;if(55349===o.charCodeAt(0)){var m=function(t,e){var r=1024*(t.charCodeAt(0)-55296)+(t.charCodeAt(1)-56320)+65536,a=\"math\"===e?0:1;if(119808<=r&&r<120484){var n=Math.floor((r-119808)/26);return[ft[n][2],ft[n][a]]}if(120782<=r&&r<=120831){var o=Math.floor((r-120782)/10);return[gt[o][2],gt[o][a]]}if(120485===r||120486===r)return[ft[0][2],ft[0][a]];if(120486<r&&r<120782)return[\"\",\"\"];throw new i(\"Unsupported character: \"+t)}(o,n),u=m[0],d=m[1];return At(o,u,n,e,s.concat(d))}if(l){var p,f;if(\"boldsymbol\"===l||\"mathnormal\"===l){var g=\"boldsymbol\"===l?function(t,e,r,a){return Tt(t,\"Math-BoldItalic\",e).metrics?{fontName:\"Math-BoldItalic\",fontClass:\"boldsymbol\"}:{fontName:\"Main-Bold\",fontClass:\"mathbf\"}}(o,n):(a=o,c.contains(Mt,a)?{fontName:\"Main-Italic\",fontClass:\"mathit\"}:/[0-9]/.test(a.charAt(0))?{fontName:\"Caligraphic-Regular\",fontClass:\"mathcal\"}:{fontName:\"Math-Italic\",fontClass:\"mathdefault\"});p=g.fontName,f=[g.fontClass]}else c.contains(Mt,o)?(p=\"Main-Italic\",f=[\"mathit\"]):h?(p=Et[l].fontName,f=[l]):(p=Ot(l,e.fontWeight,e.fontShape),f=[l,e.fontWeight,e.fontShape]);if(Tt(o,p,n).metrics)return At(o,p,n,e,s.concat(f));if(tt.hasOwnProperty(o)&&\"Typewriter\"===p.substr(0,10)){for(var x=[],v=0;v<o.length;v++)x.push(At(o[v],p,n,e,s.concat(f)));return It(x)}}if(\"mathord\"===r){var b=function(t,e,r,a){return/[0-9]/.test(t.charAt(0))||c.contains(Mt,t)?{fontName:\"Main-Italic\",fontClass:\"mathit\"}:{fontName:\"Math-Italic\",fontClass:\"mathdefault\"}}(o);return At(o,b.fontName,n,e,s.concat([b.fontClass]))}if(\"textord\"===r){var y=_[n][o]&&_[n][o].font;if(\"ams\"===y){var w=Ot(\"amsrm\",e.fontWeight,e.fontShape);return At(o,w,n,e,s.concat(\"amsrm\",e.fontWeight,e.fontShape))}if(\"main\"!==y&&y){var k=Ot(y,e.fontWeight,e.fontShape);return At(o,k,n,e,s.concat(k,e.fontWeight,e.fontShape))}var S=Ot(\"textrm\",e.fontWeight,e.fontShape);return At(o,S,n,e,s.concat(e.fontWeight,e.fontShape))}throw new Error(\"unexpected type: \"+r+\" in makeOrd\")},makeGlue:function(t,e){var r=Ct([\"mspace\"],[],e),a=zt(t,e);return r.style.marginRight=a+\"em\",r},staticSvg:function(t,e){var r=Rt[t],a=r[0],n=r[1],o=r[2],i=new L(a),s=new R([i],{width:n+\"em\",height:o+\"em\",style:\"width:\"+n+\"em\",viewBox:\"0 0 \"+1e3*n+\" \"+1e3*o,preserveAspectRatio:\"xMinYMin\"}),h=Nt([\"overlay\"],[s],e);return h.height=o,h.style.height=o+\"em\",h.style.width=n+\"em\",h},svgData:Rt,tryCombineChars:function(t){for(var e=0;e<t.length-1;e++){var r=t[e],a=t[e+1];r instanceof E&&a instanceof E&&Bt(r,a)&&(r.text+=a.text,r.height=Math.max(r.height,a.height),r.depth=Math.max(r.depth,a.depth),r.italic=a.italic,t.splice(e+1,1),e--)}return t}};function Ht(t,e){var r=Pt(t,e);if(!r)throw new Error(\"Expected node of type \"+e+\", but got \"+(t?\"node of type \"+t.type:String(t)));return r}function Pt(t,e){return t&&t.type===e?t:null}function Dt(t,e){var r=function(t,e){return t&&\"atom\"===t.type&&t.family===e?t:null}(t,e);if(!r)throw new Error('Expected node of type \"atom\" and family \"'+e+'\", but got '+(t?\"atom\"===t.type?\"atom of family \"+t.family:\"node of type \"+t.type:String(t)));return r}function Ft(t){return t&&(\"atom\"===t.type||X.hasOwnProperty(t.type))?t:null}var Vt={number:3,unit:\"mu\"},Ut={number:4,unit:\"mu\"},Gt={number:5,unit:\"mu\"},Xt={mord:{mop:Vt,mbin:Ut,mrel:Gt,minner:Vt},mop:{mord:Vt,mop:Vt,mrel:Gt,minner:Vt},mbin:{mord:Ut,mop:Ut,mopen:Ut,minner:Ut},mrel:{mord:Gt,mop:Gt,mopen:Gt,minner:Gt},mopen:{},mclose:{mop:Vt,mbin:Ut,mrel:Gt,minner:Vt},mpunct:{mord:Vt,mop:Vt,mrel:Gt,mopen:Vt,mclose:Vt,mpunct:Vt,minner:Vt},minner:{mord:Vt,mop:Vt,mbin:Ut,mrel:Gt,mopen:Vt,mpunct:Vt,minner:Vt}},Yt={mord:{mop:Vt},mop:{mord:Vt,mop:Vt},mbin:{},mrel:{},mopen:{},mclose:{mop:Vt},mpunct:{},minner:{mop:Vt}},_t={},Wt={},jt={};function $t(t){for(var e=t.type,r=(t.nodeType,t.names),a=t.props,n=t.handler,o=t.htmlBuilder,i=t.mathmlBuilder,s={type:e,numArgs:a.numArgs,argTypes:a.argTypes,greediness:void 0===a.greediness?1:a.greediness,allowedInText:!!a.allowedInText,allowedInMath:void 0===a.allowedInMath||a.allowedInMath,numOptionalArgs:a.numOptionalArgs||0,infix:!!a.infix,consumeMode:a.consumeMode,handler:n},h=0;h<r.length;++h)_t[r[h]]=s;e&&(o&&(Wt[e]=o),i&&(jt[e]=i))}function Zt(t){$t({type:t.type,names:[],props:{numArgs:0},handler:function(){throw new Error(\"Should never be called.\")},htmlBuilder:t.htmlBuilder,mathmlBuilder:t.mathmlBuilder})}var Kt=function(t){var e=Pt(t,\"ordgroup\");return e?e.body:[t]},Jt=Lt.makeSpan,Qt=[\"leftmost\",\"mbin\",\"mopen\",\"mrel\",\"mop\",\"mpunct\"],te=[\"rightmost\",\"mrel\",\"mclose\",\"mpunct\"],ee={display:w.DISPLAY,text:w.TEXT,script:w.SCRIPT,scriptscript:w.SCRIPTSCRIPT},re={mord:\"mord\",mop:\"mop\",mbin:\"mbin\",mrel:\"mrel\",mopen:\"mopen\",mclose:\"mclose\",mpunct:\"mpunct\",minner:\"minner\"},ae=function(t,e,r,a){void 0===a&&(a=[null,null]);for(var n=[],o=0;o<t.length;o++){var i=he(t[o],e);if(i instanceof T){var s=i.children;n.push.apply(n,s)}else n.push(i)}if(!r)return n;var h=e;if(1===t.length){var l=Pt(t[0],\"sizing\")||Pt(t[0],\"styling\");l&&(\"sizing\"===l.type?h=e.havingSize(l.size):\"styling\"===l.type&&(h=e.havingStyle(ee[l.style])))}var m=Jt([a[0]||\"leftmost\"],[],e),u=Jt([a[1]||\"rightmost\"],[],e);return ne(n,function(t,e){var r=e.classes[0],a=t.classes[0];\"mbin\"===r&&c.contains(te,a)?e.classes[0]=\"mord\":\"mbin\"===a&&c.contains(Qt,r)&&(t.classes[0]=\"mord\")},{node:m},u),ne(n,function(t,e){var r=ie(e),a=ie(t),n=r&&a?t.hasClass(\"mtight\")?Yt[r][a]:Xt[r][a]:null;if(n)return Lt.makeGlue(n,h)},{node:m},u),n},ne=function t(e,r,a,n){n&&e.push(n);for(var o=0;o<e.length;o++){var i=e[o],s=oe(i);if(s)t(s.children,r,a);else if(\"mspace\"!==i.classes[0]){var h=r(i,a.node);h&&(a.insertAfter?a.insertAfter(h):(e.unshift(h),o++)),a.node=i,a.insertAfter=function(t){return function(r){e.splice(t+1,0,r),o++}}(o)}}n&&e.pop()},oe=function(t){return t instanceof T||t instanceof I?t:null},ie=function(t,e){return t?(e&&(t=function t(e,r){var a=oe(e);if(a){var n=a.children;if(n.length){if(\"right\"===r)return t(n[n.length-1],\"right\");if(\"left\"===r)return t(n[0],\"left\")}}return e}(t,e)),re[t.classes[0]]||null):null},se=function(t,e){var r=[\"nulldelimiter\"].concat(t.baseSizingClasses());return Jt(e.concat(r))},he=function(t,e,r){if(!t)return Jt();if(Wt[t.type]){var a=Wt[t.type](t,e);if(r&&e.size!==r.size){a=Jt(e.sizingClasses(r),[a],e);var n=e.sizeMultiplier/r.sizeMultiplier;a.height*=n,a.depth*=n}return a}throw new i(\"Got group of unknown type: '\"+t.type+\"'\")};function le(t,e){var r=Jt([\"base\"],t,e),a=Jt([\"strut\"]);return a.style.height=r.height+r.depth+\"em\",a.style.verticalAlign=-r.depth+\"em\",r.children.unshift(a),r}function me(t,e){var r=null;1===t.length&&\"tag\"===t[0].type&&(r=t[0].tag,t=t[0].body);for(var a,n=ae(t,e,!0),o=[],i=[],s=0;s<n.length;s++)if(i.push(n[s]),n[s].hasClass(\"mbin\")||n[s].hasClass(\"mrel\")||n[s].hasClass(\"allowbreak\")){for(var h=!1;s<n.length-1&&n[s+1].hasClass(\"mspace\")&&!n[s+1].hasClass(\"newline\");)s++,i.push(n[s]),n[s].hasClass(\"nobreak\")&&(h=!0);h||(o.push(le(i,e)),i=[])}else n[s].hasClass(\"newline\")&&(i.pop(),i.length>0&&(o.push(le(i,e)),i=[]),o.push(n[s]));i.length>0&&o.push(le(i,e)),r&&((a=le(ae(r,e,!0))).classes=[\"tag\"],o.push(a));var l=Jt([\"katex-html\"],o);if(l.setAttribute(\"aria-hidden\",\"true\"),a){var m=a.children[0];m.style.height=l.height+l.depth+\"em\",m.style.verticalAlign=-l.depth+\"em\"}return l}function ce(t){return new T(t)}var ue=function(){function t(t,e){this.type=void 0,this.attributes=void 0,this.children=void 0,this.type=t,this.attributes={},this.children=e||[]}var e=t.prototype;return e.setAttribute=function(t,e){this.attributes[t]=e},e.getAttribute=function(t){return this.attributes[t]},e.toNode=function(){var t=document.createElementNS(\"http://www.w3.org/1998/Math/MathML\",this.type);for(var e in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,e)&&t.setAttribute(e,this.attributes[e]);for(var r=0;r<this.children.length;r++)t.appendChild(this.children[r].toNode());return t},e.toMarkup=function(){var t=\"<\"+this.type;for(var e in this.attributes)Object.prototype.hasOwnProperty.call(this.attributes,e)&&(t+=\" \"+e+'=\"',t+=c.escape(this.attributes[e]),t+='\"');t+=\">\";for(var r=0;r<this.children.length;r++)t+=this.children[r].toMarkup();return t+=\"</\"+this.type+\">\"},e.toText=function(){return this.children.map(function(t){return t.toText()}).join(\"\")},t}(),de=function(){function t(t){this.text=void 0,this.text=t}var e=t.prototype;return e.toNode=function(){return document.createTextNode(this.text)},e.toMarkup=function(){return c.escape(this.toText())},e.toText=function(){return this.text},t}(),pe={MathNode:ue,TextNode:de,SpaceNode:function(){function t(t){this.width=void 0,this.character=void 0,this.width=t,this.character=t>=.05555&&t<=.05556?\"\\u200a\":t>=.1666&&t<=.1667?\"\\u2009\":t>=.2222&&t<=.2223?\"\\u2005\":t>=.2777&&t<=.2778?\"\\u2005\\u200a\":t>=-.05556&&t<=-.05555?\"\\u200a\\u2063\":t>=-.1667&&t<=-.1666?\"\\u2009\\u2063\":t>=-.2223&&t<=-.2222?\"\\u205f\\u2063\":t>=-.2778&&t<=-.2777?\"\\u2005\\u2063\":null}var e=t.prototype;return e.toNode=function(){if(this.character)return document.createTextNode(this.character);var t=document.createElementNS(\"http://www.w3.org/1998/Math/MathML\",\"mspace\");return t.setAttribute(\"width\",this.width+\"em\"),t},e.toMarkup=function(){return this.character?\"<mtext>\"+this.character+\"</mtext>\":'<mspace width=\"'+this.width+'em\"/>'},e.toText=function(){return this.character?this.character:\" \"},t}(),newDocumentFragment:ce},fe=function(t,e,r){return!_[e][t]||!_[e][t].replace||55349===t.charCodeAt(0)||tt.hasOwnProperty(t)&&r&&(r.fontFamily&&\"tt\"===r.fontFamily.substr(4,2)||r.font&&\"tt\"===r.font.substr(4,2))||(t=_[e][t].replace),new pe.TextNode(t)},ge=function(t){return 1===t.length?t[0]:new pe.MathNode(\"mrow\",t)},xe=function(t,e){if(\"texttt\"===e.fontFamily)return\"monospace\";if(\"textsf\"===e.fontFamily)return\"textit\"===e.fontShape&&\"textbf\"===e.fontWeight?\"sans-serif-bold-italic\":\"textit\"===e.fontShape?\"sans-serif-italic\":\"textbf\"===e.fontWeight?\"bold-sans-serif\":\"sans-serif\";if(\"textit\"===e.fontShape&&\"textbf\"===e.fontWeight)return\"bold-italic\";if(\"textit\"===e.fontShape)return\"italic\";if(\"textbf\"===e.fontWeight)return\"bold\";var r=e.font;if(!r||\"mathnormal\"===r)return null;var a=t.mode;if(\"mathit\"===r)return\"italic\";if(\"boldsymbol\"===r)return\"bold-italic\";var n=t.text;return c.contains([\"\\\\imath\",\"\\\\jmath\"],n)?null:(_[a][n]&&_[a][n].replace&&(n=_[a][n].replace),V(n,Lt.fontMap[r].fontName,a)?Lt.fontMap[r].variant:null)},ve=function(t,e){for(var r,a=[],n=0;n<t.length;n++){var o=ye(t[n],e);if(o instanceof ue&&r instanceof ue){if(\"mtext\"===o.type&&\"mtext\"===r.type&&o.getAttribute(\"mathvariant\")===r.getAttribute(\"mathvariant\")){var i;(i=r.children).push.apply(i,o.children);continue}if(\"mn\"===o.type&&\"mn\"===r.type){var s;(s=r.children).push.apply(s,o.children);continue}if(\"mi\"===o.type&&1===o.children.length&&\"mn\"===r.type){var h=o.children[0];if(h instanceof de&&\".\"===h.text){var l;(l=r.children).push.apply(l,o.children);continue}}else if(\"mi\"===r.type&&1===r.children.length){var m=r.children[0];if(m instanceof de&&\"\\u0338\"===m.text&&(\"mo\"===o.type||\"mi\"===o.type||\"mn\"===o.type)){var c=o.children[0];c instanceof de&&c.text.length>0&&(c.text=c.text.slice(0,1)+\"\\u0338\"+c.text.slice(1),a.pop())}}}a.push(o),r=o}return a},be=function(t,e){return ge(ve(t,e))},ye=function(t,e){if(!t)return new pe.MathNode(\"mrow\");if(jt[t.type])return jt[t.type](t,e);throw new i(\"Got group of unknown type: '\"+t.type+\"'\")};var we=function(t){return new wt({style:t.displayMode?w.DISPLAY:w.TEXT,maxSize:t.maxSize})},ke=function(t,e){if(e.displayMode){var r=[\"katex-display\"];e.leqno&&r.push(\"leqno\"),e.fleqn&&r.push(\"fleqn\"),t=Lt.makeSpan(r,[t])}return t},Se=function(t,e,r){var a=we(r),n=function(t,e,r){var a,n=ve(t,r);a=1===n.length&&n[0]instanceof ue&&c.contains([\"mrow\",\"mtable\"],n[0].type)?n[0]:new pe.MathNode(\"mrow\",n);var o=new pe.MathNode(\"annotation\",[new pe.TextNode(e)]);o.setAttribute(\"encoding\",\"application/x-tex\");var i=new pe.MathNode(\"semantics\",[a,o]),s=new pe.MathNode(\"math\",[i]);return Lt.makeSpan([\"katex-mathml\"],[s])}(t,e,a),o=me(t,a),i=Lt.makeSpan([\"katex\"],[n,o]);return ke(i,r)},ze={widehat:\"^\",widecheck:\"\\u02c7\",widetilde:\"~\",utilde:\"~\",overleftarrow:\"\\u2190\",underleftarrow:\"\\u2190\",xleftarrow:\"\\u2190\",overrightarrow:\"\\u2192\",underrightarrow:\"\\u2192\",xrightarrow:\"\\u2192\",underbrace:\"\\u23df\",overbrace:\"\\u23de\",overgroup:\"\\u23e0\",undergroup:\"\\u23e1\",overleftrightarrow:\"\\u2194\",underleftrightarrow:\"\\u2194\",xleftrightarrow:\"\\u2194\",Overrightarrow:\"\\u21d2\",xRightarrow:\"\\u21d2\",overleftharpoon:\"\\u21bc\",xleftharpoonup:\"\\u21bc\",overrightharpoon:\"\\u21c0\",xrightharpoonup:\"\\u21c0\",xLeftarrow:\"\\u21d0\",xLeftrightarrow:\"\\u21d4\",xhookleftarrow:\"\\u21a9\",xhookrightarrow:\"\\u21aa\",xmapsto:\"\\u21a6\",xrightharpoondown:\"\\u21c1\",xleftharpoondown:\"\\u21bd\",xrightleftharpoons:\"\\u21cc\",xleftrightharpoons:\"\\u21cb\",xtwoheadleftarrow:\"\\u219e\",xtwoheadrightarrow:\"\\u21a0\",xlongequal:\"=\",xtofrom:\"\\u21c4\",xrightleftarrows:\"\\u21c4\",xrightequilibrium:\"\\u21cc\",xleftequilibrium:\"\\u21cb\"},Me={overrightarrow:[[\"rightarrow\"],.888,522,\"xMaxYMin\"],overleftarrow:[[\"leftarrow\"],.888,522,\"xMinYMin\"],underrightarrow:[[\"rightarrow\"],.888,522,\"xMaxYMin\"],underleftarrow:[[\"leftarrow\"],.888,522,\"xMinYMin\"],xrightarrow:[[\"rightarrow\"],1.469,522,\"xMaxYMin\"],xleftarrow:[[\"leftarrow\"],1.469,522,\"xMinYMin\"],Overrightarrow:[[\"doublerightarrow\"],.888,560,\"xMaxYMin\"],xRightarrow:[[\"doublerightarrow\"],1.526,560,\"xMaxYMin\"],xLeftarrow:[[\"doubleleftarrow\"],1.526,560,\"xMinYMin\"],overleftharpoon:[[\"leftharpoon\"],.888,522,\"xMinYMin\"],xleftharpoonup:[[\"leftharpoon\"],.888,522,\"xMinYMin\"],xleftharpoondown:[[\"leftharpoondown\"],.888,522,\"xMinYMin\"],overrightharpoon:[[\"rightharpoon\"],.888,522,\"xMaxYMin\"],xrightharpoonup:[[\"rightharpoon\"],.888,522,\"xMaxYMin\"],xrightharpoondown:[[\"rightharpoondown\"],.888,522,\"xMaxYMin\"],xlongequal:[[\"longequal\"],.888,334,\"xMinYMin\"],xtwoheadleftarrow:[[\"twoheadleftarrow\"],.888,334,\"xMinYMin\"],xtwoheadrightarrow:[[\"twoheadrightarrow\"],.888,334,\"xMaxYMin\"],overleftrightarrow:[[\"leftarrow\",\"rightarrow\"],.888,522],overbrace:[[\"leftbrace\",\"midbrace\",\"rightbrace\"],1.6,548],underbrace:[[\"leftbraceunder\",\"midbraceunder\",\"rightbraceunder\"],1.6,548],underleftrightarrow:[[\"leftarrow\",\"rightarrow\"],.888,522],xleftrightarrow:[[\"leftarrow\",\"rightarrow\"],1.75,522],xLeftrightarrow:[[\"doubleleftarrow\",\"doublerightarrow\"],1.75,560],xrightleftharpoons:[[\"leftharpoondownplus\",\"rightharpoonplus\"],1.75,716],xleftrightharpoons:[[\"leftharpoonplus\",\"rightharpoondownplus\"],1.75,716],xhookleftarrow:[[\"leftarrow\",\"righthook\"],1.08,522],xhookrightarrow:[[\"lefthook\",\"rightarrow\"],1.08,522],overlinesegment:[[\"leftlinesegment\",\"rightlinesegment\"],.888,522],underlinesegment:[[\"leftlinesegment\",\"rightlinesegment\"],.888,522],overgroup:[[\"leftgroup\",\"rightgroup\"],.888,342],undergroup:[[\"leftgroupunder\",\"rightgroupunder\"],.888,342],xmapsto:[[\"leftmapsto\",\"rightarrow\"],1.5,522],xtofrom:[[\"leftToFrom\",\"rightToFrom\"],1.75,528],xrightleftarrows:[[\"baraboveleftarrow\",\"rightarrowabovebar\"],1.75,901],xrightequilibrium:[[\"baraboveshortleftharpoon\",\"rightharpoonaboveshortbar\"],1.75,716],xleftequilibrium:[[\"shortbaraboveleftharpoon\",\"shortrightharpoonabovebar\"],1.75,716]},Te=function(t){return\"ordgroup\"===t.type?t.body.length:1},Ae=function(t,e,r,a){var n,o=t.height+t.depth+2*r;if(/fbox|color/.test(e)){if(n=Lt.makeSpan([\"stretchy\",e],[],a),\"fbox\"===e){var i=a.color&&a.getColor();i&&(n.style.borderColor=i)}}else{var s=[];/^[bx]cancel$/.test(e)&&s.push(new H({x1:\"0\",y1:\"0\",x2:\"100%\",y2:\"100%\",\"stroke-width\":\"0.046em\"})),/^x?cancel$/.test(e)&&s.push(new H({x1:\"0\",y1:\"100%\",x2:\"100%\",y2:\"0\",\"stroke-width\":\"0.046em\"}));var h=new R(s,{width:\"100%\",height:o+\"em\"});n=Lt.makeSvgSpan([],[h],a)}return n.height=o,n.style.height=o+\"em\",n},Be=function(t){var e=new pe.MathNode(\"mo\",[new pe.TextNode(ze[t.substr(1)])]);return e.setAttribute(\"stretchy\",\"true\"),e},qe=function(t,e){var r=function(){var r=4e5,a=t.label.substr(1);if(c.contains([\"widehat\",\"widecheck\",\"widetilde\",\"utilde\"],a)){var n,o,i,s=Te(t.base);if(s>5)\"widehat\"===a||\"widecheck\"===a?(n=420,r=2364,i=.42,o=a+\"4\"):(n=312,r=2340,i=.34,o=\"tilde4\");else{var h=[1,1,2,2,3,3][s];\"widehat\"===a||\"widecheck\"===a?(r=[0,1062,2364,2364,2364][h],n=[0,239,300,360,420][h],i=[0,.24,.3,.3,.36,.42][h],o=a+h):(r=[0,600,1033,2339,2340][h],n=[0,260,286,306,312][h],i=[0,.26,.286,.3,.306,.34][h],o=\"tilde\"+h)}var l=new L(o),m=new R([l],{width:\"100%\",height:i+\"em\",viewBox:\"0 0 \"+r+\" \"+n,preserveAspectRatio:\"none\"});return{span:Lt.makeSvgSpan([],[m],e),minWidth:0,height:i}}var u,d,p=[],f=Me[a],g=f[0],x=f[1],v=f[2],b=v/1e3,y=g.length;if(1===y)u=[\"hide-tail\"],d=[f[3]];else if(2===y)u=[\"halfarrow-left\",\"halfarrow-right\"],d=[\"xMinYMin\",\"xMaxYMin\"];else{if(3!==y)throw new Error(\"Correct katexImagesData or update code here to support\\n \"+y+\" children.\");u=[\"brace-left\",\"brace-center\",\"brace-right\"],d=[\"xMinYMin\",\"xMidYMin\",\"xMaxYMin\"]}for(var w=0;w<y;w++){var k=new L(g[w]),S=new R([k],{width:\"400em\",height:b+\"em\",viewBox:\"0 0 \"+r+\" \"+v,preserveAspectRatio:d[w]+\" slice\"}),z=Lt.makeSvgSpan([u[w]],[S],e);if(1===y)return{span:z,minWidth:x,height:b};z.style.height=b+\"em\",p.push(z)}return{span:Lt.makeSpan([\"stretchy\"],p,e),minWidth:x,height:b}}(),a=r.span,n=r.minWidth,o=r.height;return a.height=o,a.style.height=o+\"em\",n>0&&(a.style.minWidth=n+\"em\"),a},Ce=function(t,e){var r,a,n,o=Pt(t,\"supsub\");o?(r=(a=Ht(o.base,\"accent\")).base,o.base=r,n=function(t){if(t instanceof N)return t;throw new Error(\"Expected span<HtmlDomNode> but got \"+String(t)+\".\")}(he(o,e)),o.base=a):r=(a=Ht(t,\"accent\")).base;var i=he(r,e.havingCrampedStyle()),s=0;if(a.isShifty&&c.isCharacterBox(r)){var h=c.getBaseElem(r);s=function(t){if(t instanceof E)return t;throw new Error(\"Expected symbolNode but got \"+String(t)+\".\")}(he(h,e.havingCrampedStyle())).skew}var l,m=Math.min(i.height,e.fontMetrics().xHeight);if(a.isStretchy)l=qe(a,e),l=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:i},{type:\"elem\",elem:l,wrapperClasses:[\"svg-align\"],wrapperStyle:s>0?{width:\"calc(100% - \"+2*s+\"em)\",marginLeft:2*s+\"em\"}:void 0}]},e);else{var u,d;\"\\\\vec\"===a.label?(u=Lt.staticSvg(\"vec\",e),d=Lt.svgData.vec[1]):((u=Lt.makeSymbol(a.label,\"Main-Regular\",a.mode,e)).italic=0,d=u.width),l=Lt.makeSpan([\"accent-body\"],[u]);var p=\"\\\\textcircled\"===a.label;p&&(l.classes.push(\"accent-full\"),m=i.height);var f=s;p||(f-=d/2),l.style.left=f+\"em\",\"\\\\textcircled\"===a.label&&(l.style.top=\".2em\"),l=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:i},{type:\"kern\",size:-m},{type:\"elem\",elem:l}]},e)}var g=Lt.makeSpan([\"mord\",\"accent\"],[l],e);return n?(n.children[0]=g,n.height=Math.max(g.height,n.height),n.classes[0]=\"mord\",n):g},Ne=function(t,e){var r=t.isStretchy?Be(t.label):new pe.MathNode(\"mo\",[fe(t.label,t.mode)]),a=new pe.MathNode(\"mover\",[ye(t.base,e),r]);return a.setAttribute(\"accent\",\"true\"),a},Ie=new RegExp([\"\\\\acute\",\"\\\\grave\",\"\\\\ddot\",\"\\\\tilde\",\"\\\\bar\",\"\\\\breve\",\"\\\\check\",\"\\\\hat\",\"\\\\vec\",\"\\\\dot\",\"\\\\mathring\"].map(function(t){return\"\\\\\"+t}).join(\"|\"));$t({type:\"accent\",names:[\"\\\\acute\",\"\\\\grave\",\"\\\\ddot\",\"\\\\tilde\",\"\\\\bar\",\"\\\\breve\",\"\\\\check\",\"\\\\hat\",\"\\\\vec\",\"\\\\dot\",\"\\\\mathring\",\"\\\\widecheck\",\"\\\\widehat\",\"\\\\widetilde\",\"\\\\overrightarrow\",\"\\\\overleftarrow\",\"\\\\Overrightarrow\",\"\\\\overleftrightarrow\",\"\\\\overgroup\",\"\\\\overlinesegment\",\"\\\\overleftharpoon\",\"\\\\overrightharpoon\"],props:{numArgs:1},handler:function(t,e){var r=e[0],a=!Ie.test(t.funcName),n=!a||\"\\\\widehat\"===t.funcName||\"\\\\widetilde\"===t.funcName||\"\\\\widecheck\"===t.funcName;return{type:\"accent\",mode:t.parser.mode,label:t.funcName,isStretchy:a,isShifty:n,base:r}},htmlBuilder:Ce,mathmlBuilder:Ne}),$t({type:\"accent\",names:[\"\\\\'\",\"\\\\`\",\"\\\\^\",\"\\\\~\",\"\\\\=\",\"\\\\u\",\"\\\\.\",'\\\\\"',\"\\\\r\",\"\\\\H\",\"\\\\v\",\"\\\\textcircled\"],props:{numArgs:1,allowedInText:!0,allowedInMath:!1},handler:function(t,e){var r=e[0];return{type:\"accent\",mode:t.parser.mode,label:t.funcName,isStretchy:!1,isShifty:!0,base:r}},htmlBuilder:Ce,mathmlBuilder:Ne}),$t({type:\"accentUnder\",names:[\"\\\\underleftarrow\",\"\\\\underrightarrow\",\"\\\\underleftrightarrow\",\"\\\\undergroup\",\"\\\\underlinesegment\",\"\\\\utilde\"],props:{numArgs:1},handler:function(t,e){var r=t.parser,a=t.funcName,n=e[0];return{type:\"accentUnder\",mode:r.mode,label:a,base:n}},htmlBuilder:function(t,e){var r=he(t.base,e),a=qe(t,e),n=\"\\\\utilde\"===t.label?.12:0,o=Lt.makeVList({positionType:\"bottom\",positionData:a.height+n,children:[{type:\"elem\",elem:a,wrapperClasses:[\"svg-align\"]},{type:\"kern\",size:n},{type:\"elem\",elem:r}]},e);return Lt.makeSpan([\"mord\",\"accentunder\"],[o],e)},mathmlBuilder:function(t,e){var r=Be(t.label),a=new pe.MathNode(\"munder\",[ye(t.base,e),r]);return a.setAttribute(\"accentunder\",\"true\"),a}});var Oe=function(t){var e=new pe.MathNode(\"mpadded\",t?[t]:[]);return e.setAttribute(\"width\",\"+0.6em\"),e.setAttribute(\"lspace\",\"0.3em\"),e};$t({type:\"xArrow\",names:[\"\\\\xleftarrow\",\"\\\\xrightarrow\",\"\\\\xLeftarrow\",\"\\\\xRightarrow\",\"\\\\xleftrightarrow\",\"\\\\xLeftrightarrow\",\"\\\\xhookleftarrow\",\"\\\\xhookrightarrow\",\"\\\\xmapsto\",\"\\\\xrightharpoondown\",\"\\\\xrightharpoonup\",\"\\\\xleftharpoondown\",\"\\\\xleftharpoonup\",\"\\\\xrightleftharpoons\",\"\\\\xleftrightharpoons\",\"\\\\xlongequal\",\"\\\\xtwoheadrightarrow\",\"\\\\xtwoheadleftarrow\",\"\\\\xtofrom\",\"\\\\xrightleftarrows\",\"\\\\xrightequilibrium\",\"\\\\xleftequilibrium\"],props:{numArgs:1,numOptionalArgs:1},handler:function(t,e,r){var a=t.parser,n=t.funcName;return{type:\"xArrow\",mode:a.mode,label:n,body:e[0],below:r[0]}},htmlBuilder:function(t,e){var r,a=e.style,n=e.havingStyle(a.sup()),o=Lt.wrapFragment(he(t.body,n,e),e);o.classes.push(\"x-arrow-pad\"),t.below&&(n=e.havingStyle(a.sub()),(r=Lt.wrapFragment(he(t.below,n,e),e)).classes.push(\"x-arrow-pad\"));var i,s=qe(t,e),h=-e.fontMetrics().axisHeight+.5*s.height,l=-e.fontMetrics().axisHeight-.5*s.height-.111;if((o.depth>.25||\"\\\\xleftequilibrium\"===t.label)&&(l-=o.depth),r){var m=-e.fontMetrics().axisHeight+r.height+.5*s.height+.111;i=Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:o,shift:l},{type:\"elem\",elem:s,shift:h},{type:\"elem\",elem:r,shift:m}]},e)}else i=Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:o,shift:l},{type:\"elem\",elem:s,shift:h}]},e);return i.children[0].children[0].children[1].classes.push(\"svg-align\"),Lt.makeSpan([\"mrel\",\"x-arrow\"],[i],e)},mathmlBuilder:function(t,e){var r,a=Be(t.label);if(t.body){var n=Oe(ye(t.body,e));if(t.below){var o=Oe(ye(t.below,e));r=new pe.MathNode(\"munderover\",[a,o,n])}else r=new pe.MathNode(\"mover\",[a,n])}else if(t.below){var i=Oe(ye(t.below,e));r=new pe.MathNode(\"munder\",[a,i])}else r=Oe(),r=new pe.MathNode(\"mover\",[a,r]);return r}}),$t({type:\"textord\",names:[\"\\\\@char\"],props:{numArgs:1,allowedInText:!0},handler:function(t,e){for(var r=t.parser,a=Ht(e[0],\"ordgroup\").body,n=\"\",o=0;o<a.length;o++){n+=Ht(a[o],\"textord\").text}var s=parseInt(n);if(isNaN(s))throw new i(\"\\\\@char has non-numeric argument \"+n);return{type:\"textord\",mode:r.mode,text:String.fromCharCode(s)}}});var Ee=function(t,e){var r=ae(t.body,e.withColor(t.color),!1);return Lt.makeFragment(r)},Re=function(t,e){var r=ve(t.body,e.withColor(t.color)),a=new pe.MathNode(\"mstyle\",r);return a.setAttribute(\"mathcolor\",t.color),a};$t({type:\"color\",names:[\"\\\\textcolor\"],props:{numArgs:2,allowedInText:!0,greediness:3,argTypes:[\"color\",\"original\"]},handler:function(t,e){var r=t.parser,a=Ht(e[0],\"color-token\").color,n=e[1];return{type:\"color\",mode:r.mode,color:a,body:Kt(n)}},htmlBuilder:Ee,mathmlBuilder:Re}),$t({type:\"color\",names:[\"\\\\color\"],props:{numArgs:1,allowedInText:!0,greediness:3,argTypes:[\"color\"]},handler:function(t,e){var r=t.parser,a=t.breakOnTokenText,n=Ht(e[0],\"color-token\").color,o=r.parseExpression(!0,a);return{type:\"color\",mode:r.mode,color:n,body:o}},htmlBuilder:Ee,mathmlBuilder:Re}),$t({type:\"cr\",names:[\"\\\\cr\",\"\\\\newline\"],props:{numArgs:0,numOptionalArgs:1,argTypes:[\"size\"],allowedInText:!0},handler:function(t,e,r){var a=t.parser,n=t.funcName,o=r[0],i=\"\\\\cr\"===n,s=!1;return i||(s=!a.settings.displayMode||!a.settings.useStrictBehavior(\"newLineInDisplayMode\",\"In LaTeX, \\\\\\\\ or \\\\newline does nothing in display mode\")),{type:\"cr\",mode:a.mode,newLine:s,newRow:i,size:o&&Ht(o,\"size\").value}},htmlBuilder:function(t,e){if(t.newRow)throw new i(\"\\\\cr valid only within a tabular/array environment\");var r=Lt.makeSpan([\"mspace\"],[],e);return t.newLine&&(r.classes.push(\"newline\"),t.size&&(r.style.marginTop=zt(t.size,e)+\"em\")),r},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mspace\");return t.newLine&&(r.setAttribute(\"linebreak\",\"newline\"),t.size&&r.setAttribute(\"height\",zt(t.size,e)+\"em\")),r}});var Le=function(t,e,r){var a=V(_.math[t]&&_.math[t].replace||t,e,r);if(!a)throw new Error(\"Unsupported symbol \"+t+\" and font size \"+e+\".\");return a},He=function(t,e,r,a){var n=r.havingBaseStyle(e),o=Lt.makeSpan(a.concat(n.sizingClasses(r)),[t],r),i=n.sizeMultiplier/r.sizeMultiplier;return o.height*=i,o.depth*=i,o.maxFontSize=n.sizeMultiplier,o},Pe=function(t,e,r){var a=e.havingBaseStyle(r),n=(1-e.sizeMultiplier/a.sizeMultiplier)*e.fontMetrics().axisHeight;t.classes.push(\"delimcenter\"),t.style.top=n+\"em\",t.height-=n,t.depth+=n},De=function(t,e,r,a,n,o){var i=function(t,e,r,a){return Lt.makeSymbol(t,\"Size\"+e+\"-Regular\",r,a)}(t,e,n,a),s=He(Lt.makeSpan([\"delimsizing\",\"size\"+e],[i],a),w.TEXT,a,o);return r&&Pe(s,a,w.TEXT),s},Fe=function(t,e,r){var a;return a=\"Size1-Regular\"===e?\"delim-size1\":\"delim-size4\",{type:\"elem\",elem:Lt.makeSpan([\"delimsizinginner\",a],[Lt.makeSpan([],[Lt.makeSymbol(t,e,r)])])}},Ve=function(t,e,r,a,n,o){var i,s,h,l;i=h=l=t,s=null;var m=\"Size1-Regular\";\"\\\\uparrow\"===t?h=l=\"\\u23d0\":\"\\\\Uparrow\"===t?h=l=\"\\u2016\":\"\\\\downarrow\"===t?i=h=\"\\u23d0\":\"\\\\Downarrow\"===t?i=h=\"\\u2016\":\"\\\\updownarrow\"===t?(i=\"\\\\uparrow\",h=\"\\u23d0\",l=\"\\\\downarrow\"):\"\\\\Updownarrow\"===t?(i=\"\\\\Uparrow\",h=\"\\u2016\",l=\"\\\\Downarrow\"):\"[\"===t||\"\\\\lbrack\"===t?(i=\"\\u23a1\",h=\"\\u23a2\",l=\"\\u23a3\",m=\"Size4-Regular\"):\"]\"===t||\"\\\\rbrack\"===t?(i=\"\\u23a4\",h=\"\\u23a5\",l=\"\\u23a6\",m=\"Size4-Regular\"):\"\\\\lfloor\"===t||\"\\u230a\"===t?(h=i=\"\\u23a2\",l=\"\\u23a3\",m=\"Size4-Regular\"):\"\\\\lceil\"===t||\"\\u2308\"===t?(i=\"\\u23a1\",h=l=\"\\u23a2\",m=\"Size4-Regular\"):\"\\\\rfloor\"===t||\"\\u230b\"===t?(h=i=\"\\u23a5\",l=\"\\u23a6\",m=\"Size4-Regular\"):\"\\\\rceil\"===t||\"\\u2309\"===t?(i=\"\\u23a4\",h=l=\"\\u23a5\",m=\"Size4-Regular\"):\"(\"===t||\"\\\\lparen\"===t?(i=\"\\u239b\",h=\"\\u239c\",l=\"\\u239d\",m=\"Size4-Regular\"):\")\"===t||\"\\\\rparen\"===t?(i=\"\\u239e\",h=\"\\u239f\",l=\"\\u23a0\",m=\"Size4-Regular\"):\"\\\\{\"===t||\"\\\\lbrace\"===t?(i=\"\\u23a7\",s=\"\\u23a8\",l=\"\\u23a9\",h=\"\\u23aa\",m=\"Size4-Regular\"):\"\\\\}\"===t||\"\\\\rbrace\"===t?(i=\"\\u23ab\",s=\"\\u23ac\",l=\"\\u23ad\",h=\"\\u23aa\",m=\"Size4-Regular\"):\"\\\\lgroup\"===t||\"\\u27ee\"===t?(i=\"\\u23a7\",l=\"\\u23a9\",h=\"\\u23aa\",m=\"Size4-Regular\"):\"\\\\rgroup\"===t||\"\\u27ef\"===t?(i=\"\\u23ab\",l=\"\\u23ad\",h=\"\\u23aa\",m=\"Size4-Regular\"):\"\\\\lmoustache\"===t||\"\\u23b0\"===t?(i=\"\\u23a7\",l=\"\\u23ad\",h=\"\\u23aa\",m=\"Size4-Regular\"):\"\\\\rmoustache\"!==t&&\"\\u23b1\"!==t||(i=\"\\u23ab\",l=\"\\u23a9\",h=\"\\u23aa\",m=\"Size4-Regular\");var c=Le(i,m,n),u=c.height+c.depth,d=Le(h,m,n),p=d.height+d.depth,f=Le(l,m,n),g=f.height+f.depth,x=0,v=1;if(null!==s){var b=Le(s,m,n);x=b.height+b.depth,v=2}var y=u+g+x,k=Math.ceil((e-y)/(v*p)),S=y+k*v*p,z=a.fontMetrics().axisHeight;r&&(z*=a.sizeMultiplier);var M=S/2-z,T=[];if(T.push(Fe(l,m,n)),null===s)for(var A=0;A<k;A++)T.push(Fe(h,m,n));else{for(var B=0;B<k;B++)T.push(Fe(h,m,n));T.push(Fe(s,m,n));for(var q=0;q<k;q++)T.push(Fe(h,m,n))}T.push(Fe(i,m,n));var C=a.havingBaseStyle(w.TEXT),N=Lt.makeVList({positionType:\"bottom\",positionData:M,children:T},C);return He(Lt.makeSpan([\"delimsizing\",\"mult\"],[N],C),w.TEXT,a,o)},Ue=function(t,e,r,a){var n;\"sqrtTall\"===t&&(n=\"M702 80H400000v40H742v\"+(r-54-80)+\"l-4 4-4 4c-.667.7\\n-2 1.5-4 2.5s-4.167 1.833-6.5 2.5-5.5 1-9.5 1h-12l-28-84c-16.667-52-96.667\\n-294.333-240-727l-212 -643 -85 170c-4-3.333-8.333-7.667-13 -13l-13-13l77-155\\n 77-156c66 199.333 139 419.667 219 661 l218 661zM702 80H400000v40H742z\");var o=new L(t,n),i=new R([o],{width:\"400em\",height:e+\"em\",viewBox:\"0 0 400000 \"+r,preserveAspectRatio:\"xMinYMin slice\"});return Lt.makeSvgSpan([\"hide-tail\"],[i],a)},Ge=[\"(\",\"\\\\lparen\",\")\",\"\\\\rparen\",\"[\",\"\\\\lbrack\",\"]\",\"\\\\rbrack\",\"\\\\{\",\"\\\\lbrace\",\"\\\\}\",\"\\\\rbrace\",\"\\\\lfloor\",\"\\\\rfloor\",\"\\u230a\",\"\\u230b\",\"\\\\lceil\",\"\\\\rceil\",\"\\u2308\",\"\\u2309\",\"\\\\surd\"],Xe=[\"\\\\uparrow\",\"\\\\downarrow\",\"\\\\updownarrow\",\"\\\\Uparrow\",\"\\\\Downarrow\",\"\\\\Updownarrow\",\"|\",\"\\\\|\",\"\\\\vert\",\"\\\\Vert\",\"\\\\lvert\",\"\\\\rvert\",\"\\\\lVert\",\"\\\\rVert\",\"\\\\lgroup\",\"\\\\rgroup\",\"\\u27ee\",\"\\u27ef\",\"\\\\lmoustache\",\"\\\\rmoustache\",\"\\u23b0\",\"\\u23b1\"],Ye=[\"<\",\">\",\"\\\\langle\",\"\\\\rangle\",\"/\",\"\\\\backslash\",\"\\\\lt\",\"\\\\gt\"],_e=[0,1.2,1.8,2.4,3],We=[{type:\"small\",style:w.SCRIPTSCRIPT},{type:\"small\",style:w.SCRIPT},{type:\"small\",style:w.TEXT},{type:\"large\",size:1},{type:\"large\",size:2},{type:\"large\",size:3},{type:\"large\",size:4}],je=[{type:\"small\",style:w.SCRIPTSCRIPT},{type:\"small\",style:w.SCRIPT},{type:\"small\",style:w.TEXT},{type:\"stack\"}],$e=[{type:\"small\",style:w.SCRIPTSCRIPT},{type:\"small\",style:w.SCRIPT},{type:\"small\",style:w.TEXT},{type:\"large\",size:1},{type:\"large\",size:2},{type:\"large\",size:3},{type:\"large\",size:4},{type:\"stack\"}],Ze=function(t){if(\"small\"===t.type)return\"Main-Regular\";if(\"large\"===t.type)return\"Size\"+t.size+\"-Regular\";if(\"stack\"===t.type)return\"Size4-Regular\";throw new Error(\"Add support for delim type '\"+t.type+\"' here.\")},Ke=function(t,e,r,a){for(var n=Math.min(2,3-a.style.size);n<r.length&&\"stack\"!==r[n].type;n++){var o=Le(t,Ze(r[n]),\"math\"),i=o.height+o.depth;if(\"small\"===r[n].type&&(i*=a.havingBaseStyle(r[n].style).sizeMultiplier),i>e)return r[n]}return r[r.length-1]},Je=function(t,e,r,a,n,o){var i;\"<\"===t||\"\\\\lt\"===t||\"\\u27e8\"===t?t=\"\\\\langle\":\">\"!==t&&\"\\\\gt\"!==t&&\"\\u27e9\"!==t||(t=\"\\\\rangle\"),i=c.contains(Ye,t)?We:c.contains(Ge,t)?$e:je;var s=Ke(t,e,i,a);return\"small\"===s.type?function(t,e,r,a,n,o){var i=Lt.makeSymbol(t,\"Main-Regular\",n,a),s=He(i,e,a,o);return r&&Pe(s,a,e),s}(t,s.style,r,a,n,o):\"large\"===s.type?De(t,s.size,r,a,n,o):Ve(t,e,r,a,n,o)},Qe=function(t,e){var r,a,n=e.havingBaseSizing(),o=Ke(\"\\\\surd\",t*n.sizeMultiplier,$e,n),i=n.sizeMultiplier,s=0,h=0,l=0;return\"small\"===o.type?(t<1?i=1:t<1.4&&(i=.7),h=1/i,(r=Ue(\"sqrtMain\",s=1.08/i,l=1080,e)).style.minWidth=\"0.853em\",a=.833/i):\"large\"===o.type?(l=1080*_e[o.size],h=_e[o.size]/i,s=(_e[o.size]+.08)/i,(r=Ue(\"sqrtSize\"+o.size,s,l,e)).style.minWidth=\"1.02em\",a=1/i):(s=t+.08,h=t,l=Math.floor(1e3*t)+80,(r=Ue(\"sqrtTall\",s,l,e)).style.minWidth=\"0.742em\",a=1.056),r.height=h,r.style.height=s+\"em\",{span:r,advanceWidth:a,ruleWidth:e.fontMetrics().sqrtRuleThickness*i}},tr=function(t,e,r,a,n){if(\"<\"===t||\"\\\\lt\"===t||\"\\u27e8\"===t?t=\"\\\\langle\":\">\"!==t&&\"\\\\gt\"!==t&&\"\\u27e9\"!==t||(t=\"\\\\rangle\"),c.contains(Ge,t)||c.contains(Ye,t))return De(t,e,!1,r,a,n);if(c.contains(Xe,t))return Ve(t,_e[e],!1,r,a,n);throw new i(\"Illegal delimiter: '\"+t+\"'\")},er=Je,rr=function(t,e,r,a,n,o){var i=a.fontMetrics().axisHeight*a.sizeMultiplier,s=5/a.fontMetrics().ptPerEm,h=Math.max(e-i,r+i),l=Math.max(h/500*901,2*h-s);return Je(t,l,!0,a,n,o)},ar={\"\\\\bigl\":{mclass:\"mopen\",size:1},\"\\\\Bigl\":{mclass:\"mopen\",size:2},\"\\\\biggl\":{mclass:\"mopen\",size:3},\"\\\\Biggl\":{mclass:\"mopen\",size:4},\"\\\\bigr\":{mclass:\"mclose\",size:1},\"\\\\Bigr\":{mclass:\"mclose\",size:2},\"\\\\biggr\":{mclass:\"mclose\",size:3},\"\\\\Biggr\":{mclass:\"mclose\",size:4},\"\\\\bigm\":{mclass:\"mrel\",size:1},\"\\\\Bigm\":{mclass:\"mrel\",size:2},\"\\\\biggm\":{mclass:\"mrel\",size:3},\"\\\\Biggm\":{mclass:\"mrel\",size:4},\"\\\\big\":{mclass:\"mord\",size:1},\"\\\\Big\":{mclass:\"mord\",size:2},\"\\\\bigg\":{mclass:\"mord\",size:3},\"\\\\Bigg\":{mclass:\"mord\",size:4}},nr=[\"(\",\"\\\\lparen\",\")\",\"\\\\rparen\",\"[\",\"\\\\lbrack\",\"]\",\"\\\\rbrack\",\"\\\\{\",\"\\\\lbrace\",\"\\\\}\",\"\\\\rbrace\",\"\\\\lfloor\",\"\\\\rfloor\",\"\\u230a\",\"\\u230b\",\"\\\\lceil\",\"\\\\rceil\",\"\\u2308\",\"\\u2309\",\"<\",\">\",\"\\\\langle\",\"\\u27e8\",\"\\\\rangle\",\"\\u27e9\",\"\\\\lt\",\"\\\\gt\",\"\\\\lvert\",\"\\\\rvert\",\"\\\\lVert\",\"\\\\rVert\",\"\\\\lgroup\",\"\\\\rgroup\",\"\\u27ee\",\"\\u27ef\",\"\\\\lmoustache\",\"\\\\rmoustache\",\"\\u23b0\",\"\\u23b1\",\"/\",\"\\\\backslash\",\"|\",\"\\\\vert\",\"\\\\|\",\"\\\\Vert\",\"\\\\uparrow\",\"\\\\Uparrow\",\"\\\\downarrow\",\"\\\\Downarrow\",\"\\\\updownarrow\",\"\\\\Updownarrow\",\".\"];function or(t,e){var r=Ft(t);if(r&&c.contains(nr,r.text))return r;throw new i(\"Invalid delimiter: '\"+(r?r.text:JSON.stringify(t))+\"' after '\"+e.funcName+\"'\",t)}function ir(t){if(!t.body)throw new Error(\"Bug: The leftright ParseNode wasn't fully parsed.\")}$t({type:\"delimsizing\",names:[\"\\\\bigl\",\"\\\\Bigl\",\"\\\\biggl\",\"\\\\Biggl\",\"\\\\bigr\",\"\\\\Bigr\",\"\\\\biggr\",\"\\\\Biggr\",\"\\\\bigm\",\"\\\\Bigm\",\"\\\\biggm\",\"\\\\Biggm\",\"\\\\big\",\"\\\\Big\",\"\\\\bigg\",\"\\\\Bigg\"],props:{numArgs:1},handler:function(t,e){var r=or(e[0],t);return{type:\"delimsizing\",mode:t.parser.mode,size:ar[t.funcName].size,mclass:ar[t.funcName].mclass,delim:r.text}},htmlBuilder:function(t,e){return\".\"===t.delim?Lt.makeSpan([t.mclass]):tr(t.delim,t.size,e,t.mode,[t.mclass])},mathmlBuilder:function(t){var e=[];\".\"!==t.delim&&e.push(fe(t.delim,t.mode));var r=new pe.MathNode(\"mo\",e);return\"mopen\"===t.mclass||\"mclose\"===t.mclass?r.setAttribute(\"fence\",\"true\"):r.setAttribute(\"fence\",\"false\"),r}}),$t({type:\"leftright-right\",names:[\"\\\\right\"],props:{numArgs:1},handler:function(t,e){return{type:\"leftright-right\",mode:t.parser.mode,delim:or(e[0],t).text}}}),$t({type:\"leftright\",names:[\"\\\\left\"],props:{numArgs:1},handler:function(t,e){var r=or(e[0],t),a=t.parser;++a.leftrightDepth;var n=a.parseExpression(!1);--a.leftrightDepth,a.expect(\"\\\\right\",!1);var o=Ht(a.parseFunction(),\"leftright-right\");return{type:\"leftright\",mode:a.mode,body:n,left:r.text,right:o.delim}},htmlBuilder:function(t,e){ir(t);for(var r,a,n=ae(t.body,e,!0,[\"mopen\",\"mclose\"]),o=0,i=0,s=!1,h=0;h<n.length;h++)n[h].isMiddle?s=!0:(o=Math.max(n[h].height,o),i=Math.max(n[h].depth,i));if(o*=e.sizeMultiplier,i*=e.sizeMultiplier,r=\".\"===t.left?se(e,[\"mopen\"]):rr(t.left,o,i,e,t.mode,[\"mopen\"]),n.unshift(r),s)for(var l=1;l<n.length;l++){var m=n[l].isMiddle;m&&(n[l]=rr(m.delim,o,i,m.options,t.mode,[]))}return a=\".\"===t.right?se(e,[\"mclose\"]):rr(t.right,o,i,e,t.mode,[\"mclose\"]),n.push(a),Lt.makeSpan([\"minner\"],n,e)},mathmlBuilder:function(t,e){ir(t);var r=ve(t.body,e);if(\".\"!==t.left){var a=new pe.MathNode(\"mo\",[fe(t.left,t.mode)]);a.setAttribute(\"fence\",\"true\"),r.unshift(a)}if(\".\"!==t.right){var n=new pe.MathNode(\"mo\",[fe(t.right,t.mode)]);n.setAttribute(\"fence\",\"true\"),r.push(n)}return ge(r)}}),$t({type:\"middle\",names:[\"\\\\middle\"],props:{numArgs:1},handler:function(t,e){var r=or(e[0],t);if(!t.parser.leftrightDepth)throw new i(\"\\\\middle without preceding \\\\left\",r);return{type:\"middle\",mode:t.parser.mode,delim:r.text}},htmlBuilder:function(t,e){var r;if(\".\"===t.delim)r=se(e,[]);else{r=tr(t.delim,1,e,t.mode,[]);var a={delim:t.delim,options:e};r.isMiddle=a}return r},mathmlBuilder:function(t,e){var r=\"\\\\vert\"===t.delim||\"|\"===t.delim?fe(\"|\",\"text\"):fe(t.delim,t.mode),a=new pe.MathNode(\"mo\",[r]);return a.setAttribute(\"fence\",\"true\"),a.setAttribute(\"lspace\",\"0.05em\"),a.setAttribute(\"rspace\",\"0.05em\"),a}});var sr=function(t,e){var r,a,n=Lt.wrapFragment(he(t.body,e),e),o=t.label.substr(1),i=e.sizeMultiplier,s=0,h=c.isCharacterBox(t.body);if(\"sout\"===o)(r=Lt.makeSpan([\"stretchy\",\"sout\"])).height=e.fontMetrics().defaultRuleThickness/i,s=-.5*e.fontMetrics().xHeight;else{/cancel/.test(o)?h||n.classes.push(\"cancel-pad\"):n.classes.push(\"boxpad\");var l=0;l=/box/.test(o)?\"colorbox\"===o?.3:.34:h?.2:0,r=Ae(n,o,l,e),s=n.depth+l,t.backgroundColor&&(r.style.backgroundColor=t.backgroundColor,t.borderColor&&(r.style.borderColor=t.borderColor))}return a=t.backgroundColor?Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:r,shift:s},{type:\"elem\",elem:n,shift:0}]},e):Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:n,shift:0},{type:\"elem\",elem:r,shift:s,wrapperClasses:/cancel/.test(o)?[\"svg-align\"]:[]}]},e),/cancel/.test(o)&&(a.height=n.height,a.depth=n.depth),/cancel/.test(o)&&!h?Lt.makeSpan([\"mord\",\"cancel-lap\"],[a],e):Lt.makeSpan([\"mord\"],[a],e)},hr=function(t,e){var r=new pe.MathNode(t.label.indexOf(\"colorbox\")>-1?\"mpadded\":\"menclose\",[ye(t.body,e)]);switch(t.label){case\"\\\\cancel\":r.setAttribute(\"notation\",\"updiagonalstrike\");break;case\"\\\\bcancel\":r.setAttribute(\"notation\",\"downdiagonalstrike\");break;case\"\\\\sout\":r.setAttribute(\"notation\",\"horizontalstrike\");break;case\"\\\\fbox\":r.setAttribute(\"notation\",\"box\");break;case\"\\\\fcolorbox\":case\"\\\\colorbox\":if(r.setAttribute(\"width\",\"+6pt\"),r.setAttribute(\"height\",\"+6pt\"),r.setAttribute(\"lspace\",\"3pt\"),r.setAttribute(\"voffset\",\"3pt\"),\"\\\\fcolorbox\"===t.label){var a=e.fontMetrics().defaultRuleThickness;r.setAttribute(\"style\",\"border: \"+a+\"em solid \"+String(t.borderColor))}break;case\"\\\\xcancel\":r.setAttribute(\"notation\",\"updiagonalstrike downdiagonalstrike\")}return t.backgroundColor&&r.setAttribute(\"mathbackground\",t.backgroundColor),r};$t({type:\"enclose\",names:[\"\\\\colorbox\"],props:{numArgs:2,allowedInText:!0,greediness:3,argTypes:[\"color\",\"text\"]},handler:function(t,e,r){var a=t.parser,n=t.funcName,o=Ht(e[0],\"color-token\").color,i=e[1];return{type:\"enclose\",mode:a.mode,label:n,backgroundColor:o,body:i}},htmlBuilder:sr,mathmlBuilder:hr}),$t({type:\"enclose\",names:[\"\\\\fcolorbox\"],props:{numArgs:3,allowedInText:!0,greediness:3,argTypes:[\"color\",\"color\",\"text\"]},handler:function(t,e,r){var a=t.parser,n=t.funcName,o=Ht(e[0],\"color-token\").color,i=Ht(e[1],\"color-token\").color,s=e[2];return{type:\"enclose\",mode:a.mode,label:n,backgroundColor:i,borderColor:o,body:s}},htmlBuilder:sr,mathmlBuilder:hr}),$t({type:\"enclose\",names:[\"\\\\fbox\"],props:{numArgs:1,argTypes:[\"text\"],allowedInText:!0},handler:function(t,e){return{type:\"enclose\",mode:t.parser.mode,label:\"\\\\fbox\",body:e[0]}}}),$t({type:\"enclose\",names:[\"\\\\cancel\",\"\\\\bcancel\",\"\\\\xcancel\",\"\\\\sout\"],props:{numArgs:1},handler:function(t,e,r){var a=t.parser,n=t.funcName,o=e[0];return{type:\"enclose\",mode:a.mode,label:n,body:o}},htmlBuilder:sr,mathmlBuilder:hr});var lr={};function mr(t){for(var e=t.type,r=t.names,a=t.props,n=t.handler,o=t.htmlBuilder,i=t.mathmlBuilder,s={type:e,numArgs:a.numArgs||0,greediness:1,allowedInText:!1,numOptionalArgs:0,handler:n},h=0;h<r.length;++h)lr[r[h]]=s;o&&(Wt[e]=o),i&&(jt[e]=i)}function cr(t){var e=[];t.consumeSpaces();for(var r=t.nextToken.text;\"\\\\hline\"===r||\"\\\\hdashline\"===r;)t.consume(),e.push(\"\\\\hdashline\"===r),t.consumeSpaces(),r=t.nextToken.text;return e}function ur(t,e,r){var a=e.hskipBeforeAndAfter,n=e.addJot,o=e.cols,s=e.arraystretch,h=e.colSeparationType;if(t.gullet.beginGroup(),t.gullet.macros.set(\"\\\\\\\\\",\"\\\\cr\"),!s){var l=t.gullet.expandMacroAsText(\"\\\\arraystretch\");if(null==l)s=1;else if(!(s=parseFloat(l))||s<0)throw new i(\"Invalid \\\\arraystretch: \"+l)}var m=[],c=[m],u=[],d=[];for(d.push(cr(t));;){var p=t.parseExpression(!1,\"\\\\cr\");p={type:\"ordgroup\",mode:t.mode,body:p},r&&(p={type:\"styling\",mode:t.mode,style:r,body:[p]}),m.push(p);var f=t.nextToken.text;if(\"&\"===f)t.consume();else{if(\"\\\\end\"===f){1===m.length&&\"styling\"===p.type&&0===p.body[0].body.length&&c.pop(),d.length<c.length+1&&d.push([]);break}if(\"\\\\cr\"!==f)throw new i(\"Expected & or \\\\\\\\ or \\\\cr or \\\\end\",t.nextToken);var g=Ht(t.parseFunction(),\"cr\");u.push(g.size),d.push(cr(t)),m=[],c.push(m)}}return t.gullet.endGroup(),{type:\"array\",mode:t.mode,addJot:n,arraystretch:s,body:c,cols:o,rowGaps:u,hskipBeforeAndAfter:a,hLinesBeforeRow:d,colSeparationType:h}}function dr(t){return\"d\"===t.substr(0,1)?\"display\":\"text\"}var pr=function(t,e){var r,a,n=t.body.length,o=t.hLinesBeforeRow,s=0,h=new Array(n),l=[],m=1/e.fontMetrics().ptPerEm,u=5*m,d=12*m,p=3*m,f=t.arraystretch*d,g=.7*f,x=.3*f,v=0;function b(t){for(var e=0;e<t.length;++e)e>0&&(v+=.25),l.push({pos:v,isDashed:t[e]})}for(b(o[0]),r=0;r<t.body.length;++r){var y=t.body[r],w=g,k=x;s<y.length&&(s=y.length);var S=new Array(y.length);for(a=0;a<y.length;++a){var z=he(y[a],e);k<z.depth&&(k=z.depth),w<z.height&&(w=z.height),S[a]=z}var M=t.rowGaps[r],T=0;M&&(T=zt(M,e))>0&&(k<(T+=x)&&(k=T),T=0),t.addJot&&(k+=p),S.height=w,S.depth=k,v+=w,S.pos=v,v+=k+T,h[r]=S,b(o[r+1])}var A,B,q=v/2+e.fontMetrics().axisHeight,C=t.cols||[],N=[];for(a=0,B=0;a<s||B<C.length;++a,++B){for(var I=C[B]||{},O=!0;\"separator\"===I.type;){if(O||((A=Lt.makeSpan([\"arraycolsep\"],[])).style.width=e.fontMetrics().doubleRuleSep+\"em\",N.push(A)),\"|\"===I.separator){var E=Lt.makeSpan([\"vertical-separator\"],[],e);E.style.height=v+\"em\",E.style.verticalAlign=-(v-q)+\"em\",N.push(E)}else{if(\":\"!==I.separator)throw new i(\"Invalid separator type: \"+I.separator);var R=Lt.makeSpan([\"vertical-separator\",\"vs-dashed\"],[],e);R.style.height=v+\"em\",R.style.verticalAlign=-(v-q)+\"em\",N.push(R)}I=C[++B]||{},O=!1}if(!(a>=s)){var L=void 0;(a>0||t.hskipBeforeAndAfter)&&0!==(L=c.deflt(I.pregap,u))&&((A=Lt.makeSpan([\"arraycolsep\"],[])).style.width=L+\"em\",N.push(A));var H=[];for(r=0;r<n;++r){var P=h[r],D=P[a];if(D){var F=P.pos-q;D.depth=P.depth,D.height=P.height,H.push({type:\"elem\",elem:D,shift:F})}}H=Lt.makeVList({positionType:\"individualShift\",children:H},e),H=Lt.makeSpan([\"col-align-\"+(I.align||\"c\")],[H]),N.push(H),(a<s-1||t.hskipBeforeAndAfter)&&0!==(L=c.deflt(I.postgap,u))&&((A=Lt.makeSpan([\"arraycolsep\"],[])).style.width=L+\"em\",N.push(A))}}if(h=Lt.makeSpan([\"mtable\"],N),l.length>0){for(var V=Lt.makeLineSpan(\"hline\",e,.05),U=Lt.makeLineSpan(\"hdashline\",e,.05),G=[{type:\"elem\",elem:h,shift:0}];l.length>0;){var X=l.pop(),Y=X.pos-q;X.isDashed?G.push({type:\"elem\",elem:U,shift:Y}):G.push({type:\"elem\",elem:V,shift:Y})}h=Lt.makeVList({positionType:\"individualShift\",children:G},e)}return Lt.makeSpan([\"mord\"],[h],e)},fr={c:\"center \",l:\"left \",r:\"right \"},gr=function(t,e){var r=new pe.MathNode(\"mtable\",t.body.map(function(t){return new pe.MathNode(\"mtr\",t.map(function(t){return new pe.MathNode(\"mtd\",[ye(t,e)])}))})),a=.16+t.arraystretch-1+(t.addJot?.09:0);r.setAttribute(\"rowspacing\",a+\"em\");var n=\"\",o=\"\";if(t.cols){var i=t.cols,s=\"\",h=!1,l=0,m=i.length;\"separator\"===i[0].type&&(n+=\"top \",l=1),\"separator\"===i[i.length-1].type&&(n+=\"bottom \",m-=1);for(var c=l;c<m;c++)\"align\"===i[c].type?(o+=fr[i[c].align],h&&(s+=\"none \"),h=!0):\"separator\"===i[c].type&&h&&(s+=\"|\"===i[c].separator?\"solid \":\"dashed \",h=!1);r.setAttribute(\"columnalign\",o.trim()),/[sd]/.test(s)&&r.setAttribute(\"columnlines\",s.trim())}if(\"align\"===t.colSeparationType){for(var u=t.cols||[],d=\"\",p=1;p<u.length;p++)d+=p%2?\"0em \":\"1em \";r.setAttribute(\"columnspacing\",d.trim())}else\"alignat\"===t.colSeparationType?r.setAttribute(\"columnspacing\",\"0em\"):r.setAttribute(\"columnspacing\",\"1em\");var f=\"\",g=t.hLinesBeforeRow;n+=g[0].length>0?\"left \":\"\",n+=g[g.length-1].length>0?\"right \":\"\";for(var x=1;x<g.length-1;x++)f+=0===g[x].length?\"none \":g[x][0]?\"dashed \":\"solid \";if(/[sd]/.test(f)&&r.setAttribute(\"rowlines\",f.trim()),\"\"===n)return r;var v=new pe.MathNode(\"menclose\",[r]);return v.setAttribute(\"notation\",n.trim()),v},xr=function(t,e){var r,a=[],n=ur(t.parser,{cols:a,addJot:!0},\"display\"),o=0,s={type:\"ordgroup\",mode:t.mode,body:[]},h=Pt(e[0],\"ordgroup\");if(h){for(var l=\"\",m=0;m<h.body.length;m++){l+=Ht(h.body[m],\"textord\").text}r=Number(l),o=2*r}var c=!o;n.body.forEach(function(t){for(var e=1;e<t.length;e+=2){var a=Ht(t[e],\"styling\");Ht(a.body[0],\"ordgroup\").body.unshift(s)}if(c)o<t.length&&(o=t.length);else{var n=t.length/2;if(r<n)throw new i(\"Too many math in a row: expected \"+r+\", but got \"+n,t[0])}});for(var u=0;u<o;++u){var d=\"r\",p=0;u%2==1?d=\"l\":u>0&&c&&(p=1),a[u]={type:\"align\",align:d,pregap:p,postgap:0}}return n.colSeparationType=c?\"align\":\"alignat\",n};mr({type:\"array\",names:[\"array\",\"darray\"],props:{numArgs:1},handler:function(t,e){var r={cols:(Ft(e[0])?[e[0]]:Ht(e[0],\"ordgroup\").body).map(function(t){var e=function(t){var e=Ft(t);if(!e)throw new Error(\"Expected node of symbol group type, but got \"+(t?\"node of type \"+t.type:String(t)));return e}(t).text;if(-1!==\"lcr\".indexOf(e))return{type:\"align\",align:e};if(\"|\"===e)return{type:\"separator\",separator:\"|\"};if(\":\"===e)return{type:\"separator\",separator:\":\"};throw new i(\"Unknown column alignment: \"+e,t)}),hskipBeforeAndAfter:!0};return ur(t.parser,r,dr(t.envName))},htmlBuilder:pr,mathmlBuilder:gr}),mr({type:\"array\",names:[\"matrix\",\"pmatrix\",\"bmatrix\",\"Bmatrix\",\"vmatrix\",\"Vmatrix\"],props:{numArgs:0},handler:function(t){var e={matrix:null,pmatrix:[\"(\",\")\"],bmatrix:[\"[\",\"]\"],Bmatrix:[\"\\\\{\",\"\\\\}\"],vmatrix:[\"|\",\"|\"],Vmatrix:[\"\\\\Vert\",\"\\\\Vert\"]}[t.envName],r=ur(t.parser,{hskipBeforeAndAfter:!1},dr(t.envName));return e?{type:\"leftright\",mode:t.mode,body:[r],left:e[0],right:e[1]}:r},htmlBuilder:pr,mathmlBuilder:gr}),mr({type:\"array\",names:[\"cases\",\"dcases\"],props:{numArgs:0},handler:function(t){var e=ur(t.parser,{arraystretch:1.2,cols:[{type:\"align\",align:\"l\",pregap:0,postgap:1},{type:\"align\",align:\"l\",pregap:0,postgap:0}]},dr(t.envName));return{type:\"leftright\",mode:t.mode,body:[e],left:\"\\\\{\",right:\".\"}},htmlBuilder:pr,mathmlBuilder:gr}),mr({type:\"array\",names:[\"aligned\"],props:{numArgs:0},handler:xr,htmlBuilder:pr,mathmlBuilder:gr}),mr({type:\"array\",names:[\"gathered\"],props:{numArgs:0},handler:function(t){return ur(t.parser,{cols:[{type:\"align\",align:\"c\"}],addJot:!0},\"display\")},htmlBuilder:pr,mathmlBuilder:gr}),mr({type:\"array\",names:[\"alignedat\"],props:{numArgs:1},handler:xr,htmlBuilder:pr,mathmlBuilder:gr}),$t({type:\"text\",names:[\"\\\\hline\",\"\\\\hdashline\"],props:{numArgs:0,allowedInText:!0,allowedInMath:!0},handler:function(t,e){throw new i(t.funcName+\" valid only within array environment\")}});var vr=lr;$t({type:\"environment\",names:[\"\\\\begin\",\"\\\\end\"],props:{numArgs:1,argTypes:[\"text\"]},handler:function(t,e){var r=t.parser,a=t.funcName,n=e[0];if(\"ordgroup\"!==n.type)throw new i(\"Invalid environment name\",n);for(var o=\"\",s=0;s<n.body.length;++s)o+=Ht(n.body[s],\"textord\").text;if(\"\\\\begin\"===a){if(!vr.hasOwnProperty(o))throw new i(\"No such environment: \"+o,n);var h=vr[o],l=r.parseArguments(\"\\\\begin{\"+o+\"}\",h),m=l.args,c=l.optArgs,u={mode:r.mode,envName:o,parser:r},d=h.handler(u,m,c);r.expect(\"\\\\end\",!1);var p=r.nextToken,f=Ht(r.parseFunction(),\"environment\");if(f.name!==o)throw new i(\"Mismatch: \\\\begin{\"+o+\"} matched by \\\\end{\"+f.name+\"}\",p);return d}return{type:\"environment\",mode:r.mode,name:o,nameGroup:n}}});var br=Lt.makeSpan;function yr(t,e){var r=ae(t.body,e,!0);return br([t.mclass],r,e)}function wr(t,e){var r=ve(t.body,e);return pe.newDocumentFragment(r)}$t({type:\"mclass\",names:[\"\\\\mathord\",\"\\\\mathbin\",\"\\\\mathrel\",\"\\\\mathopen\",\"\\\\mathclose\",\"\\\\mathpunct\",\"\\\\mathinner\"],props:{numArgs:1},handler:function(t,e){var r=t.parser,a=t.funcName,n=e[0];return{type:\"mclass\",mode:r.mode,mclass:\"m\"+a.substr(5),body:Kt(n)}},htmlBuilder:yr,mathmlBuilder:wr});var kr=function(t){var e=\"ordgroup\"===t.type&&t.body.length?t.body[0]:t;return\"atom\"!==e.type||\"bin\"!==e.family&&\"rel\"!==e.family?\"mord\":\"m\"+e.family};$t({type:\"mclass\",names:[\"\\\\@binrel\"],props:{numArgs:2},handler:function(t,e){return{type:\"mclass\",mode:t.parser.mode,mclass:kr(e[0]),body:[e[1]]}}}),$t({type:\"mclass\",names:[\"\\\\stackrel\",\"\\\\overset\",\"\\\\underset\"],props:{numArgs:2},handler:function(t,e){var r,a=t.parser,n=t.funcName,o=e[1],i=e[0];r=\"\\\\stackrel\"!==n?kr(o):\"mrel\";var s={type:\"op\",mode:o.mode,limits:!0,alwaysHandleSupSub:!0,parentIsSupSub:!1,symbol:!1,suppressBaseShift:\"\\\\stackrel\"!==n,body:Kt(o)},h={type:\"supsub\",mode:i.mode,base:s,sup:\"\\\\underset\"===n?null:i,sub:\"\\\\underset\"===n?i:null};return{type:\"mclass\",mode:a.mode,mclass:r,body:[h]}},htmlBuilder:yr,mathmlBuilder:wr});var Sr=function(t,e){var r=t.font,a=e.withFont(r);return he(t.body,a)},zr=function(t,e){var r=t.font,a=e.withFont(r);return ye(t.body,a)},Mr={\"\\\\Bbb\":\"\\\\mathbb\",\"\\\\bold\":\"\\\\mathbf\",\"\\\\frak\":\"\\\\mathfrak\",\"\\\\bm\":\"\\\\boldsymbol\"};$t({type:\"font\",names:[\"\\\\mathrm\",\"\\\\mathit\",\"\\\\mathbf\",\"\\\\mathnormal\",\"\\\\mathbb\",\"\\\\mathcal\",\"\\\\mathfrak\",\"\\\\mathscr\",\"\\\\mathsf\",\"\\\\mathtt\",\"\\\\Bbb\",\"\\\\bold\",\"\\\\frak\"],props:{numArgs:1,greediness:2},handler:function(t,e){var r=t.parser,a=t.funcName,n=e[0],o=a;return o in Mr&&(o=Mr[o]),{type:\"font\",mode:r.mode,font:o.slice(1),body:n}},htmlBuilder:Sr,mathmlBuilder:zr}),$t({type:\"mclass\",names:[\"\\\\boldsymbol\",\"\\\\bm\"],props:{numArgs:1,greediness:2},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"mclass\",mode:r.mode,mclass:kr(a),body:[{type:\"font\",mode:r.mode,font:\"boldsymbol\",body:a}]}}}),$t({type:\"font\",names:[\"\\\\rm\",\"\\\\sf\",\"\\\\tt\",\"\\\\bf\",\"\\\\it\"],props:{numArgs:0,allowedInText:!0},handler:function(t,e){var r=t.parser,a=t.funcName,n=t.breakOnTokenText,o=r.mode,i=r.parseExpression(!0,n);return{type:\"font\",mode:o,font:\"math\"+a.slice(1),body:{type:\"ordgroup\",mode:r.mode,body:i}}},htmlBuilder:Sr,mathmlBuilder:zr});var Tr=function(t,e){var r=e;return\"display\"===t?r=r.id>=w.SCRIPT.id?r.text():w.DISPLAY:\"text\"===t&&r.size===w.DISPLAY.size?r=w.TEXT:\"script\"===t?r=w.SCRIPT:\"scriptscript\"===t&&(r=w.SCRIPTSCRIPT),r},Ar=function(t,e){var r,a=Tr(t.size,e.style),n=a.fracNum(),o=a.fracDen();r=e.havingStyle(n);var i=he(t.numer,r,e);if(t.continued){var s=8.5/e.fontMetrics().ptPerEm,h=3.5/e.fontMetrics().ptPerEm;i.height=i.height<s?s:i.height,i.depth=i.depth<h?h:i.depth}r=e.havingStyle(o);var l,m,c,u,d,p,f,g,x,v,b=he(t.denom,r,e);if(t.hasBarLine?(t.barSize?(m=zt(t.barSize,e),l=Lt.makeLineSpan(\"frac-line\",e,m)):l=Lt.makeLineSpan(\"frac-line\",e),m=l.height,c=l.height):(l=null,m=0,c=e.fontMetrics().defaultRuleThickness),a.size===w.DISPLAY.size||\"display\"===t.size?(u=e.fontMetrics().num1,d=m>0?3*c:7*c,p=e.fontMetrics().denom1):(m>0?(u=e.fontMetrics().num2,d=c):(u=e.fontMetrics().num3,d=3*c),p=e.fontMetrics().denom2),l){var y=e.fontMetrics().axisHeight;u-i.depth-(y+.5*m)<d&&(u+=d-(u-i.depth-(y+.5*m))),y-.5*m-(b.height-p)<d&&(p+=d-(y-.5*m-(b.height-p)));var k=-(y-.5*m);f=Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:b,shift:p},{type:\"elem\",elem:l,shift:k},{type:\"elem\",elem:i,shift:-u}]},e)}else{var S=u-i.depth-(b.height-p);S<d&&(u+=.5*(d-S),p+=.5*(d-S)),f=Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:b,shift:p},{type:\"elem\",elem:i,shift:-u}]},e)}return r=e.havingStyle(a),f.height*=r.sizeMultiplier/e.sizeMultiplier,f.depth*=r.sizeMultiplier/e.sizeMultiplier,g=a.size===w.DISPLAY.size?e.fontMetrics().delim1:e.fontMetrics().delim2,x=null==t.leftDelim?se(e,[\"mopen\"]):er(t.leftDelim,g,!0,e.havingStyle(a),t.mode,[\"mopen\"]),v=t.continued?Lt.makeSpan([]):null==t.rightDelim?se(e,[\"mclose\"]):er(t.rightDelim,g,!0,e.havingStyle(a),t.mode,[\"mclose\"]),Lt.makeSpan([\"mord\"].concat(r.sizingClasses(e)),[x,Lt.makeSpan([\"mfrac\"],[f]),v],e)},Br=function(t,e){var r=new pe.MathNode(\"mfrac\",[ye(t.numer,e),ye(t.denom,e)]);if(t.hasBarLine){if(t.barSize){var a=zt(t.barSize,e);r.setAttribute(\"linethickness\",a+\"em\")}}else r.setAttribute(\"linethickness\",\"0px\");var n=Tr(t.size,e.style);if(n.size!==e.style.size){r=new pe.MathNode(\"mstyle\",[r]);var o=n.size===w.DISPLAY.size?\"true\":\"false\";r.setAttribute(\"displaystyle\",o),r.setAttribute(\"scriptlevel\",\"0\")}if(null!=t.leftDelim||null!=t.rightDelim){var i=[];if(null!=t.leftDelim){var s=new pe.MathNode(\"mo\",[new pe.TextNode(t.leftDelim.replace(\"\\\\\",\"\"))]);s.setAttribute(\"fence\",\"true\"),i.push(s)}if(i.push(r),null!=t.rightDelim){var h=new pe.MathNode(\"mo\",[new pe.TextNode(t.rightDelim.replace(\"\\\\\",\"\"))]);h.setAttribute(\"fence\",\"true\"),i.push(h)}return ge(i)}return r};$t({type:\"genfrac\",names:[\"\\\\cfrac\",\"\\\\dfrac\",\"\\\\frac\",\"\\\\tfrac\",\"\\\\dbinom\",\"\\\\binom\",\"\\\\tbinom\",\"\\\\\\\\atopfrac\",\"\\\\\\\\bracefrac\",\"\\\\\\\\brackfrac\"],props:{numArgs:2,greediness:2},handler:function(t,e){var r,a=t.parser,n=t.funcName,o=e[0],i=e[1],s=null,h=null,l=\"auto\";switch(n){case\"\\\\cfrac\":case\"\\\\dfrac\":case\"\\\\frac\":case\"\\\\tfrac\":r=!0;break;case\"\\\\\\\\atopfrac\":r=!1;break;case\"\\\\dbinom\":case\"\\\\binom\":case\"\\\\tbinom\":r=!1,s=\"(\",h=\")\";break;case\"\\\\\\\\bracefrac\":r=!1,s=\"\\\\{\",h=\"\\\\}\";break;case\"\\\\\\\\brackfrac\":r=!1,s=\"[\",h=\"]\";break;default:throw new Error(\"Unrecognized genfrac command\")}switch(n){case\"\\\\cfrac\":case\"\\\\dfrac\":case\"\\\\dbinom\":l=\"display\";break;case\"\\\\tfrac\":case\"\\\\tbinom\":l=\"text\"}return{type:\"genfrac\",mode:a.mode,continued:\"\\\\cfrac\"===n,numer:o,denom:i,hasBarLine:r,leftDelim:s,rightDelim:h,size:l,barSize:null}},htmlBuilder:Ar,mathmlBuilder:Br}),$t({type:\"infix\",names:[\"\\\\over\",\"\\\\choose\",\"\\\\atop\",\"\\\\brace\",\"\\\\brack\"],props:{numArgs:0,infix:!0},handler:function(t){var e,r=t.parser,a=t.funcName,n=t.token;switch(a){case\"\\\\over\":e=\"\\\\frac\";break;case\"\\\\choose\":e=\"\\\\binom\";break;case\"\\\\atop\":e=\"\\\\\\\\atopfrac\";break;case\"\\\\brace\":e=\"\\\\\\\\bracefrac\";break;case\"\\\\brack\":e=\"\\\\\\\\brackfrac\";break;default:throw new Error(\"Unrecognized infix genfrac command\")}return{type:\"infix\",mode:r.mode,replaceWith:e,token:n}}});var qr=[\"display\",\"text\",\"script\",\"scriptscript\"],Cr=function(t){var e=null;return t.length>0&&(e=\".\"===(e=t)?null:e),e};$t({type:\"genfrac\",names:[\"\\\\genfrac\"],props:{numArgs:6,greediness:6,argTypes:[\"math\",\"math\",\"size\",\"text\",\"math\",\"math\"]},handler:function(t,e){var r=t.parser,a=e[4],n=e[5],o=Pt(e[0],\"atom\");o&&(o=Dt(e[0],\"open\"));var i=o?Cr(o.text):null,s=Pt(e[1],\"atom\");s&&(s=Dt(e[1],\"close\"));var h,l=s?Cr(s.text):null,m=Ht(e[2],\"size\"),c=null;h=!!m.isBlank||(c=m.value).number>0;var u=\"auto\",d=Pt(e[3],\"ordgroup\");if(d){if(d.body.length>0){var p=Ht(d.body[0],\"textord\");u=qr[Number(p.text)]}}else d=Ht(e[3],\"textord\"),u=qr[Number(d.text)];return{type:\"genfrac\",mode:r.mode,numer:a,denom:n,continued:!1,hasBarLine:h,barSize:c,leftDelim:i,rightDelim:l,size:u}},htmlBuilder:Ar,mathmlBuilder:Br}),$t({type:\"infix\",names:[\"\\\\above\"],props:{numArgs:1,argTypes:[\"size\"],infix:!0},handler:function(t,e){var r=t.parser,a=(t.funcName,t.token);return{type:\"infix\",mode:r.mode,replaceWith:\"\\\\\\\\abovefrac\",size:Ht(e[0],\"size\").value,token:a}}}),$t({type:\"genfrac\",names:[\"\\\\\\\\abovefrac\"],props:{numArgs:3,argTypes:[\"math\",\"size\",\"math\"]},handler:function(t,e){var r=t.parser,a=(t.funcName,e[0]),n=function(t){if(!t)throw new Error(\"Expected non-null, but got \"+String(t));return t}(Ht(e[1],\"infix\").size),o=e[2],i=n.number>0;return{type:\"genfrac\",mode:r.mode,numer:a,denom:o,continued:!1,hasBarLine:i,barSize:n,leftDelim:null,rightDelim:null,size:\"auto\"}},htmlBuilder:Ar,mathmlBuilder:Br});var Nr=function(t,e){var r,a,n=e.style,o=Pt(t,\"supsub\");o?(r=o.sup?he(o.sup,e.havingStyle(n.sup()),e):he(o.sub,e.havingStyle(n.sub()),e),a=Ht(o.base,\"horizBrace\")):a=Ht(t,\"horizBrace\");var i,s=he(a.base,e.havingBaseStyle(w.DISPLAY)),h=qe(a,e);if(a.isOver?(i=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:s},{type:\"kern\",size:.1},{type:\"elem\",elem:h}]},e)).children[0].children[0].children[1].classes.push(\"svg-align\"):(i=Lt.makeVList({positionType:\"bottom\",positionData:s.depth+.1+h.height,children:[{type:\"elem\",elem:h},{type:\"kern\",size:.1},{type:\"elem\",elem:s}]},e)).children[0].children[0].children[0].classes.push(\"svg-align\"),r){var l=Lt.makeSpan([\"mord\",a.isOver?\"mover\":\"munder\"],[i],e);i=a.isOver?Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:l},{type:\"kern\",size:.2},{type:\"elem\",elem:r}]},e):Lt.makeVList({positionType:\"bottom\",positionData:l.depth+.2+r.height+r.depth,children:[{type:\"elem\",elem:r},{type:\"kern\",size:.2},{type:\"elem\",elem:l}]},e)}return Lt.makeSpan([\"mord\",a.isOver?\"mover\":\"munder\"],[i],e)};$t({type:\"horizBrace\",names:[\"\\\\overbrace\",\"\\\\underbrace\"],props:{numArgs:1},handler:function(t,e){var r=t.parser,a=t.funcName;return{type:\"horizBrace\",mode:r.mode,label:a,isOver:/^\\\\over/.test(a),base:e[0]}},htmlBuilder:Nr,mathmlBuilder:function(t,e){var r=Be(t.label);return new pe.MathNode(t.isOver?\"mover\":\"munder\",[ye(t.base,e),r])}}),$t({type:\"href\",names:[\"\\\\href\"],props:{numArgs:2,argTypes:[\"url\",\"original\"],allowedInText:!0},handler:function(t,e){var r=t.parser,a=e[1],n=Ht(e[0],\"url\").url;return{type:\"href\",mode:r.mode,href:n,body:Kt(a)}},htmlBuilder:function(t,e){var r=ae(t.body,e,!1);return Lt.makeAnchor(t.href,[],r,e)},mathmlBuilder:function(t,e){var r=be(t.body,e);return r instanceof ue||(r=new ue(\"mrow\",[r])),r.setAttribute(\"href\",t.href),r}}),$t({type:\"href\",names:[\"\\\\url\"],props:{numArgs:1,argTypes:[\"url\"],allowedInText:!0},handler:function(t,e){for(var r=t.parser,a=Ht(e[0],\"url\").url,n=[],o=0;o<a.length;o++){var i=a[o];\"~\"===i&&(i=\"\\\\textasciitilde\"),n.push({type:\"textord\",mode:\"text\",text:i})}var s={type:\"text\",mode:r.mode,font:\"\\\\texttt\",body:n};return{type:\"href\",mode:r.mode,href:a,body:Kt(s)}}}),$t({type:\"htmlmathml\",names:[\"\\\\html@mathml\"],props:{numArgs:2,allowedInText:!0},handler:function(t,e){return{type:\"htmlmathml\",mode:t.parser.mode,html:Kt(e[0]),mathml:Kt(e[1])}},htmlBuilder:function(t,e){var r=ae(t.html,e,!1);return Lt.makeFragment(r)},mathmlBuilder:function(t,e){return be(t.mathml,e)}}),$t({type:\"kern\",names:[\"\\\\kern\",\"\\\\mkern\",\"\\\\hskip\",\"\\\\mskip\"],props:{numArgs:1,argTypes:[\"size\"],allowedInText:!0},handler:function(t,e){var r=t.parser,a=t.funcName,n=Ht(e[0],\"size\");if(r.settings.strict){var o=\"m\"===a[1],i=\"mu\"===n.value.unit;o?(i||r.settings.reportNonstrict(\"mathVsTextUnits\",\"LaTeX's \"+a+\" supports only mu units, not \"+n.value.unit+\" units\"),\"math\"!==r.mode&&r.settings.reportNonstrict(\"mathVsTextUnits\",\"LaTeX's \"+a+\" works only in math mode\")):i&&r.settings.reportNonstrict(\"mathVsTextUnits\",\"LaTeX's \"+a+\" doesn't support mu units\")}return{type:\"kern\",mode:r.mode,dimension:n.value}},htmlBuilder:function(t,e){return Lt.makeGlue(t.dimension,e)},mathmlBuilder:function(t,e){var r=zt(t.dimension,e);return new pe.SpaceNode(r)}}),$t({type:\"lap\",names:[\"\\\\mathllap\",\"\\\\mathrlap\",\"\\\\mathclap\"],props:{numArgs:1,allowedInText:!0},handler:function(t,e){var r=t.parser,a=t.funcName,n=e[0];return{type:\"lap\",mode:r.mode,alignment:a.slice(5),body:n}},htmlBuilder:function(t,e){var r;\"clap\"===t.alignment?(r=Lt.makeSpan([],[he(t.body,e)]),r=Lt.makeSpan([\"inner\"],[r],e)):r=Lt.makeSpan([\"inner\"],[he(t.body,e)]);var a=Lt.makeSpan([\"fix\"],[]),n=Lt.makeSpan([t.alignment],[r,a],e),o=Lt.makeSpan([\"strut\"]);return o.style.height=n.height+n.depth+\"em\",o.style.verticalAlign=-n.depth+\"em\",n.children.unshift(o),n=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:n}]},e),Lt.makeSpan([\"mord\"],[n],e)},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mpadded\",[ye(t.body,e)]);if(\"rlap\"!==t.alignment){var a=\"llap\"===t.alignment?\"-1\":\"-0.5\";r.setAttribute(\"lspace\",a+\"width\")}return r.setAttribute(\"width\",\"0px\"),r}}),$t({type:\"styling\",names:[\"\\\\(\",\"$\"],props:{numArgs:0,allowedInText:!0,allowedInMath:!1,consumeMode:\"math\"},handler:function(t,e){var r=t.funcName,a=t.parser,n=a.mode;a.switchMode(\"math\");var o=\"\\\\(\"===r?\"\\\\)\":\"$\",i=a.parseExpression(!1,o);return a.expect(o,!1),a.switchMode(n),a.consume(),{type:\"styling\",mode:a.mode,style:\"text\",body:i}}}),$t({type:\"text\",names:[\"\\\\)\",\"\\\\]\"],props:{numArgs:0,allowedInText:!0,allowedInMath:!1},handler:function(t,e){throw new i(\"Mismatched \"+t.funcName)}});var Ir=function(t,e){switch(e.style.size){case w.DISPLAY.size:return t.display;case w.TEXT.size:return t.text;case w.SCRIPT.size:return t.script;case w.SCRIPTSCRIPT.size:return t.scriptscript;default:return t.text}};$t({type:\"mathchoice\",names:[\"\\\\mathchoice\"],props:{numArgs:4},handler:function(t,e){return{type:\"mathchoice\",mode:t.parser.mode,display:Kt(e[0]),text:Kt(e[1]),script:Kt(e[2]),scriptscript:Kt(e[3])}},htmlBuilder:function(t,e){var r=Ir(t,e),a=ae(r,e,!1);return Lt.makeFragment(a)},mathmlBuilder:function(t,e){var r=Ir(t,e);return be(r,e)}});var Or=[\"\\\\smallint\"],Er=function(t,e){var r,a,n,o=!1,i=Pt(t,\"supsub\");i?(r=i.sup,a=i.sub,n=Ht(i.base,\"op\"),o=!0):n=Ht(t,\"op\");var s,h=e.style,l=!1;if(h.size===w.DISPLAY.size&&n.symbol&&!c.contains(Or,n.name)&&(l=!0),n.symbol){var m=l?\"Size2-Regular\":\"Size1-Regular\",u=\"\";if(\"\\\\oiint\"!==n.name&&\"\\\\oiiint\"!==n.name||(u=n.name.substr(1),n.name=\"oiint\"===u?\"\\\\iint\":\"\\\\iiint\"),s=Lt.makeSymbol(n.name,m,\"math\",e,[\"mop\",\"op-symbol\",l?\"large-op\":\"small-op\"]),u.length>0){var d=s.italic,p=Lt.staticSvg(u+\"Size\"+(l?\"2\":\"1\"),e);s=Lt.makeVList({positionType:\"individualShift\",children:[{type:\"elem\",elem:s,shift:0},{type:\"elem\",elem:p,shift:l?.08:0}]},e),n.name=\"\\\\\"+u,s.classes.unshift(\"mop\"),s.italic=d}}else if(n.body){var f=ae(n.body,e,!0);1===f.length&&f[0]instanceof E?(s=f[0]).classes[0]=\"mop\":s=Lt.makeSpan([\"mop\"],Lt.tryCombineChars(f),e)}else{for(var g=[],x=1;x<n.name.length;x++)g.push(Lt.mathsym(n.name[x],n.mode));s=Lt.makeSpan([\"mop\"],g,e)}var v=0,b=0;if((s instanceof E||\"\\\\oiint\"===n.name||\"\\\\oiiint\"===n.name)&&!n.suppressBaseShift&&(v=(s.height-s.depth)/2-e.fontMetrics().axisHeight,b=s.italic),o){var y,k,S;if(s=Lt.makeSpan([],[s]),r){var z=he(r,e.havingStyle(h.sup()),e);k={elem:z,kern:Math.max(e.fontMetrics().bigOpSpacing1,e.fontMetrics().bigOpSpacing3-z.depth)}}if(a){var M=he(a,e.havingStyle(h.sub()),e);y={elem:M,kern:Math.max(e.fontMetrics().bigOpSpacing2,e.fontMetrics().bigOpSpacing4-M.height)}}if(k&&y){var T=e.fontMetrics().bigOpSpacing5+y.elem.height+y.elem.depth+y.kern+s.depth+v;S=Lt.makeVList({positionType:\"bottom\",positionData:T,children:[{type:\"kern\",size:e.fontMetrics().bigOpSpacing5},{type:\"elem\",elem:y.elem,marginLeft:-b+\"em\"},{type:\"kern\",size:y.kern},{type:\"elem\",elem:s},{type:\"kern\",size:k.kern},{type:\"elem\",elem:k.elem,marginLeft:b+\"em\"},{type:\"kern\",size:e.fontMetrics().bigOpSpacing5}]},e)}else if(y){var A=s.height-v;S=Lt.makeVList({positionType:\"top\",positionData:A,children:[{type:\"kern\",size:e.fontMetrics().bigOpSpacing5},{type:\"elem\",elem:y.elem,marginLeft:-b+\"em\"},{type:\"kern\",size:y.kern},{type:\"elem\",elem:s}]},e)}else{if(!k)return s;var B=s.depth+v;S=Lt.makeVList({positionType:\"bottom\",positionData:B,children:[{type:\"elem\",elem:s},{type:\"kern\",size:k.kern},{type:\"elem\",elem:k.elem,marginLeft:b+\"em\"},{type:\"kern\",size:e.fontMetrics().bigOpSpacing5}]},e)}return Lt.makeSpan([\"mop\",\"op-limits\"],[S],e)}return v&&(s.style.position=\"relative\",s.style.top=v+\"em\"),s},Rr=function(t,e){var r;if(t.symbol)r=new ue(\"mo\",[fe(t.name,t.mode)]),c.contains(Or,t.name)&&r.setAttribute(\"largeop\",\"false\");else if(t.body)r=new ue(\"mo\",ve(t.body,e));else{r=new ue(\"mi\",[new de(t.name.slice(1))]);var a=new ue(\"mo\",[fe(\"\\u2061\",\"text\")]);r=t.parentIsSupSub?new ue(\"mo\",[r,a]):ce([r,a])}return r},Lr={\"\\u220f\":\"\\\\prod\",\"\\u2210\":\"\\\\coprod\",\"\\u2211\":\"\\\\sum\",\"\\u22c0\":\"\\\\bigwedge\",\"\\u22c1\":\"\\\\bigvee\",\"\\u22c2\":\"\\\\bigcap\",\"\\u22c3\":\"\\\\bigcup\",\"\\u2a00\":\"\\\\bigodot\",\"\\u2a01\":\"\\\\bigoplus\",\"\\u2a02\":\"\\\\bigotimes\",\"\\u2a04\":\"\\\\biguplus\",\"\\u2a06\":\"\\\\bigsqcup\"};$t({type:\"op\",names:[\"\\\\coprod\",\"\\\\bigvee\",\"\\\\bigwedge\",\"\\\\biguplus\",\"\\\\bigcap\",\"\\\\bigcup\",\"\\\\intop\",\"\\\\prod\",\"\\\\sum\",\"\\\\bigotimes\",\"\\\\bigoplus\",\"\\\\bigodot\",\"\\\\bigsqcup\",\"\\\\smallint\",\"\\u220f\",\"\\u2210\",\"\\u2211\",\"\\u22c0\",\"\\u22c1\",\"\\u22c2\",\"\\u22c3\",\"\\u2a00\",\"\\u2a01\",\"\\u2a02\",\"\\u2a04\",\"\\u2a06\"],props:{numArgs:0},handler:function(t,e){var r=t.parser,a=t.funcName;return 1===a.length&&(a=Lr[a]),{type:\"op\",mode:r.mode,limits:!0,parentIsSupSub:!1,symbol:!0,name:a}},htmlBuilder:Er,mathmlBuilder:Rr}),$t({type:\"op\",names:[\"\\\\mathop\"],props:{numArgs:1},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"op\",mode:r.mode,limits:!1,parentIsSupSub:!1,symbol:!1,body:Kt(a)}},htmlBuilder:Er,mathmlBuilder:Rr});var Hr={\"\\u222b\":\"\\\\int\",\"\\u222c\":\"\\\\iint\",\"\\u222d\":\"\\\\iiint\",\"\\u222e\":\"\\\\oint\",\"\\u222f\":\"\\\\oiint\",\"\\u2230\":\"\\\\oiiint\"};function Pr(t,e,r){for(var a=ae(t,e,!1),n=e.sizeMultiplier/r.sizeMultiplier,o=0;o<a.length;o++){var i=a[o].classes.indexOf(\"sizing\");i<0?Array.prototype.push.apply(a[o].classes,e.sizingClasses(r)):a[o].classes[i+1]===\"reset-size\"+e.size&&(a[o].classes[i+1]=\"reset-size\"+r.size),a[o].height*=n,a[o].depth*=n}return Lt.makeFragment(a)}$t({type:\"op\",names:[\"\\\\arcsin\",\"\\\\arccos\",\"\\\\arctan\",\"\\\\arctg\",\"\\\\arcctg\",\"\\\\arg\",\"\\\\ch\",\"\\\\cos\",\"\\\\cosec\",\"\\\\cosh\",\"\\\\cot\",\"\\\\cotg\",\"\\\\coth\",\"\\\\csc\",\"\\\\ctg\",\"\\\\cth\",\"\\\\deg\",\"\\\\dim\",\"\\\\exp\",\"\\\\hom\",\"\\\\ker\",\"\\\\lg\",\"\\\\ln\",\"\\\\log\",\"\\\\sec\",\"\\\\sin\",\"\\\\sinh\",\"\\\\sh\",\"\\\\tan\",\"\\\\tanh\",\"\\\\tg\",\"\\\\th\"],props:{numArgs:0},handler:function(t){var e=t.parser,r=t.funcName;return{type:\"op\",mode:e.mode,limits:!1,parentIsSupSub:!1,symbol:!1,name:r}},htmlBuilder:Er,mathmlBuilder:Rr}),$t({type:\"op\",names:[\"\\\\det\",\"\\\\gcd\",\"\\\\inf\",\"\\\\lim\",\"\\\\max\",\"\\\\min\",\"\\\\Pr\",\"\\\\sup\"],props:{numArgs:0},handler:function(t){var e=t.parser,r=t.funcName;return{type:\"op\",mode:e.mode,limits:!0,parentIsSupSub:!1,symbol:!1,name:r}},htmlBuilder:Er,mathmlBuilder:Rr}),$t({type:\"op\",names:[\"\\\\int\",\"\\\\iint\",\"\\\\iiint\",\"\\\\oint\",\"\\\\oiint\",\"\\\\oiiint\",\"\\u222b\",\"\\u222c\",\"\\u222d\",\"\\u222e\",\"\\u222f\",\"\\u2230\"],props:{numArgs:0},handler:function(t){var e=t.parser,r=t.funcName;return 1===r.length&&(r=Hr[r]),{type:\"op\",mode:e.mode,limits:!1,parentIsSupSub:!1,symbol:!0,name:r}},htmlBuilder:Er,mathmlBuilder:Rr}),$t({type:\"operatorname\",names:[\"\\\\operatorname\"],props:{numArgs:1},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"operatorname\",mode:r.mode,body:Kt(a)}},htmlBuilder:function(t,e){if(t.body.length>0){for(var r=t.body.map(function(t){var e=t.text;return\"string\"==typeof e?{type:\"textord\",mode:t.mode,text:e}:t}),a=ae(r,e.withFont(\"mathrm\"),!0),n=0;n<a.length;n++){var o=a[n];o instanceof E&&(o.text=o.text.replace(/\\u2212/,\"-\").replace(/\\u2217/,\"*\"))}return Lt.makeSpan([\"mop\"],a,e)}return Lt.makeSpan([\"mop\"],[],e)},mathmlBuilder:function(t,e){for(var r=ve(t.body,e.withFont(\"mathrm\")),a=!0,n=0;n<r.length;n++){var o=r[n];if(o instanceof pe.SpaceNode);else if(o instanceof pe.MathNode)switch(o.type){case\"mi\":case\"mn\":case\"ms\":case\"mspace\":case\"mtext\":break;case\"mo\":var i=o.children[0];1===o.children.length&&i instanceof pe.TextNode?i.text=i.text.replace(/\\u2212/,\"-\").replace(/\\u2217/,\"*\"):a=!1;break;default:a=!1}else a=!1}if(a){var s=r.map(function(t){return t.toText()}).join(\"\");r=[new pe.TextNode(s)]}var h=new pe.MathNode(\"mi\",r);h.setAttribute(\"mathvariant\",\"normal\");var l=new pe.MathNode(\"mo\",[fe(\"\\u2061\",\"text\")]);return pe.newDocumentFragment([h,l])}}),Zt({type:\"ordgroup\",htmlBuilder:function(t,e){return t.semisimple?Lt.makeFragment(ae(t.body,e,!1)):Lt.makeSpan([\"mord\"],ae(t.body,e,!0),e)},mathmlBuilder:function(t,e){return be(t.body,e)}}),$t({type:\"overline\",names:[\"\\\\overline\"],props:{numArgs:1},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"overline\",mode:r.mode,body:a}},htmlBuilder:function(t,e){var r=he(t.body,e.havingCrampedStyle()),a=Lt.makeLineSpan(\"overline-line\",e),n=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:r},{type:\"kern\",size:3*a.height},{type:\"elem\",elem:a},{type:\"kern\",size:a.height}]},e);return Lt.makeSpan([\"mord\",\"overline\"],[n],e)},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mo\",[new pe.TextNode(\"\\u203e\")]);r.setAttribute(\"stretchy\",\"true\");var a=new pe.MathNode(\"mover\",[ye(t.body,e),r]);return a.setAttribute(\"accent\",\"true\"),a}}),$t({type:\"phantom\",names:[\"\\\\phantom\"],props:{numArgs:1,allowedInText:!0},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"phantom\",mode:r.mode,body:Kt(a)}},htmlBuilder:function(t,e){var r=ae(t.body,e.withPhantom(),!1);return Lt.makeFragment(r)},mathmlBuilder:function(t,e){var r=ve(t.body,e);return new pe.MathNode(\"mphantom\",r)}}),$t({type:\"hphantom\",names:[\"\\\\hphantom\"],props:{numArgs:1,allowedInText:!0},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"hphantom\",mode:r.mode,body:a}},htmlBuilder:function(t,e){var r=Lt.makeSpan([],[he(t.body,e.withPhantom())]);if(r.height=0,r.depth=0,r.children)for(var a=0;a<r.children.length;a++)r.children[a].height=0,r.children[a].depth=0;return r=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:r}]},e),Lt.makeSpan([\"mord\"],[r],e)},mathmlBuilder:function(t,e){var r=ve(Kt(t.body),e),a=new pe.MathNode(\"mphantom\",r),n=new pe.MathNode(\"mpadded\",[a]);return n.setAttribute(\"height\",\"0px\"),n.setAttribute(\"depth\",\"0px\"),n}}),$t({type:\"vphantom\",names:[\"\\\\vphantom\"],props:{numArgs:1,allowedInText:!0},handler:function(t,e){var r=t.parser,a=e[0];return{type:\"vphantom\",mode:r.mode,body:a}},htmlBuilder:function(t,e){var r=Lt.makeSpan([\"inner\"],[he(t.body,e.withPhantom())]),a=Lt.makeSpan([\"fix\"],[]);return Lt.makeSpan([\"mord\",\"rlap\"],[r,a],e)},mathmlBuilder:function(t,e){var r=ve(Kt(t.body),e),a=new pe.MathNode(\"mphantom\",r),n=new pe.MathNode(\"mpadded\",[a]);return n.setAttribute(\"width\",\"0px\"),n}});var Dr=[\"\\\\tiny\",\"\\\\sixptsize\",\"\\\\scriptsize\",\"\\\\footnotesize\",\"\\\\small\",\"\\\\normalsize\",\"\\\\large\",\"\\\\Large\",\"\\\\LARGE\",\"\\\\huge\",\"\\\\Huge\"],Fr=function(t,e){var r=e.havingSize(t.size);return Pr(t.body,r,e)};$t({type:\"sizing\",names:Dr,props:{numArgs:0,allowedInText:!0},handler:function(t,e){var r=t.breakOnTokenText,a=t.funcName,n=t.parser,o=n.parseExpression(!1,r);return{type:\"sizing\",mode:n.mode,size:Dr.indexOf(a)+1,body:o}},htmlBuilder:Fr,mathmlBuilder:function(t,e){var r=e.havingSize(t.size),a=ve(t.body,r),n=new pe.MathNode(\"mstyle\",a);return n.setAttribute(\"mathsize\",r.sizeMultiplier+\"em\"),n}}),$t({type:\"raisebox\",names:[\"\\\\raisebox\"],props:{numArgs:2,argTypes:[\"size\",\"text\"],allowedInText:!0},handler:function(t,e){var r=t.parser,a=Ht(e[0],\"size\").value,n=e[1];return{type:\"raisebox\",mode:r.mode,dy:a,body:n}},htmlBuilder:function(t,e){var r={type:\"text\",mode:t.mode,body:Kt(t.body),font:\"mathrm\"},a={type:\"sizing\",mode:t.mode,body:[r],size:6},n=Fr(a,e),o=zt(t.dy,e);return Lt.makeVList({positionType:\"shift\",positionData:-o,children:[{type:\"elem\",elem:n}]},e)},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mpadded\",[ye(t.body,e)]),a=t.dy.number+t.dy.unit;return r.setAttribute(\"voffset\",a),r}}),$t({type:\"rule\",names:[\"\\\\rule\"],props:{numArgs:2,numOptionalArgs:1,argTypes:[\"size\",\"size\",\"size\"]},handler:function(t,e,r){var a=t.parser,n=r[0],o=Ht(e[0],\"size\"),i=Ht(e[1],\"size\");return{type:\"rule\",mode:a.mode,shift:n&&Ht(n,\"size\").value,width:o.value,height:i.value}},htmlBuilder:function(t,e){var r=Lt.makeSpan([\"mord\",\"rule\"],[],e),a=zt(t.width,e),n=zt(t.height,e),o=t.shift?zt(t.shift,e):0;return r.style.borderRightWidth=a+\"em\",r.style.borderTopWidth=n+\"em\",r.style.bottom=o+\"em\",r.width=a,r.height=n+o,r.depth=-o,r.maxFontSize=1.125*n*e.sizeMultiplier,r},mathmlBuilder:function(t,e){var r=zt(t.width,e),a=zt(t.height,e),n=t.shift?zt(t.shift,e):0,o=e.color&&e.getColor()||\"black\",i=new pe.MathNode(\"mspace\");i.setAttribute(\"mathbackground\",o),i.setAttribute(\"width\",r+\"em\"),i.setAttribute(\"height\",a+\"em\");var s=new pe.MathNode(\"mpadded\",[i]);return n>=0?s.setAttribute(\"height\",\"+\"+n+\"em\"):(s.setAttribute(\"height\",n+\"em\"),s.setAttribute(\"depth\",\"+\"+-n+\"em\")),s.setAttribute(\"voffset\",n+\"em\"),s}}),$t({type:\"smash\",names:[\"\\\\smash\"],props:{numArgs:1,numOptionalArgs:1,allowedInText:!0},handler:function(t,e,r){var a=t.parser,n=!1,o=!1,i=r[0]&&Ht(r[0],\"ordgroup\");if(i)for(var s=\"\",h=0;h<i.body.length;++h){if(\"t\"===(s=i.body[h].text))n=!0;else{if(\"b\"!==s){n=!1,o=!1;break}o=!0}}else n=!0,o=!0;var l=e[0];return{type:\"smash\",mode:a.mode,body:l,smashHeight:n,smashDepth:o}},htmlBuilder:function(t,e){var r=Lt.makeSpan([],[he(t.body,e)]);if(!t.smashHeight&&!t.smashDepth)return r;if(t.smashHeight&&(r.height=0,r.children))for(var a=0;a<r.children.length;a++)r.children[a].height=0;if(t.smashDepth&&(r.depth=0,r.children))for(var n=0;n<r.children.length;n++)r.children[n].depth=0;var o=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:r}]},e);return Lt.makeSpan([\"mord\"],[o],e)},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mpadded\",[ye(t.body,e)]);return t.smashHeight&&r.setAttribute(\"height\",\"0px\"),t.smashDepth&&r.setAttribute(\"depth\",\"0px\"),r}}),$t({type:\"sqrt\",names:[\"\\\\sqrt\"],props:{numArgs:1,numOptionalArgs:1},handler:function(t,e,r){var a=t.parser,n=r[0],o=e[0];return{type:\"sqrt\",mode:a.mode,body:o,index:n}},htmlBuilder:function(t,e){var r=he(t.body,e.havingCrampedStyle());0===r.height&&(r.height=e.fontMetrics().xHeight),r=Lt.wrapFragment(r,e);var a=e.fontMetrics().defaultRuleThickness,n=a;e.style.id<w.TEXT.id&&(n=e.fontMetrics().xHeight);var o=a+n/4,i=r.height+r.depth+o+a,s=Qe(i,e),h=s.span,l=s.ruleWidth,m=s.advanceWidth,c=h.height-l;c>r.height+r.depth+o&&(o=(o+c-r.height-r.depth)/2);var u=h.height-r.height-o-l;r.style.paddingLeft=m+\"em\";var d=Lt.makeVList({positionType:\"firstBaseline\",children:[{type:\"elem\",elem:r,wrapperClasses:[\"svg-align\"]},{type:\"kern\",size:-(r.height+u)},{type:\"elem\",elem:h},{type:\"kern\",size:l}]},e);if(t.index){var p=e.havingStyle(w.SCRIPTSCRIPT),f=he(t.index,p,e),g=.6*(d.height-d.depth),x=Lt.makeVList({positionType:\"shift\",positionData:-g,children:[{type:\"elem\",elem:f}]},e),v=Lt.makeSpan([\"root\"],[x]);return Lt.makeSpan([\"mord\",\"sqrt\"],[v,d],e)}return Lt.makeSpan([\"mord\",\"sqrt\"],[d],e)},mathmlBuilder:function(t,e){var r=t.body,a=t.index;return a?new pe.MathNode(\"mroot\",[ye(r,e),ye(a,e)]):new pe.MathNode(\"msqrt\",[ye(r,e)])}});var Vr={display:w.DISPLAY,text:w.TEXT,script:w.SCRIPT,scriptscript:w.SCRIPTSCRIPT};$t({type:\"styling\",names:[\"\\\\displaystyle\",\"\\\\textstyle\",\"\\\\scriptstyle\",\"\\\\scriptscriptstyle\"],props:{numArgs:0,allowedInText:!0},handler:function(t,e){var r=t.breakOnTokenText,a=t.funcName,n=t.parser,o=n.parseExpression(!0,r),i=a.slice(1,a.length-5);return{type:\"styling\",mode:n.mode,style:i,body:o}},htmlBuilder:function(t,e){var r=Vr[t.style],a=e.havingStyle(r).withFont(\"\");return Pr(t.body,a,e)},mathmlBuilder:function(t,e){var r={display:w.DISPLAY,text:w.TEXT,script:w.SCRIPT,scriptscript:w.SCRIPTSCRIPT}[t.style],a=e.havingStyle(r),n=ve(t.body,a),o=new pe.MathNode(\"mstyle\",n),i={display:[\"0\",\"true\"],text:[\"0\",\"false\"],script:[\"1\",\"false\"],scriptscript:[\"2\",\"false\"]}[t.style];return o.setAttribute(\"scriptlevel\",i[0]),o.setAttribute(\"displaystyle\",i[1]),o}});Zt({type:\"supsub\",htmlBuilder:function(t,e){var r=function(t,e){var r=t.base;return r?\"op\"===r.type?r.limits&&(e.style.size===w.DISPLAY.size||r.alwaysHandleSupSub)?Er:null:\"accent\"===r.type?c.isCharacterBox(r.base)?Ce:null:\"horizBrace\"===r.type&&!t.sub===r.isOver?Nr:null:null}(t,e);if(r)return r(t,e);var a,n,o,i=t.base,s=t.sup,h=t.sub,l=he(i,e),m=e.fontMetrics(),u=0,d=0,p=i&&c.isCharacterBox(i);if(s){var f=e.havingStyle(e.style.sup());a=he(s,f,e),p||(u=l.height-f.fontMetrics().supDrop*f.sizeMultiplier/e.sizeMultiplier)}if(h){var g=e.havingStyle(e.style.sub());n=he(h,g,e),p||(d=l.depth+g.fontMetrics().subDrop*g.sizeMultiplier/e.sizeMultiplier)}o=e.style===w.DISPLAY?m.sup1:e.style.cramped?m.sup3:m.sup2;var x,v=e.sizeMultiplier,b=.5/m.ptPerEm/v+\"em\",y=null;if(n){var k=t.base&&\"op\"===t.base.type&&t.base.name&&(\"\\\\oiint\"===t.base.name||\"\\\\oiiint\"===t.base.name);(l instanceof E||k)&&(y=-l.italic+\"em\")}if(a&&n){u=Math.max(u,o,a.depth+.25*m.xHeight),d=Math.max(d,m.sub2);var S=4*m.defaultRuleThickness;if(u-a.depth-(n.height-d)<S){d=S-(u-a.depth)+n.height;var z=.8*m.xHeight-(u-a.depth);z>0&&(u+=z,d-=z)}var M=[{type:\"elem\",elem:n,shift:d,marginRight:b,marginLeft:y},{type:\"elem\",elem:a,shift:-u,marginRight:b}];x=Lt.makeVList({positionType:\"individualShift\",children:M},e)}else if(n){d=Math.max(d,m.sub1,n.height-.8*m.xHeight);var T=[{type:\"elem\",elem:n,marginLeft:y,marginRight:b}];x=Lt.makeVList({positionType:\"shift\",positionData:d,children:T},e)}else{if(!a)throw new Error(\"supsub must have either sup or sub.\");u=Math.max(u,o,a.depth+.25*m.xHeight),x=Lt.makeVList({positionType:\"shift\",positionData:-u,children:[{type:\"elem\",elem:a,marginRight:b}]},e)}var A=ie(l,\"right\")||\"mord\";return Lt.makeSpan([A],[l,Lt.makeSpan([\"msupsub\"],[x])],e)},mathmlBuilder:function(t,e){var r,a=!1,n=Pt(t.base,\"horizBrace\");n&&!!t.sup===n.isOver&&(a=!0,r=n.isOver),t.base&&\"op\"===t.base.type&&(t.base.parentIsSupSub=!0);var o,i=[ye(t.base,e)];if(t.sub&&i.push(ye(t.sub,e)),t.sup&&i.push(ye(t.sup,e)),a)o=r?\"mover\":\"munder\";else if(t.sub)if(t.sup){var s=t.base;o=s&&\"op\"===s.type&&s.limits&&e.style===w.DISPLAY?\"munderover\":\"msubsup\"}else{var h=t.base;o=h&&\"op\"===h.type&&h.limits&&(e.style===w.DISPLAY||h.alwaysHandleSupSub)?\"munder\":\"msub\"}else{var l=t.base;o=l&&\"op\"===l.type&&l.limits&&(e.style===w.DISPLAY||l.alwaysHandleSupSub)?\"mover\":\"msup\"}return new pe.MathNode(o,i)}}),Zt({type:\"atom\",htmlBuilder:function(t,e){return Lt.mathsym(t.text,t.mode,e,[\"m\"+t.family])},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mo\",[fe(t.text,t.mode)]);if(\"bin\"===t.family){var a=xe(t,e);\"bold-italic\"===a&&r.setAttribute(\"mathvariant\",a)}else\"punct\"===t.family?r.setAttribute(\"separator\",\"true\"):\"open\"!==t.family&&\"close\"!==t.family||r.setAttribute(\"stretchy\",\"false\");return r}});var Ur={mi:\"italic\",mn:\"normal\",mtext:\"normal\"};Zt({type:\"mathord\",htmlBuilder:function(t,e){return Lt.makeOrd(t,e,\"mathord\")},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mi\",[fe(t.text,t.mode,e)]),a=xe(t,e)||\"italic\";return a!==Ur[r.type]&&r.setAttribute(\"mathvariant\",a),r}}),Zt({type:\"textord\",htmlBuilder:function(t,e){return Lt.makeOrd(t,e,\"textord\")},mathmlBuilder:function(t,e){var r,a=fe(t.text,t.mode,e),n=xe(t,e)||\"normal\";return r=\"text\"===t.mode?new pe.MathNode(\"mtext\",[a]):/[0-9]/.test(t.text)?new pe.MathNode(\"mn\",[a]):\"\\\\prime\"===t.text?new pe.MathNode(\"mo\",[a]):new pe.MathNode(\"mi\",[a]),n!==Ur[r.type]&&r.setAttribute(\"mathvariant\",n),r}});var Gr={\"\\\\nobreak\":\"nobreak\",\"\\\\allowbreak\":\"allowbreak\"},Xr={\" \":{},\"\\\\ \":{},\"~\":{className:\"nobreak\"},\"\\\\space\":{},\"\\\\nobreakspace\":{className:\"nobreak\"}};Zt({type:\"spacing\",htmlBuilder:function(t,e){if(Xr.hasOwnProperty(t.text)){var r=Xr[t.text].className||\"\";if(\"text\"===t.mode){var a=Lt.makeOrd(t,e,\"textord\");return a.classes.push(r),a}return Lt.makeSpan([\"mspace\",r],[Lt.mathsym(t.text,t.mode,e)],e)}if(Gr.hasOwnProperty(t.text))return Lt.makeSpan([\"mspace\",Gr[t.text]],[],e);throw new i('Unknown type of space \"'+t.text+'\"')},mathmlBuilder:function(t,e){if(!Xr.hasOwnProperty(t.text)){if(Gr.hasOwnProperty(t.text))return new pe.MathNode(\"mspace\");throw new i('Unknown type of space \"'+t.text+'\"')}return new pe.MathNode(\"mtext\",[new pe.TextNode(\"\\xa0\")])}});var Yr=function(){var t=new pe.MathNode(\"mtd\",[]);return t.setAttribute(\"width\",\"50%\"),t};Zt({type:\"tag\",mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mtable\",[new pe.MathNode(\"mtr\",[Yr(),new pe.MathNode(\"mtd\",[be(t.body,e)]),Yr(),new pe.MathNode(\"mtd\",[be(t.tag,e)])])]);return r.setAttribute(\"width\",\"100%\"),r}});var _r={\"\\\\text\":void 0,\"\\\\textrm\":\"textrm\",\"\\\\textsf\":\"textsf\",\"\\\\texttt\":\"texttt\",\"\\\\textnormal\":\"textrm\"},Wr={\"\\\\textbf\":\"textbf\",\"\\\\textmd\":\"textmd\"},jr={\"\\\\textit\":\"textit\",\"\\\\textup\":\"textup\"},$r=function(t,e){var r=t.font;return r?_r[r]?e.withTextFontFamily(_r[r]):Wr[r]?e.withTextFontWeight(Wr[r]):e.withTextFontShape(jr[r]):e};$t({type:\"text\",names:[\"\\\\text\",\"\\\\textrm\",\"\\\\textsf\",\"\\\\texttt\",\"\\\\textnormal\",\"\\\\textbf\",\"\\\\textmd\",\"\\\\textit\",\"\\\\textup\"],props:{numArgs:1,argTypes:[\"text\"],greediness:2,allowedInText:!0,consumeMode:\"text\"},handler:function(t,e){var r=t.parser,a=t.funcName,n=e[0];return{type:\"text\",mode:r.mode,body:Kt(n),font:a}},htmlBuilder:function(t,e){var r=$r(t,e),a=ae(t.body,r,!0);return Lt.makeSpan([\"mord\",\"text\"],Lt.tryCombineChars(a),r)},mathmlBuilder:function(t,e){var r=$r(t,e);return be(t.body,r)}}),$t({type:\"underline\",names:[\"\\\\underline\"],props:{numArgs:1,allowedInText:!0},handler:function(t,e){return{type:\"underline\",mode:t.parser.mode,body:e[0]}},htmlBuilder:function(t,e){var r=he(t.body,e),a=Lt.makeLineSpan(\"underline-line\",e),n=Lt.makeVList({positionType:\"top\",positionData:r.height,children:[{type:\"kern\",size:a.height},{type:\"elem\",elem:a},{type:\"kern\",size:3*a.height},{type:\"elem\",elem:r}]},e);return Lt.makeSpan([\"mord\",\"underline\"],[n],e)},mathmlBuilder:function(t,e){var r=new pe.MathNode(\"mo\",[new pe.TextNode(\"\\u203e\")]);r.setAttribute(\"stretchy\",\"true\");var a=new pe.MathNode(\"munder\",[ye(t.body,e),r]);return a.setAttribute(\"accentunder\",\"true\"),a}}),$t({type:\"verb\",names:[\"\\\\verb\"],props:{numArgs:0,allowedInText:!0},handler:function(t,e,r){throw new i(\"\\\\verb ended by end of line instead of matching delimiter\")},htmlBuilder:function(t,e){for(var r=Zr(t),a=[],n=e.havingStyle(e.style.text()),o=0;o<r.length;o++){var i=r[o];\"~\"===i&&(i=\"\\\\textasciitilde\"),a.push(Lt.makeSymbol(i,\"Typewriter-Regular\",t.mode,n,[\"mord\",\"texttt\"]))}return Lt.makeSpan([\"mord\",\"text\"].concat(n.sizingClasses(e)),Lt.tryCombineChars(a),n)},mathmlBuilder:function(t,e){var r=new pe.TextNode(Zr(t)),a=new pe.MathNode(\"mtext\",[r]);return a.setAttribute(\"mathvariant\",\"monospace\"),a}});var Zr=function(t){return t.body.replace(/ /g,t.star?\"\\u2423\":\"\\xa0\")},Kr=_t,Jr=new RegExp(\"^(\\\\\\\\[a-zA-Z@]+)[ \\r\\n\\t]*$\"),Qr=new RegExp(\"[\\u0300-\\u036f]+$\"),ta=\"([ \\r\\n\\t]+)|([!-\\\\[\\\\]-\\u2027\\u202a-\\ud7ff\\uf900-\\uffff][\\u0300-\\u036f]*|[\\ud800-\\udbff][\\udc00-\\udfff][\\u0300-\\u036f]*|\\\\\\\\verb\\\\*([^]).*?\\\\3|\\\\\\\\verb([^*a-zA-Z]).*?\\\\4|\\\\\\\\[a-zA-Z@]+[ \\r\\n\\t]*|\\\\\\\\[^\\ud800-\\udfff])\",ea=function(){function t(t,e){this.input=void 0,this.settings=void 0,this.tokenRegex=void 0,this.catcodes=void 0,this.input=t,this.settings=e,this.tokenRegex=new RegExp(ta,\"g\"),this.catcodes={\"%\":14}}var e=t.prototype;return e.setCatcode=function(t,e){this.catcodes[t]=e},e.lex=function(){var t=this.input,e=this.tokenRegex.lastIndex;if(e===t.length)return new n(\"EOF\",new a(this,e,e));var r=this.tokenRegex.exec(t);if(null===r||r.index!==e)throw new i(\"Unexpected character: '\"+t[e]+\"'\",new n(t[e],new a(this,e,e+1)));var o=r[2]||\" \";if(14===this.catcodes[o]){var s=t.indexOf(\"\\n\",this.tokenRegex.lastIndex);return-1===s?(this.tokenRegex.lastIndex=t.length,this.settings.reportNonstrict(\"commentAtEnd\",\"% comment has no terminating newline; LaTeX would fail because of commenting the end of math mode (e.g. $)\")):this.tokenRegex.lastIndex=s+1,this.lex()}var h=o.match(Jr);return h&&(o=h[1]),new n(o,new a(this,e,this.tokenRegex.lastIndex))},t}(),ra=function(){function t(t,e){void 0===t&&(t={}),void 0===e&&(e={}),this.current=void 0,this.builtins=void 0,this.undefStack=void 0,this.current=e,this.builtins=t,this.undefStack=[]}var e=t.prototype;return e.beginGroup=function(){this.undefStack.push({})},e.endGroup=function(){if(0===this.undefStack.length)throw new i(\"Unbalanced namespace destruction: attempt to pop global namespace; please report this as a bug\");var t=this.undefStack.pop();for(var e in t)t.hasOwnProperty(e)&&(void 0===t[e]?delete this.current[e]:this.current[e]=t[e])},e.has=function(t){return this.current.hasOwnProperty(t)||this.builtins.hasOwnProperty(t)},e.get=function(t){return this.current.hasOwnProperty(t)?this.current[t]:this.builtins[t]},e.set=function(t,e,r){if(void 0===r&&(r=!1),r){for(var a=0;a<this.undefStack.length;a++)delete this.undefStack[a][t];this.undefStack.length>0&&(this.undefStack[this.undefStack.length-1][t]=e)}else{var n=this.undefStack[this.undefStack.length-1];n&&!n.hasOwnProperty(t)&&(n[t]=this.current[t])}this.current[t]=e},t}(),aa={},na=aa;function oa(t,e){aa[t]=e}oa(\"\\\\@firstoftwo\",function(t){return{tokens:t.consumeArgs(2)[0],numArgs:0}}),oa(\"\\\\@secondoftwo\",function(t){return{tokens:t.consumeArgs(2)[1],numArgs:0}}),oa(\"\\\\@ifnextchar\",function(t){var e=t.consumeArgs(3),r=t.future();return 1===e[0].length&&e[0][0].text===r.text?{tokens:e[1],numArgs:0}:{tokens:e[2],numArgs:0}}),oa(\"\\\\@ifstar\",\"\\\\@ifnextchar *{\\\\@firstoftwo{#1}}\"),oa(\"\\\\TextOrMath\",function(t){var e=t.consumeArgs(2);return\"text\"===t.mode?{tokens:e[0],numArgs:0}:{tokens:e[1],numArgs:0}});var ia={0:0,1:1,2:2,3:3,4:4,5:5,6:6,7:7,8:8,9:9,a:10,A:10,b:11,B:11,c:12,C:12,d:13,D:13,e:14,E:14,f:15,F:15};oa(\"\\\\char\",function(t){var e,r=t.popToken(),a=\"\";if(\"'\"===r.text)e=8,r=t.popToken();else if('\"'===r.text)e=16,r=t.popToken();else if(\"`\"===r.text)if(\"\\\\\"===(r=t.popToken()).text[0])a=r.text.charCodeAt(1);else{if(\"EOF\"===r.text)throw new i(\"\\\\char` missing argument\");a=r.text.charCodeAt(0)}else e=10;if(e){if(null==(a=ia[r.text])||a>=e)throw new i(\"Invalid base-\"+e+\" digit \"+r.text);for(var n;null!=(n=ia[t.future().text])&&n<e;)a*=e,a+=n,t.popToken()}return\"\\\\@char{\"+a+\"}\"});var sa=function(t,e){var r=t.consumeArgs(1)[0];if(1!==r.length)throw new i(\"\\\\gdef's first argument must be a macro name\");var a=r[0].text,n=0;for(r=t.consumeArgs(1)[0];1===r.length&&\"#\"===r[0].text;){if(1!==(r=t.consumeArgs(1)[0]).length)throw new i('Invalid argument number length \"'+r.length+'\"');if(!/^[1-9]$/.test(r[0].text))throw new i('Invalid argument number \"'+r[0].text+'\"');if(n++,parseInt(r[0].text)!==n)throw new i('Argument number \"'+r[0].text+'\" out of order');r=t.consumeArgs(1)[0]}return t.macros.set(a,{tokens:r,numArgs:n},e),\"\"};oa(\"\\\\gdef\",function(t){return sa(t,!0)}),oa(\"\\\\def\",function(t){return sa(t,!1)}),oa(\"\\\\global\",function(t){var e=t.consumeArgs(1)[0];if(1!==e.length)throw new i(\"Invalid command after \\\\global\");var r=e[0].text;if(\"\\\\def\"===r)return sa(t,!0);throw new i(\"Invalid command '\"+r+\"' after \\\\global\")});var ha=function(t,e,r){var a=t.consumeArgs(1)[0];if(1!==a.length)throw new i(\"\\\\newcommand's first argument must be a macro name\");var n=a[0].text,o=t.isDefined(n);if(o&&!e)throw new i(\"\\\\newcommand{\"+n+\"} attempting to redefine \"+n+\"; use \\\\renewcommand\");if(!o&&!r)throw new i(\"\\\\renewcommand{\"+n+\"} when command \"+n+\" does not yet exist; use \\\\newcommand\");var s=0;if(1===(a=t.consumeArgs(1)[0]).length&&\"[\"===a[0].text){for(var h=\"\",l=t.expandNextToken();\"]\"!==l.text&&\"EOF\"!==l.text;)h+=l.text,l=t.expandNextToken();if(!h.match(/^\\s*[0-9]+\\s*$/))throw new i(\"Invalid number of arguments: \"+h);s=parseInt(h),a=t.consumeArgs(1)[0]}return t.macros.set(n,{tokens:a,numArgs:s}),\"\"};oa(\"\\\\newcommand\",function(t){return ha(t,!1,!0)}),oa(\"\\\\renewcommand\",function(t){return ha(t,!0,!1)}),oa(\"\\\\providecommand\",function(t){return ha(t,!0,!0)}),oa(\"\\\\bgroup\",\"{\"),oa(\"\\\\egroup\",\"}\"),oa(\"\\\\lq\",\"`\"),oa(\"\\\\rq\",\"'\"),oa(\"\\\\aa\",\"\\\\r a\"),oa(\"\\\\AA\",\"\\\\r A\"),oa(\"\\\\textcopyright\",\"\\\\html@mathml{\\\\textcircled{c}}{\\\\char`\\xa9}\"),oa(\"\\\\copyright\",\"\\\\TextOrMath{\\\\textcopyright}{\\\\text{\\\\textcopyright}}\"),oa(\"\\\\textregistered\",\"\\\\html@mathml{\\\\textcircled{\\\\scriptsize R}}{\\\\char`\\xae}\"),oa(\"\\u212c\",\"\\\\mathscr{B}\"),oa(\"\\u2130\",\"\\\\mathscr{E}\"),oa(\"\\u2131\",\"\\\\mathscr{F}\"),oa(\"\\u210b\",\"\\\\mathscr{H}\"),oa(\"\\u2110\",\"\\\\mathscr{I}\"),oa(\"\\u2112\",\"\\\\mathscr{L}\"),oa(\"\\u2133\",\"\\\\mathscr{M}\"),oa(\"\\u211b\",\"\\\\mathscr{R}\"),oa(\"\\u212d\",\"\\\\mathfrak{C}\"),oa(\"\\u210c\",\"\\\\mathfrak{H}\"),oa(\"\\u2128\",\"\\\\mathfrak{Z}\"),oa(\"\\\\Bbbk\",\"\\\\Bbb{k}\"),oa(\"\\xb7\",\"\\\\cdotp\"),oa(\"\\\\llap\",\"\\\\mathllap{\\\\textrm{#1}}\"),oa(\"\\\\rlap\",\"\\\\mathrlap{\\\\textrm{#1}}\"),oa(\"\\\\clap\",\"\\\\mathclap{\\\\textrm{#1}}\"),oa(\"\\\\not\",'\\\\html@mathml{\\\\mathrel{\\\\mathrlap\\\\@not}}{\\\\char\"338}'),oa(\"\\\\neq\",\"\\\\html@mathml{\\\\mathrel{\\\\not=}}{\\\\mathrel{\\\\char`\\u2260}}\"),oa(\"\\\\ne\",\"\\\\neq\"),oa(\"\\u2260\",\"\\\\neq\"),oa(\"\\\\notin\",\"\\\\html@mathml{\\\\mathrel{{\\\\in}\\\\mathllap{/\\\\mskip1mu}}}{\\\\mathrel{\\\\char`\\u2209}}\"),oa(\"\\u2209\",\"\\\\notin\"),oa(\"\\u2258\",\"\\\\html@mathml{\\\\mathrel{=\\\\kern{-1em}\\\\raisebox{0.4em}{$\\\\scriptsize\\\\frown$}}}{\\\\mathrel{\\\\char`\\u2258}}\"),oa(\"\\u2259\",\"\\\\html@mathml{\\\\stackrel{\\\\tiny\\\\wedge}{=}}{\\\\mathrel{\\\\char`\\u2258}}\"),oa(\"\\u225a\",\"\\\\html@mathml{\\\\stackrel{\\\\tiny\\\\vee}{=}}{\\\\mathrel{\\\\char`\\u225a}}\"),oa(\"\\u225b\",\"\\\\html@mathml{\\\\stackrel{\\\\scriptsize\\\\star}{=}}{\\\\mathrel{\\\\char`\\u225b}}\"),oa(\"\\u225d\",\"\\\\html@mathml{\\\\stackrel{\\\\tiny\\\\mathrm{def}}{=}}{\\\\mathrel{\\\\char`\\u225d}}\"),oa(\"\\u225e\",\"\\\\html@mathml{\\\\stackrel{\\\\tiny\\\\mathrm{m}}{=}}{\\\\mathrel{\\\\char`\\u225e}}\"),oa(\"\\u225f\",\"\\\\html@mathml{\\\\stackrel{\\\\tiny?}{=}}{\\\\mathrel{\\\\char`\\u225f}}\"),oa(\"\\u27c2\",\"\\\\perp\"),oa(\"\\u203c\",\"\\\\mathclose{!\\\\mkern-0.8mu!}\"),oa(\"\\u220c\",\"\\\\notni\"),oa(\"\\u231c\",\"\\\\ulcorner\"),oa(\"\\u231d\",\"\\\\urcorner\"),oa(\"\\u231e\",\"\\\\llcorner\"),oa(\"\\u231f\",\"\\\\lrcorner\"),oa(\"\\xa9\",\"\\\\copyright\"),oa(\"\\xae\",\"\\\\textregistered\"),oa(\"\\ufe0f\",\"\\\\textregistered\"),oa(\"\\\\vdots\",\"\\\\mathord{\\\\varvdots\\\\rule{0pt}{15pt}}\"),oa(\"\\u22ee\",\"\\\\vdots\"),oa(\"\\\\varGamma\",\"\\\\mathit{\\\\Gamma}\"),oa(\"\\\\varDelta\",\"\\\\mathit{\\\\Delta}\"),oa(\"\\\\varTheta\",\"\\\\mathit{\\\\Theta}\"),oa(\"\\\\varLambda\",\"\\\\mathit{\\\\Lambda}\"),oa(\"\\\\varXi\",\"\\\\mathit{\\\\Xi}\"),oa(\"\\\\varPi\",\"\\\\mathit{\\\\Pi}\"),oa(\"\\\\varSigma\",\"\\\\mathit{\\\\Sigma}\"),oa(\"\\\\varUpsilon\",\"\\\\mathit{\\\\Upsilon}\"),oa(\"\\\\varPhi\",\"\\\\mathit{\\\\Phi}\"),oa(\"\\\\varPsi\",\"\\\\mathit{\\\\Psi}\"),oa(\"\\\\varOmega\",\"\\\\mathit{\\\\Omega}\"),oa(\"\\\\colon\",\"\\\\nobreak\\\\mskip2mu\\\\mathpunct{}\\\\mathchoice{\\\\mkern-3mu}{\\\\mkern-3mu}{}{}{:}\\\\mskip6mu\"),oa(\"\\\\boxed\",\"\\\\fbox{$\\\\displaystyle{#1}$}\"),oa(\"\\\\iff\",\"\\\\DOTSB\\\\;\\\\Longleftrightarrow\\\\;\"),oa(\"\\\\implies\",\"\\\\DOTSB\\\\;\\\\Longrightarrow\\\\;\"),oa(\"\\\\impliedby\",\"\\\\DOTSB\\\\;\\\\Longleftarrow\\\\;\");var la={\",\":\"\\\\dotsc\",\"\\\\not\":\"\\\\dotsb\",\"+\":\"\\\\dotsb\",\"=\":\"\\\\dotsb\",\"<\":\"\\\\dotsb\",\">\":\"\\\\dotsb\",\"-\":\"\\\\dotsb\",\"*\":\"\\\\dotsb\",\":\":\"\\\\dotsb\",\"\\\\DOTSB\":\"\\\\dotsb\",\"\\\\coprod\":\"\\\\dotsb\",\"\\\\bigvee\":\"\\\\dotsb\",\"\\\\bigwedge\":\"\\\\dotsb\",\"\\\\biguplus\":\"\\\\dotsb\",\"\\\\bigcap\":\"\\\\dotsb\",\"\\\\bigcup\":\"\\\\dotsb\",\"\\\\prod\":\"\\\\dotsb\",\"\\\\sum\":\"\\\\dotsb\",\"\\\\bigotimes\":\"\\\\dotsb\",\"\\\\bigoplus\":\"\\\\dotsb\",\"\\\\bigodot\":\"\\\\dotsb\",\"\\\\bigsqcup\":\"\\\\dotsb\",\"\\\\And\":\"\\\\dotsb\",\"\\\\longrightarrow\":\"\\\\dotsb\",\"\\\\Longrightarrow\":\"\\\\dotsb\",\"\\\\longleftarrow\":\"\\\\dotsb\",\"\\\\Longleftarrow\":\"\\\\dotsb\",\"\\\\longleftrightarrow\":\"\\\\dotsb\",\"\\\\Longleftrightarrow\":\"\\\\dotsb\",\"\\\\mapsto\":\"\\\\dotsb\",\"\\\\longmapsto\":\"\\\\dotsb\",\"\\\\hookrightarrow\":\"\\\\dotsb\",\"\\\\doteq\":\"\\\\dotsb\",\"\\\\mathbin\":\"\\\\dotsb\",\"\\\\mathrel\":\"\\\\dotsb\",\"\\\\relbar\":\"\\\\dotsb\",\"\\\\Relbar\":\"\\\\dotsb\",\"\\\\xrightarrow\":\"\\\\dotsb\",\"\\\\xleftarrow\":\"\\\\dotsb\",\"\\\\DOTSI\":\"\\\\dotsi\",\"\\\\int\":\"\\\\dotsi\",\"\\\\oint\":\"\\\\dotsi\",\"\\\\iint\":\"\\\\dotsi\",\"\\\\iiint\":\"\\\\dotsi\",\"\\\\iiiint\":\"\\\\dotsi\",\"\\\\idotsint\":\"\\\\dotsi\",\"\\\\DOTSX\":\"\\\\dotsx\"};oa(\"\\\\dots\",function(t){var e=\"\\\\dotso\",r=t.expandAfterFuture().text;return r in la?e=la[r]:\"\\\\not\"===r.substr(0,4)?e=\"\\\\dotsb\":r in _.math&&c.contains([\"bin\",\"rel\"],_.math[r].group)&&(e=\"\\\\dotsb\"),e});var ma={\")\":!0,\"]\":!0,\"\\\\rbrack\":!0,\"\\\\}\":!0,\"\\\\rbrace\":!0,\"\\\\rangle\":!0,\"\\\\rceil\":!0,\"\\\\rfloor\":!0,\"\\\\rgroup\":!0,\"\\\\rmoustache\":!0,\"\\\\right\":!0,\"\\\\bigr\":!0,\"\\\\biggr\":!0,\"\\\\Bigr\":!0,\"\\\\Biggr\":!0,$:!0,\";\":!0,\".\":!0,\",\":!0};oa(\"\\\\dotso\",function(t){return t.future().text in ma?\"\\\\ldots\\\\,\":\"\\\\ldots\"}),oa(\"\\\\dotsc\",function(t){var e=t.future().text;return e in ma&&\",\"!==e?\"\\\\ldots\\\\,\":\"\\\\ldots\"}),oa(\"\\\\cdots\",function(t){return t.future().text in ma?\"\\\\@cdots\\\\,\":\"\\\\@cdots\"}),oa(\"\\\\dotsb\",\"\\\\cdots\"),oa(\"\\\\dotsm\",\"\\\\cdots\"),oa(\"\\\\dotsi\",\"\\\\!\\\\cdots\"),oa(\"\\\\dotsx\",\"\\\\ldots\\\\,\"),oa(\"\\\\DOTSI\",\"\\\\relax\"),oa(\"\\\\DOTSB\",\"\\\\relax\"),oa(\"\\\\DOTSX\",\"\\\\relax\"),oa(\"\\\\tmspace\",\"\\\\TextOrMath{\\\\kern#1#3}{\\\\mskip#1#2}\\\\relax\"),oa(\"\\\\,\",\"\\\\tmspace+{3mu}{.1667em}\"),oa(\"\\\\thinspace\",\"\\\\,\"),oa(\"\\\\>\",\"\\\\mskip{4mu}\"),oa(\"\\\\:\",\"\\\\tmspace+{4mu}{.2222em}\"),oa(\"\\\\medspace\",\"\\\\:\"),oa(\"\\\\;\",\"\\\\tmspace+{5mu}{.2777em}\"),oa(\"\\\\thickspace\",\"\\\\;\"),oa(\"\\\\!\",\"\\\\tmspace-{3mu}{.1667em}\"),oa(\"\\\\negthinspace\",\"\\\\!\"),oa(\"\\\\negmedspace\",\"\\\\tmspace-{4mu}{.2222em}\"),oa(\"\\\\negthickspace\",\"\\\\tmspace-{5mu}{.277em}\"),oa(\"\\\\enspace\",\"\\\\kern.5em \"),oa(\"\\\\enskip\",\"\\\\hskip.5em\\\\relax\"),oa(\"\\\\quad\",\"\\\\hskip1em\\\\relax\"),oa(\"\\\\qquad\",\"\\\\hskip2em\\\\relax\"),oa(\"\\\\tag\",\"\\\\@ifstar\\\\tag@literal\\\\tag@paren\"),oa(\"\\\\tag@paren\",\"\\\\tag@literal{({#1})}\"),oa(\"\\\\tag@literal\",function(t){if(t.macros.get(\"\\\\df@tag\"))throw new i(\"Multiple \\\\tag\");return\"\\\\gdef\\\\df@tag{\\\\text{#1}}\"}),oa(\"\\\\bmod\",\"\\\\mathchoice{\\\\mskip1mu}{\\\\mskip1mu}{\\\\mskip5mu}{\\\\mskip5mu}\\\\mathbin{\\\\rm mod}\\\\mathchoice{\\\\mskip1mu}{\\\\mskip1mu}{\\\\mskip5mu}{\\\\mskip5mu}\"),oa(\"\\\\pod\",\"\\\\allowbreak\\\\mathchoice{\\\\mkern18mu}{\\\\mkern8mu}{\\\\mkern8mu}{\\\\mkern8mu}(#1)\"),oa(\"\\\\pmod\",\"\\\\pod{{\\\\rm mod}\\\\mkern6mu#1}\"),oa(\"\\\\mod\",\"\\\\allowbreak\\\\mathchoice{\\\\mkern18mu}{\\\\mkern12mu}{\\\\mkern12mu}{\\\\mkern12mu}{\\\\rm mod}\\\\,\\\\,#1\"),oa(\"\\\\pmb\",\"\\\\html@mathml{\\\\@binrel{#1}{\\\\mathrlap{#1}\\\\mathrlap{\\\\mkern0.4mu\\\\raisebox{0.4mu}{$#1$}}{\\\\mkern0.8mu#1}}}{\\\\mathbf{#1}}\"),oa(\"\\\\\\\\\",\"\\\\newline\"),oa(\"\\\\TeX\",\"\\\\textrm{\\\\html@mathml{T\\\\kern-.1667em\\\\raisebox{-.5ex}{E}\\\\kern-.125emX}{TeX}}\");var ca=P[\"Main-Regular\"][\"T\".charCodeAt(0)][1]-.7*P[\"Main-Regular\"][\"A\".charCodeAt(0)][1]+\"em\";oa(\"\\\\LaTeX\",\"\\\\textrm{\\\\html@mathml{L\\\\kern-.36em\\\\raisebox{\"+ca+\"}{\\\\scriptsize A}\\\\kern-.15em\\\\TeX}{LaTeX}}\"),oa(\"\\\\KaTeX\",\"\\\\textrm{\\\\html@mathml{K\\\\kern-.17em\\\\raisebox{\"+ca+\"}{\\\\scriptsize A}\\\\kern-.15em\\\\TeX}{KaTeX}}\"),oa(\"\\\\hspace\",\"\\\\@ifstar\\\\@hspacer\\\\@hspace\"),oa(\"\\\\@hspace\",\"\\\\hskip #1\\\\relax\"),oa(\"\\\\@hspacer\",\"\\\\rule{0pt}{0pt}\\\\hskip #1\\\\relax\"),oa(\"\\\\ordinarycolon\",\":\"),oa(\"\\\\vcentcolon\",\"\\\\mathrel{\\\\mathop\\\\ordinarycolon}\"),oa(\"\\\\dblcolon\",'\\\\html@mathml{\\\\mathrel{\\\\vcentcolon\\\\mathrel{\\\\mkern-.9mu}\\\\vcentcolon}}{\\\\mathop{\\\\char\"2237}}'),oa(\"\\\\coloneqq\",'\\\\html@mathml{\\\\mathrel{\\\\vcentcolon\\\\mathrel{\\\\mkern-1.2mu}=}}{\\\\mathop{\\\\char\"2254}}'),oa(\"\\\\Coloneqq\",'\\\\html@mathml{\\\\mathrel{\\\\dblcolon\\\\mathrel{\\\\mkern-1.2mu}=}}{\\\\mathop{\\\\char\"2237\\\\char\"3d}}'),oa(\"\\\\coloneq\",'\\\\html@mathml{\\\\mathrel{\\\\vcentcolon\\\\mathrel{\\\\mkern-1.2mu}\\\\mathrel{-}}}{\\\\mathop{\\\\char\"3a\\\\char\"2212}}'),oa(\"\\\\Coloneq\",'\\\\html@mathml{\\\\mathrel{\\\\dblcolon\\\\mathrel{\\\\mkern-1.2mu}\\\\mathrel{-}}}{\\\\mathop{\\\\char\"2237\\\\char\"2212}}'),oa(\"\\\\eqqcolon\",'\\\\html@mathml{\\\\mathrel{=\\\\mathrel{\\\\mkern-1.2mu}\\\\vcentcolon}}{\\\\mathop{\\\\char\"2255}}'),oa(\"\\\\Eqqcolon\",'\\\\html@mathml{\\\\mathrel{=\\\\mathrel{\\\\mkern-1.2mu}\\\\dblcolon}}{\\\\mathop{\\\\char\"3d\\\\char\"2237}}'),oa(\"\\\\eqcolon\",'\\\\html@mathml{\\\\mathrel{\\\\mathrel{-}\\\\mathrel{\\\\mkern-1.2mu}\\\\vcentcolon}}{\\\\mathop{\\\\char\"2239}}'),oa(\"\\\\Eqcolon\",'\\\\html@mathml{\\\\mathrel{\\\\mathrel{-}\\\\mathrel{\\\\mkern-1.2mu}\\\\dblcolon}}{\\\\mathop{\\\\char\"2212\\\\char\"2237}}'),oa(\"\\\\colonapprox\",'\\\\html@mathml{\\\\mathrel{\\\\vcentcolon\\\\mathrel{\\\\mkern-1.2mu}\\\\approx}}{\\\\mathop{\\\\char\"3a\\\\char\"2248}}'),oa(\"\\\\Colonapprox\",'\\\\html@mathml{\\\\mathrel{\\\\dblcolon\\\\mathrel{\\\\mkern-1.2mu}\\\\approx}}{\\\\mathop{\\\\char\"2237\\\\char\"2248}}'),oa(\"\\\\colonsim\",'\\\\html@mathml{\\\\mathrel{\\\\vcentcolon\\\\mathrel{\\\\mkern-1.2mu}\\\\sim}}{\\\\mathop{\\\\char\"3a\\\\char\"223c}}'),oa(\"\\\\Colonsim\",'\\\\html@mathml{\\\\mathrel{\\\\dblcolon\\\\mathrel{\\\\mkern-1.2mu}\\\\sim}}{\\\\mathop{\\\\char\"2237\\\\char\"223c}}'),oa(\"\\u2237\",\"\\\\dblcolon\"),oa(\"\\u2239\",\"\\\\eqcolon\"),oa(\"\\u2254\",\"\\\\coloneqq\"),oa(\"\\u2255\",\"\\\\eqqcolon\"),oa(\"\\u2a74\",\"\\\\Coloneqq\"),oa(\"\\\\ratio\",\"\\\\vcentcolon\"),oa(\"\\\\coloncolon\",\"\\\\dblcolon\"),oa(\"\\\\colonequals\",\"\\\\coloneqq\"),oa(\"\\\\coloncolonequals\",\"\\\\Coloneqq\"),oa(\"\\\\equalscolon\",\"\\\\eqqcolon\"),oa(\"\\\\equalscoloncolon\",\"\\\\Eqqcolon\"),oa(\"\\\\colonminus\",\"\\\\coloneq\"),oa(\"\\\\coloncolonminus\",\"\\\\Coloneq\"),oa(\"\\\\minuscolon\",\"\\\\eqcolon\"),oa(\"\\\\minuscoloncolon\",\"\\\\Eqcolon\"),oa(\"\\\\coloncolonapprox\",\"\\\\Colonapprox\"),oa(\"\\\\coloncolonsim\",\"\\\\Colonsim\"),oa(\"\\\\simcolon\",\"\\\\mathrel{\\\\sim\\\\mathrel{\\\\mkern-1.2mu}\\\\vcentcolon}\"),oa(\"\\\\simcoloncolon\",\"\\\\mathrel{\\\\sim\\\\mathrel{\\\\mkern-1.2mu}\\\\dblcolon}\"),oa(\"\\\\approxcolon\",\"\\\\mathrel{\\\\approx\\\\mathrel{\\\\mkern-1.2mu}\\\\vcentcolon}\"),oa(\"\\\\approxcoloncolon\",\"\\\\mathrel{\\\\approx\\\\mathrel{\\\\mkern-1.2mu}\\\\dblcolon}\"),oa(\"\\\\notni\",\"\\\\html@mathml{\\\\not\\\\ni}{\\\\mathrel{\\\\char`\\u220c}}\"),oa(\"\\\\limsup\",\"\\\\DOTSB\\\\mathop{\\\\operatorname{lim\\\\,sup}}\\\\limits\"),oa(\"\\\\liminf\",\"\\\\DOTSB\\\\mathop{\\\\operatorname{lim\\\\,inf}}\\\\limits\"),oa(\"\\\\gvertneqq\",\"\\\\html@mathml{\\\\@gvertneqq}{\\u2269}\"),oa(\"\\\\lvertneqq\",\"\\\\html@mathml{\\\\@lvertneqq}{\\u2268}\"),oa(\"\\\\ngeqq\",\"\\\\html@mathml{\\\\@ngeqq}{\\u2271}\"),oa(\"\\\\ngeqslant\",\"\\\\html@mathml{\\\\@ngeqslant}{\\u2271}\"),oa(\"\\\\nleqq\",\"\\\\html@mathml{\\\\@nleqq}{\\u2270}\"),oa(\"\\\\nleqslant\",\"\\\\html@mathml{\\\\@nleqslant}{\\u2270}\"),oa(\"\\\\nshortmid\",\"\\\\html@mathml{\\\\@nshortmid}{\\u2224}\"),oa(\"\\\\nshortparallel\",\"\\\\html@mathml{\\\\@nshortparallel}{\\u2226}\"),oa(\"\\\\nsubseteqq\",\"\\\\html@mathml{\\\\@nsubseteqq}{\\u2288}\"),oa(\"\\\\nsupseteqq\",\"\\\\html@mathml{\\\\@nsupseteqq}{\\u2289}\"),oa(\"\\\\varsubsetneq\",\"\\\\html@mathml{\\\\@varsubsetneq}{\\u228a}\"),oa(\"\\\\varsubsetneqq\",\"\\\\html@mathml{\\\\@varsubsetneqq}{\\u2acb}\"),oa(\"\\\\varsupsetneq\",\"\\\\html@mathml{\\\\@varsupsetneq}{\\u228b}\"),oa(\"\\\\varsupsetneqq\",\"\\\\html@mathml{\\\\@varsupsetneqq}{\\u2acc}\"),oa(\"\\\\llbracket\",\"\\\\html@mathml{\\\\mathopen{[\\\\mkern-3.2mu[}}{\\\\mathopen{\\\\char`\\u27e6}}\"),oa(\"\\\\rrbracket\",\"\\\\html@mathml{\\\\mathclose{]\\\\mkern-3.2mu]}}{\\\\mathclose{\\\\char`\\u27e7}}\"),oa(\"\\u27e6\",\"\\\\llbracket\"),oa(\"\\u27e7\",\"\\\\rrbracket\"),oa(\"\\\\lBrace\",\"\\\\html@mathml{\\\\mathopen{\\\\{\\\\mkern-3.2mu[}}{\\\\mathopen{\\\\char`\\u2983}}\"),oa(\"\\\\rBrace\",\"\\\\html@mathml{\\\\mathclose{]\\\\mkern-3.2mu\\\\}}}{\\\\mathclose{\\\\char`\\u2984}}\"),oa(\"\\u2983\",\"\\\\lBrace\"),oa(\"\\u2984\",\"\\\\rBrace\"),oa(\"\\\\darr\",\"\\\\downarrow\"),oa(\"\\\\dArr\",\"\\\\Downarrow\"),oa(\"\\\\Darr\",\"\\\\Downarrow\"),oa(\"\\\\lang\",\"\\\\langle\"),oa(\"\\\\rang\",\"\\\\rangle\"),oa(\"\\\\uarr\",\"\\\\uparrow\"),oa(\"\\\\uArr\",\"\\\\Uparrow\"),oa(\"\\\\Uarr\",\"\\\\Uparrow\"),oa(\"\\\\N\",\"\\\\mathbb{N}\"),oa(\"\\\\R\",\"\\\\mathbb{R}\"),oa(\"\\\\Z\",\"\\\\mathbb{Z}\"),oa(\"\\\\alef\",\"\\\\aleph\"),oa(\"\\\\alefsym\",\"\\\\aleph\"),oa(\"\\\\Alpha\",\"\\\\mathrm{A}\"),oa(\"\\\\Beta\",\"\\\\mathrm{B}\"),oa(\"\\\\bull\",\"\\\\bullet\"),oa(\"\\\\Chi\",\"\\\\mathrm{X}\"),oa(\"\\\\clubs\",\"\\\\clubsuit\"),oa(\"\\\\cnums\",\"\\\\mathbb{C}\"),oa(\"\\\\Complex\",\"\\\\mathbb{C}\"),oa(\"\\\\Dagger\",\"\\\\ddagger\"),oa(\"\\\\diamonds\",\"\\\\diamondsuit\"),oa(\"\\\\empty\",\"\\\\emptyset\"),oa(\"\\\\Epsilon\",\"\\\\mathrm{E}\"),oa(\"\\\\Eta\",\"\\\\mathrm{H}\"),oa(\"\\\\exist\",\"\\\\exists\"),oa(\"\\\\harr\",\"\\\\leftrightarrow\"),oa(\"\\\\hArr\",\"\\\\Leftrightarrow\"),oa(\"\\\\Harr\",\"\\\\Leftrightarrow\"),oa(\"\\\\hearts\",\"\\\\heartsuit\"),oa(\"\\\\image\",\"\\\\Im\"),oa(\"\\\\infin\",\"\\\\infty\"),oa(\"\\\\Iota\",\"\\\\mathrm{I}\"),oa(\"\\\\isin\",\"\\\\in\"),oa(\"\\\\Kappa\",\"\\\\mathrm{K}\"),oa(\"\\\\larr\",\"\\\\leftarrow\"),oa(\"\\\\lArr\",\"\\\\Leftarrow\"),oa(\"\\\\Larr\",\"\\\\Leftarrow\"),oa(\"\\\\lrarr\",\"\\\\leftrightarrow\"),oa(\"\\\\lrArr\",\"\\\\Leftrightarrow\"),oa(\"\\\\Lrarr\",\"\\\\Leftrightarrow\"),oa(\"\\\\Mu\",\"\\\\mathrm{M}\"),oa(\"\\\\natnums\",\"\\\\mathbb{N}\"),oa(\"\\\\Nu\",\"\\\\mathrm{N}\"),oa(\"\\\\Omicron\",\"\\\\mathrm{O}\"),oa(\"\\\\plusmn\",\"\\\\pm\"),oa(\"\\\\rarr\",\"\\\\rightarrow\"),oa(\"\\\\rArr\",\"\\\\Rightarrow\"),oa(\"\\\\Rarr\",\"\\\\Rightarrow\"),oa(\"\\\\real\",\"\\\\Re\"),oa(\"\\\\reals\",\"\\\\mathbb{R}\"),oa(\"\\\\Reals\",\"\\\\mathbb{R}\"),oa(\"\\\\Rho\",\"\\\\mathrm{P}\"),oa(\"\\\\sdot\",\"\\\\cdot\"),oa(\"\\\\sect\",\"\\\\S\"),oa(\"\\\\spades\",\"\\\\spadesuit\"),oa(\"\\\\sub\",\"\\\\subset\"),oa(\"\\\\sube\",\"\\\\subseteq\"),oa(\"\\\\supe\",\"\\\\supseteq\"),oa(\"\\\\Tau\",\"\\\\mathrm{T}\"),oa(\"\\\\thetasym\",\"\\\\vartheta\"),oa(\"\\\\weierp\",\"\\\\wp\"),oa(\"\\\\Zeta\",\"\\\\mathrm{Z}\"),oa(\"\\\\argmin\",\"\\\\DOTSB\\\\mathop{\\\\operatorname{arg\\\\,min}}\\\\limits\"),oa(\"\\\\argmax\",\"\\\\DOTSB\\\\mathop{\\\\operatorname{arg\\\\,max}}\\\\limits\"),oa(\"\\\\blue\",\"\\\\textcolor{##6495ed}{#1}\"),oa(\"\\\\orange\",\"\\\\textcolor{##ffa500}{#1}\"),oa(\"\\\\pink\",\"\\\\textcolor{##ff00af}{#1}\"),oa(\"\\\\red\",\"\\\\textcolor{##df0030}{#1}\"),oa(\"\\\\green\",\"\\\\textcolor{##28ae7b}{#1}\"),oa(\"\\\\gray\",\"\\\\textcolor{gray}{##1}\"),oa(\"\\\\purple\",\"\\\\textcolor{##9d38bd}{#1}\"),oa(\"\\\\blueA\",\"\\\\textcolor{##ccfaff}{#1}\"),oa(\"\\\\blueB\",\"\\\\textcolor{##80f6ff}{#1}\"),oa(\"\\\\blueC\",\"\\\\textcolor{##63d9ea}{#1}\"),oa(\"\\\\blueD\",\"\\\\textcolor{##11accd}{#1}\"),oa(\"\\\\blueE\",\"\\\\textcolor{##0c7f99}{#1}\"),oa(\"\\\\tealA\",\"\\\\textcolor{##94fff5}{#1}\"),oa(\"\\\\tealB\",\"\\\\textcolor{##26edd5}{#1}\"),oa(\"\\\\tealC\",\"\\\\textcolor{##01d1c1}{#1}\"),oa(\"\\\\tealD\",\"\\\\textcolor{##01a995}{#1}\"),oa(\"\\\\tealE\",\"\\\\textcolor{##208170}{#1}\"),oa(\"\\\\greenA\",\"\\\\textcolor{##b6ffb0}{#1}\"),oa(\"\\\\greenB\",\"\\\\textcolor{##8af281}{#1}\"),oa(\"\\\\greenC\",\"\\\\textcolor{##74cf70}{#1}\"),oa(\"\\\\greenD\",\"\\\\textcolor{##1fab54}{#1}\"),oa(\"\\\\greenE\",\"\\\\textcolor{##0d923f}{#1}\"),oa(\"\\\\goldA\",\"\\\\textcolor{##ffd0a9}{#1}\"),oa(\"\\\\goldB\",\"\\\\textcolor{##ffbb71}{#1}\"),oa(\"\\\\goldC\",\"\\\\textcolor{##ff9c39}{#1}\"),oa(\"\\\\goldD\",\"\\\\textcolor{##e07d10}{#1}\"),oa(\"\\\\goldE\",\"\\\\textcolor{##a75a05}{#1}\"),oa(\"\\\\redA\",\"\\\\textcolor{##fca9a9}{#1}\"),oa(\"\\\\redB\",\"\\\\textcolor{##ff8482}{#1}\"),oa(\"\\\\redC\",\"\\\\textcolor{##f9685d}{#1}\"),oa(\"\\\\redD\",\"\\\\textcolor{##e84d39}{#1}\"),oa(\"\\\\redE\",\"\\\\textcolor{##bc2612}{#1}\"),oa(\"\\\\maroonA\",\"\\\\textcolor{##ffbde0}{#1}\"),oa(\"\\\\maroonB\",\"\\\\textcolor{##ff92c6}{#1}\"),oa(\"\\\\maroonC\",\"\\\\textcolor{##ed5fa6}{#1}\"),oa(\"\\\\maroonD\",\"\\\\textcolor{##ca337c}{#1}\"),oa(\"\\\\maroonE\",\"\\\\textcolor{##9e034e}{#1}\"),oa(\"\\\\purpleA\",\"\\\\textcolor{##ddd7ff}{#1}\"),oa(\"\\\\purpleB\",\"\\\\textcolor{##c6b9fc}{#1}\"),oa(\"\\\\purpleC\",\"\\\\textcolor{##aa87ff}{#1}\"),oa(\"\\\\purpleD\",\"\\\\textcolor{##7854ab}{#1}\"),oa(\"\\\\purpleE\",\"\\\\textcolor{##543b78}{#1}\"),oa(\"\\\\mintA\",\"\\\\textcolor{##f5f9e8}{#1}\"),oa(\"\\\\mintB\",\"\\\\textcolor{##edf2df}{#1}\"),oa(\"\\\\mintC\",\"\\\\textcolor{##e0e5cc}{#1}\"),oa(\"\\\\grayA\",\"\\\\textcolor{##f6f7f7}{#1}\"),oa(\"\\\\grayB\",\"\\\\textcolor{##f0f1f2}{#1}\"),oa(\"\\\\grayC\",\"\\\\textcolor{##e3e5e6}{#1}\"),oa(\"\\\\grayD\",\"\\\\textcolor{##d6d8da}{#1}\"),oa(\"\\\\grayE\",\"\\\\textcolor{##babec2}{#1}\"),oa(\"\\\\grayF\",\"\\\\textcolor{##888d93}{#1}\"),oa(\"\\\\grayG\",\"\\\\textcolor{##626569}{#1}\"),oa(\"\\\\grayH\",\"\\\\textcolor{##3b3e40}{#1}\"),oa(\"\\\\grayI\",\"\\\\textcolor{##21242c}{#1}\"),oa(\"\\\\kaBlue\",\"\\\\textcolor{##314453}{#1}\"),oa(\"\\\\kaGreen\",\"\\\\textcolor{##71B307}{#1}\");var ua={\"\\\\relax\":!0,\"^\":!0,_:!0,\"\\\\limits\":!0,\"\\\\nolimits\":!0},da=function(){function t(t,e,r){this.settings=void 0,this.expansionCount=void 0,this.lexer=void 0,this.macros=void 0,this.stack=void 0,this.mode=void 0,this.settings=e,this.expansionCount=0,this.feed(t),this.macros=new ra(na,e.macros),this.mode=r,this.stack=[]}var e=t.prototype;return e.feed=function(t){this.lexer=new ea(t,this.settings)},e.switchMode=function(t){this.mode=t},e.beginGroup=function(){this.macros.beginGroup()},e.endGroup=function(){this.macros.endGroup()},e.future=function(){return 0===this.stack.length&&this.pushToken(this.lexer.lex()),this.stack[this.stack.length-1]},e.popToken=function(){return this.future(),this.stack.pop()},e.pushToken=function(t){this.stack.push(t)},e.pushTokens=function(t){var e;(e=this.stack).push.apply(e,t)},e.consumeSpaces=function(){for(;;){if(\" \"!==this.future().text)break;this.stack.pop()}},e.consumeArgs=function(t){for(var e=[],r=0;r<t;++r){this.consumeSpaces();var a=this.popToken();if(\"{\"===a.text){for(var n=[],o=1;0!==o;){var s=this.popToken();if(n.push(s),\"{\"===s.text)++o;else if(\"}\"===s.text)--o;else if(\"EOF\"===s.text)throw new i(\"End of input in macro argument\",a)}n.pop(),n.reverse(),e[r]=n}else{if(\"EOF\"===a.text)throw new i(\"End of input expecting macro argument\");e[r]=[a]}}return e},e.expandOnce=function(){var t=this.popToken(),e=t.text,r=this._getExpansion(e);if(null==r)return this.pushToken(t),t;if(this.expansionCount++,this.expansionCount>this.settings.maxExpand)throw new i(\"Too many expansions: infinite loop or need to increase maxExpand setting\");var a=r.tokens;if(r.numArgs)for(var n=this.consumeArgs(r.numArgs),o=(a=a.slice()).length-1;o>=0;--o){var s=a[o];if(\"#\"===s.text){if(0===o)throw new i(\"Incomplete placeholder at end of macro body\",s);if(\"#\"===(s=a[--o]).text)a.splice(o+1,1);else{if(!/^[1-9]$/.test(s.text))throw new i(\"Not a valid argument number\",s);var h;(h=a).splice.apply(h,[o,2].concat(n[+s.text-1]))}}}return this.pushTokens(a),a},e.expandAfterFuture=function(){return this.expandOnce(),this.future()},e.expandNextToken=function(){for(;;){var t=this.expandOnce();if(t instanceof n){if(\"\\\\relax\"!==t.text)return this.stack.pop();this.stack.pop()}}throw new Error},e.expandMacro=function(t){if(this.macros.get(t)){var e=[],r=this.stack.length;for(this.pushToken(new n(t));this.stack.length>r;){this.expandOnce()instanceof n&&e.push(this.stack.pop())}return e}},e.expandMacroAsText=function(t){var e=this.expandMacro(t);return e?e.map(function(t){return t.text}).join(\"\"):e},e._getExpansion=function(t){var e=this.macros.get(t);if(null==e)return e;var r=\"function\"==typeof e?e(this):e;if(\"string\"==typeof r){var a=0;if(-1!==r.indexOf(\"#\"))for(var n=r.replace(/##/g,\"\");-1!==n.indexOf(\"#\"+(a+1));)++a;for(var o=new ea(r,this.settings),i=[],s=o.lex();\"EOF\"!==s.text;)i.push(s),s=o.lex();return i.reverse(),{tokens:i,numArgs:a}}return r},e.isDefined=function(t){return this.macros.has(t)||Kr.hasOwnProperty(t)||_.math.hasOwnProperty(t)||_.text.hasOwnProperty(t)||ua.hasOwnProperty(t)},t}(),pa={\"\\u0301\":{text:\"\\\\'\",math:\"\\\\acute\"},\"\\u0300\":{text:\"\\\\`\",math:\"\\\\grave\"},\"\\u0308\":{text:'\\\\\"',math:\"\\\\ddot\"},\"\\u0303\":{text:\"\\\\~\",math:\"\\\\tilde\"},\"\\u0304\":{text:\"\\\\=\",math:\"\\\\bar\"},\"\\u0306\":{text:\"\\\\u\",math:\"\\\\breve\"},\"\\u030c\":{text:\"\\\\v\",math:\"\\\\check\"},\"\\u0302\":{text:\"\\\\^\",math:\"\\\\hat\"},\"\\u0307\":{text:\"\\\\.\",math:\"\\\\dot\"},\"\\u030a\":{text:\"\\\\r\",math:\"\\\\mathring\"},\"\\u030b\":{text:\"\\\\H\"}},fa={\"\\xe1\":\"a\\u0301\",\"\\xe0\":\"a\\u0300\",\"\\xe4\":\"a\\u0308\",\"\\u01df\":\"a\\u0308\\u0304\",\"\\xe3\":\"a\\u0303\",\"\\u0101\":\"a\\u0304\",\"\\u0103\":\"a\\u0306\",\"\\u1eaf\":\"a\\u0306\\u0301\",\"\\u1eb1\":\"a\\u0306\\u0300\",\"\\u1eb5\":\"a\\u0306\\u0303\",\"\\u01ce\":\"a\\u030c\",\"\\xe2\":\"a\\u0302\",\"\\u1ea5\":\"a\\u0302\\u0301\",\"\\u1ea7\":\"a\\u0302\\u0300\",\"\\u1eab\":\"a\\u0302\\u0303\",\"\\u0227\":\"a\\u0307\",\"\\u01e1\":\"a\\u0307\\u0304\",\"\\xe5\":\"a\\u030a\",\"\\u01fb\":\"a\\u030a\\u0301\",\"\\u1e03\":\"b\\u0307\",\"\\u0107\":\"c\\u0301\",\"\\u010d\":\"c\\u030c\",\"\\u0109\":\"c\\u0302\",\"\\u010b\":\"c\\u0307\",\"\\u010f\":\"d\\u030c\",\"\\u1e0b\":\"d\\u0307\",\"\\xe9\":\"e\\u0301\",\"\\xe8\":\"e\\u0300\",\"\\xeb\":\"e\\u0308\",\"\\u1ebd\":\"e\\u0303\",\"\\u0113\":\"e\\u0304\",\"\\u1e17\":\"e\\u0304\\u0301\",\"\\u1e15\":\"e\\u0304\\u0300\",\"\\u0115\":\"e\\u0306\",\"\\u011b\":\"e\\u030c\",\"\\xea\":\"e\\u0302\",\"\\u1ebf\":\"e\\u0302\\u0301\",\"\\u1ec1\":\"e\\u0302\\u0300\",\"\\u1ec5\":\"e\\u0302\\u0303\",\"\\u0117\":\"e\\u0307\",\"\\u1e1f\":\"f\\u0307\",\"\\u01f5\":\"g\\u0301\",\"\\u1e21\":\"g\\u0304\",\"\\u011f\":\"g\\u0306\",\"\\u01e7\":\"g\\u030c\",\"\\u011d\":\"g\\u0302\",\"\\u0121\":\"g\\u0307\",\"\\u1e27\":\"h\\u0308\",\"\\u021f\":\"h\\u030c\",\"\\u0125\":\"h\\u0302\",\"\\u1e23\":\"h\\u0307\",\"\\xed\":\"i\\u0301\",\"\\xec\":\"i\\u0300\",\"\\xef\":\"i\\u0308\",\"\\u1e2f\":\"i\\u0308\\u0301\",\"\\u0129\":\"i\\u0303\",\"\\u012b\":\"i\\u0304\",\"\\u012d\":\"i\\u0306\",\"\\u01d0\":\"i\\u030c\",\"\\xee\":\"i\\u0302\",\"\\u01f0\":\"j\\u030c\",\"\\u0135\":\"j\\u0302\",\"\\u1e31\":\"k\\u0301\",\"\\u01e9\":\"k\\u030c\",\"\\u013a\":\"l\\u0301\",\"\\u013e\":\"l\\u030c\",\"\\u1e3f\":\"m\\u0301\",\"\\u1e41\":\"m\\u0307\",\"\\u0144\":\"n\\u0301\",\"\\u01f9\":\"n\\u0300\",\"\\xf1\":\"n\\u0303\",\"\\u0148\":\"n\\u030c\",\"\\u1e45\":\"n\\u0307\",\"\\xf3\":\"o\\u0301\",\"\\xf2\":\"o\\u0300\",\"\\xf6\":\"o\\u0308\",\"\\u022b\":\"o\\u0308\\u0304\",\"\\xf5\":\"o\\u0303\",\"\\u1e4d\":\"o\\u0303\\u0301\",\"\\u1e4f\":\"o\\u0303\\u0308\",\"\\u022d\":\"o\\u0303\\u0304\",\"\\u014d\":\"o\\u0304\",\"\\u1e53\":\"o\\u0304\\u0301\",\"\\u1e51\":\"o\\u0304\\u0300\",\"\\u014f\":\"o\\u0306\",\"\\u01d2\":\"o\\u030c\",\"\\xf4\":\"o\\u0302\",\"\\u1ed1\":\"o\\u0302\\u0301\",\"\\u1ed3\":\"o\\u0302\\u0300\",\"\\u1ed7\":\"o\\u0302\\u0303\",\"\\u022f\":\"o\\u0307\",\"\\u0231\":\"o\\u0307\\u0304\",\"\\u0151\":\"o\\u030b\",\"\\u1e55\":\"p\\u0301\",\"\\u1e57\":\"p\\u0307\",\"\\u0155\":\"r\\u0301\",\"\\u0159\":\"r\\u030c\",\"\\u1e59\":\"r\\u0307\",\"\\u015b\":\"s\\u0301\",\"\\u1e65\":\"s\\u0301\\u0307\",\"\\u0161\":\"s\\u030c\",\"\\u1e67\":\"s\\u030c\\u0307\",\"\\u015d\":\"s\\u0302\",\"\\u1e61\":\"s\\u0307\",\"\\u1e97\":\"t\\u0308\",\"\\u0165\":\"t\\u030c\",\"\\u1e6b\":\"t\\u0307\",\"\\xfa\":\"u\\u0301\",\"\\xf9\":\"u\\u0300\",\"\\xfc\":\"u\\u0308\",\"\\u01d8\":\"u\\u0308\\u0301\",\"\\u01dc\":\"u\\u0308\\u0300\",\"\\u01d6\":\"u\\u0308\\u0304\",\"\\u01da\":\"u\\u0308\\u030c\",\"\\u0169\":\"u\\u0303\",\"\\u1e79\":\"u\\u0303\\u0301\",\"\\u016b\":\"u\\u0304\",\"\\u1e7b\":\"u\\u0304\\u0308\",\"\\u016d\":\"u\\u0306\",\"\\u01d4\":\"u\\u030c\",\"\\xfb\":\"u\\u0302\",\"\\u016f\":\"u\\u030a\",\"\\u0171\":\"u\\u030b\",\"\\u1e7d\":\"v\\u0303\",\"\\u1e83\":\"w\\u0301\",\"\\u1e81\":\"w\\u0300\",\"\\u1e85\":\"w\\u0308\",\"\\u0175\":\"w\\u0302\",\"\\u1e87\":\"w\\u0307\",\"\\u1e98\":\"w\\u030a\",\"\\u1e8d\":\"x\\u0308\",\"\\u1e8b\":\"x\\u0307\",\"\\xfd\":\"y\\u0301\",\"\\u1ef3\":\"y\\u0300\",\"\\xff\":\"y\\u0308\",\"\\u1ef9\":\"y\\u0303\",\"\\u0233\":\"y\\u0304\",\"\\u0177\":\"y\\u0302\",\"\\u1e8f\":\"y\\u0307\",\"\\u1e99\":\"y\\u030a\",\"\\u017a\":\"z\\u0301\",\"\\u017e\":\"z\\u030c\",\"\\u1e91\":\"z\\u0302\",\"\\u017c\":\"z\\u0307\",\"\\xc1\":\"A\\u0301\",\"\\xc0\":\"A\\u0300\",\"\\xc4\":\"A\\u0308\",\"\\u01de\":\"A\\u0308\\u0304\",\"\\xc3\":\"A\\u0303\",\"\\u0100\":\"A\\u0304\",\"\\u0102\":\"A\\u0306\",\"\\u1eae\":\"A\\u0306\\u0301\",\"\\u1eb0\":\"A\\u0306\\u0300\",\"\\u1eb4\":\"A\\u0306\\u0303\",\"\\u01cd\":\"A\\u030c\",\"\\xc2\":\"A\\u0302\",\"\\u1ea4\":\"A\\u0302\\u0301\",\"\\u1ea6\":\"A\\u0302\\u0300\",\"\\u1eaa\":\"A\\u0302\\u0303\",\"\\u0226\":\"A\\u0307\",\"\\u01e0\":\"A\\u0307\\u0304\",\"\\xc5\":\"A\\u030a\",\"\\u01fa\":\"A\\u030a\\u0301\",\"\\u1e02\":\"B\\u0307\",\"\\u0106\":\"C\\u0301\",\"\\u010c\":\"C\\u030c\",\"\\u0108\":\"C\\u0302\",\"\\u010a\":\"C\\u0307\",\"\\u010e\":\"D\\u030c\",\"\\u1e0a\":\"D\\u0307\",\"\\xc9\":\"E\\u0301\",\"\\xc8\":\"E\\u0300\",\"\\xcb\":\"E\\u0308\",\"\\u1ebc\":\"E\\u0303\",\"\\u0112\":\"E\\u0304\",\"\\u1e16\":\"E\\u0304\\u0301\",\"\\u1e14\":\"E\\u0304\\u0300\",\"\\u0114\":\"E\\u0306\",\"\\u011a\":\"E\\u030c\",\"\\xca\":\"E\\u0302\",\"\\u1ebe\":\"E\\u0302\\u0301\",\"\\u1ec0\":\"E\\u0302\\u0300\",\"\\u1ec4\":\"E\\u0302\\u0303\",\"\\u0116\":\"E\\u0307\",\"\\u1e1e\":\"F\\u0307\",\"\\u01f4\":\"G\\u0301\",\"\\u1e20\":\"G\\u0304\",\"\\u011e\":\"G\\u0306\",\"\\u01e6\":\"G\\u030c\",\"\\u011c\":\"G\\u0302\",\"\\u0120\":\"G\\u0307\",\"\\u1e26\":\"H\\u0308\",\"\\u021e\":\"H\\u030c\",\"\\u0124\":\"H\\u0302\",\"\\u1e22\":\"H\\u0307\",\"\\xcd\":\"I\\u0301\",\"\\xcc\":\"I\\u0300\",\"\\xcf\":\"I\\u0308\",\"\\u1e2e\":\"I\\u0308\\u0301\",\"\\u0128\":\"I\\u0303\",\"\\u012a\":\"I\\u0304\",\"\\u012c\":\"I\\u0306\",\"\\u01cf\":\"I\\u030c\",\"\\xce\":\"I\\u0302\",\"\\u0130\":\"I\\u0307\",\"\\u0134\":\"J\\u0302\",\"\\u1e30\":\"K\\u0301\",\"\\u01e8\":\"K\\u030c\",\"\\u0139\":\"L\\u0301\",\"\\u013d\":\"L\\u030c\",\"\\u1e3e\":\"M\\u0301\",\"\\u1e40\":\"M\\u0307\",\"\\u0143\":\"N\\u0301\",\"\\u01f8\":\"N\\u0300\",\"\\xd1\":\"N\\u0303\",\"\\u0147\":\"N\\u030c\",\"\\u1e44\":\"N\\u0307\",\"\\xd3\":\"O\\u0301\",\"\\xd2\":\"O\\u0300\",\"\\xd6\":\"O\\u0308\",\"\\u022a\":\"O\\u0308\\u0304\",\"\\xd5\":\"O\\u0303\",\"\\u1e4c\":\"O\\u0303\\u0301\",\"\\u1e4e\":\"O\\u0303\\u0308\",\"\\u022c\":\"O\\u0303\\u0304\",\"\\u014c\":\"O\\u0304\",\"\\u1e52\":\"O\\u0304\\u0301\",\"\\u1e50\":\"O\\u0304\\u0300\",\"\\u014e\":\"O\\u0306\",\"\\u01d1\":\"O\\u030c\",\"\\xd4\":\"O\\u0302\",\"\\u1ed0\":\"O\\u0302\\u0301\",\"\\u1ed2\":\"O\\u0302\\u0300\",\"\\u1ed6\":\"O\\u0302\\u0303\",\"\\u022e\":\"O\\u0307\",\"\\u0230\":\"O\\u0307\\u0304\",\"\\u0150\":\"O\\u030b\",\"\\u1e54\":\"P\\u0301\",\"\\u1e56\":\"P\\u0307\",\"\\u0154\":\"R\\u0301\",\"\\u0158\":\"R\\u030c\",\"\\u1e58\":\"R\\u0307\",\"\\u015a\":\"S\\u0301\",\"\\u1e64\":\"S\\u0301\\u0307\",\"\\u0160\":\"S\\u030c\",\"\\u1e66\":\"S\\u030c\\u0307\",\"\\u015c\":\"S\\u0302\",\"\\u1e60\":\"S\\u0307\",\"\\u0164\":\"T\\u030c\",\"\\u1e6a\":\"T\\u0307\",\"\\xda\":\"U\\u0301\",\"\\xd9\":\"U\\u0300\",\"\\xdc\":\"U\\u0308\",\"\\u01d7\":\"U\\u0308\\u0301\",\"\\u01db\":\"U\\u0308\\u0300\",\"\\u01d5\":\"U\\u0308\\u0304\",\"\\u01d9\":\"U\\u0308\\u030c\",\"\\u0168\":\"U\\u0303\",\"\\u1e78\":\"U\\u0303\\u0301\",\"\\u016a\":\"U\\u0304\",\"\\u1e7a\":\"U\\u0304\\u0308\",\"\\u016c\":\"U\\u0306\",\"\\u01d3\":\"U\\u030c\",\"\\xdb\":\"U\\u0302\",\"\\u016e\":\"U\\u030a\",\"\\u0170\":\"U\\u030b\",\"\\u1e7c\":\"V\\u0303\",\"\\u1e82\":\"W\\u0301\",\"\\u1e80\":\"W\\u0300\",\"\\u1e84\":\"W\\u0308\",\"\\u0174\":\"W\\u0302\",\"\\u1e86\":\"W\\u0307\",\"\\u1e8c\":\"X\\u0308\",\"\\u1e8a\":\"X\\u0307\",\"\\xdd\":\"Y\\u0301\",\"\\u1ef2\":\"Y\\u0300\",\"\\u0178\":\"Y\\u0308\",\"\\u1ef8\":\"Y\\u0303\",\"\\u0232\":\"Y\\u0304\",\"\\u0176\":\"Y\\u0302\",\"\\u1e8e\":\"Y\\u0307\",\"\\u0179\":\"Z\\u0301\",\"\\u017d\":\"Z\\u030c\",\"\\u1e90\":\"Z\\u0302\",\"\\u017b\":\"Z\\u0307\",\"\\u03ac\":\"\\u03b1\\u0301\",\"\\u1f70\":\"\\u03b1\\u0300\",\"\\u1fb1\":\"\\u03b1\\u0304\",\"\\u1fb0\":\"\\u03b1\\u0306\",\"\\u03ad\":\"\\u03b5\\u0301\",\"\\u1f72\":\"\\u03b5\\u0300\",\"\\u03ae\":\"\\u03b7\\u0301\",\"\\u1f74\":\"\\u03b7\\u0300\",\"\\u03af\":\"\\u03b9\\u0301\",\"\\u1f76\":\"\\u03b9\\u0300\",\"\\u03ca\":\"\\u03b9\\u0308\",\"\\u0390\":\"\\u03b9\\u0308\\u0301\",\"\\u1fd2\":\"\\u03b9\\u0308\\u0300\",\"\\u1fd1\":\"\\u03b9\\u0304\",\"\\u1fd0\":\"\\u03b9\\u0306\",\"\\u03cc\":\"\\u03bf\\u0301\",\"\\u1f78\":\"\\u03bf\\u0300\",\"\\u03cd\":\"\\u03c5\\u0301\",\"\\u1f7a\":\"\\u03c5\\u0300\",\"\\u03cb\":\"\\u03c5\\u0308\",\"\\u03b0\":\"\\u03c5\\u0308\\u0301\",\"\\u1fe2\":\"\\u03c5\\u0308\\u0300\",\"\\u1fe1\":\"\\u03c5\\u0304\",\"\\u1fe0\":\"\\u03c5\\u0306\",\"\\u03ce\":\"\\u03c9\\u0301\",\"\\u1f7c\":\"\\u03c9\\u0300\",\"\\u038e\":\"\\u03a5\\u0301\",\"\\u1fea\":\"\\u03a5\\u0300\",\"\\u03ab\":\"\\u03a5\\u0308\",\"\\u1fe9\":\"\\u03a5\\u0304\",\"\\u1fe8\":\"\\u03a5\\u0306\",\"\\u038f\":\"\\u03a9\\u0301\",\"\\u1ffa\":\"\\u03a9\\u0300\"},ga=function(){function t(t,e){this.mode=void 0,this.gullet=void 0,this.settings=void 0,this.leftrightDepth=void 0,this.nextToken=void 0,this.mode=\"math\",this.gullet=new da(t,e,this.mode),this.settings=e,this.leftrightDepth=0}var e=t.prototype;return e.expect=function(t,e){if(void 0===e&&(e=!0),this.nextToken.text!==t)throw new i(\"Expected '\"+t+\"', got '\"+this.nextToken.text+\"'\",this.nextToken);e&&this.consume()},e.consume=function(){this.nextToken=this.gullet.expandNextToken()},e.switchMode=function(t){this.mode=t,this.gullet.switchMode(t)},e.parse=function(){this.gullet.beginGroup(),this.settings.colorIsTextColor&&this.gullet.macros.set(\"\\\\color\",\"\\\\textcolor\"),this.consume();var t=this.parseExpression(!1);return this.expect(\"EOF\",!1),this.gullet.endGroup(),t},e.parseExpression=function(e,r){for(var a=[];;){\"math\"===this.mode&&this.consumeSpaces();var n=this.nextToken;if(-1!==t.endOfExpression.indexOf(n.text))break;if(r&&n.text===r)break;if(e&&Kr[n.text]&&Kr[n.text].infix)break;var o=this.parseAtom(r);if(!o)break;a.push(o)}return\"text\"===this.mode&&this.formLigatures(a),this.handleInfixNodes(a)},e.handleInfixNodes=function(t){for(var e,r=-1,a=0;a<t.length;a++){var n=Pt(t[a],\"infix\");if(n){if(-1!==r)throw new i(\"only one infix operator per group\",n.token);r=a,e=n.replaceWith}}if(-1!==r&&e){var o,s,h=t.slice(0,r),l=t.slice(r+1);return o=1===h.length&&\"ordgroup\"===h[0].type?h[0]:{type:\"ordgroup\",mode:this.mode,body:h},s=1===l.length&&\"ordgroup\"===l[0].type?l[0]:{type:\"ordgroup\",mode:this.mode,body:l},[\"\\\\\\\\abovefrac\"===e?this.callFunction(e,[o,t[r],s],[]):this.callFunction(e,[o,s],[])]}return t},e.handleSupSubscript=function(e){var r=this.nextToken,a=r.text;this.consume(),this.consumeSpaces();var n=this.parseGroup(e,!1,t.SUPSUB_GREEDINESS);if(!n)throw new i(\"Expected group after '\"+a+\"'\",r);return n},e.handleUnsupportedCmd=function(){for(var t=this.nextToken.text,e=[],r=0;r<t.length;r++)e.push({type:\"textord\",mode:\"text\",text:t[r]});var a={type:\"text\",mode:this.mode,body:e},n={type:\"color\",mode:this.mode,color:this.settings.errorColor,body:[a]};return this.consume(),n},e.parseAtom=function(t){var e,r,a=this.parseGroup(\"atom\",!1,null,t);if(\"text\"===this.mode)return a;for(;;){this.consumeSpaces();var n=this.nextToken;if(\"\\\\limits\"===n.text||\"\\\\nolimits\"===n.text){var o=Pt(a,\"op\");if(!o)throw new i(\"Limit controls must follow a math operator\",n);var s=\"\\\\limits\"===n.text;o.limits=s,o.alwaysHandleSupSub=!0,this.consume()}else if(\"^\"===n.text){if(e)throw new i(\"Double superscript\",n);e=this.handleSupSubscript(\"superscript\")}else if(\"_\"===n.text){if(r)throw new i(\"Double subscript\",n);r=this.handleSupSubscript(\"subscript\")}else{if(\"'\"!==n.text)break;if(e)throw new i(\"Double superscript\",n);var h={type:\"textord\",mode:this.mode,text:\"\\\\prime\"},l=[h];for(this.consume();\"'\"===this.nextToken.text;)l.push(h),this.consume();\"^\"===this.nextToken.text&&l.push(this.handleSupSubscript(\"superscript\")),e={type:\"ordgroup\",mode:this.mode,body:l}}}return e||r?{type:\"supsub\",mode:this.mode,base:a,sup:e,sub:r}:a},e.parseFunction=function(t,e,r){var a=this.nextToken,n=a.text,o=Kr[n];if(!o)return null;if(null!=r&&o.greediness<=r)throw new i(\"Got function '\"+n+\"' with no arguments\"+(e?\" as \"+e:\"\"),a);if(\"text\"===this.mode&&!o.allowedInText)throw new i(\"Can't use function '\"+n+\"' in text mode\",a);if(\"math\"===this.mode&&!1===o.allowedInMath)throw new i(\"Can't use function '\"+n+\"' in math mode\",a);if(o.argTypes&&\"url\"===o.argTypes[0]&&this.gullet.lexer.setCatcode(\"%\",13),o.consumeMode){var s=this.mode;this.switchMode(o.consumeMode),this.consume(),this.switchMode(s)}else this.consume();var h=this.parseArguments(n,o),l=h.args,m=h.optArgs;return this.callFunction(n,l,m,a,t)},e.callFunction=function(t,e,r,a,n){var o={funcName:t,parser:this,token:a,breakOnTokenText:n},s=Kr[t];if(s&&s.handler)return s.handler(o,e,r);throw new i(\"No function handler for \"+t)},e.parseArguments=function(t,e){var r=e.numArgs+e.numOptionalArgs;if(0===r)return{args:[],optArgs:[]};for(var a=e.greediness,n=[],o=[],s=0;s<r;s++){var h=e.argTypes&&e.argTypes[s],l=s<e.numOptionalArgs;s>0&&!l&&this.consumeSpaces(),0!==s||l||\"math\"!==this.mode||this.consumeSpaces();var m=this.nextToken,c=this.parseGroupOfType(\"argument to '\"+t+\"'\",h,l,a);if(!c){if(l){o.push(null);continue}throw new i(\"Expected group after '\"+t+\"'\",m)}(l?o:n).push(c)}return{args:n,optArgs:o}},e.parseGroupOfType=function(t,e,r,a){switch(e){case\"color\":return this.parseColorGroup(r);case\"size\":return this.parseSizeGroup(r);case\"url\":return this.parseUrlGroup(r);case\"math\":case\"text\":return this.parseGroup(t,r,a,void 0,e);case\"raw\":if(r&&\"{\"===this.nextToken.text)return null;var n=this.parseStringGroup(\"raw\",r,!0);if(n)return{type:\"raw\",mode:\"text\",string:n.text};throw new i(\"Expected raw group\",this.nextToken);case\"original\":case null:case void 0:return this.parseGroup(t,r,a);default:throw new i(\"Unknown group type as \"+t,this.nextToken)}},e.consumeSpaces=function(){for(;\" \"===this.nextToken.text;)this.consume()},e.parseStringGroup=function(t,e,r){var a=e?\"[\":\"{\",n=e?\"]\":\"}\",o=this.nextToken;if(o.text!==a){if(e)return null;if(r&&\"EOF\"!==o.text&&/[^{}[\\]]/.test(o.text))return this.gullet.lexer.setCatcode(\"%\",14),this.consume(),o}var s=this.mode;this.mode=\"text\",this.expect(a);for(var h=\"\",l=this.nextToken,m=0,c=l;r&&m>0||this.nextToken.text!==n;){switch(this.nextToken.text){case\"EOF\":throw new i(\"Unexpected end of input in \"+t,l.range(c,h));case a:m++;break;case n:m--}h+=(c=this.nextToken).text,this.consume()}return this.mode=s,this.gullet.lexer.setCatcode(\"%\",14),this.expect(n),l.range(c,h)},e.parseRegexGroup=function(t,e){var r=this.mode;this.mode=\"text\";for(var a=this.nextToken,n=a,o=\"\";\"EOF\"!==this.nextToken.text&&t.test(o+this.nextToken.text);)o+=(n=this.nextToken).text,this.consume();if(\"\"===o)throw new i(\"Invalid \"+e+\": '\"+a.text+\"'\",a);return this.mode=r,a.range(n,o)},e.parseColorGroup=function(t){var e=this.parseStringGroup(\"color\",t);if(!e)return null;var r=/^(#[a-f0-9]{3}|#?[a-f0-9]{6}|[a-z]+)$/i.exec(e.text);if(!r)throw new i(\"Invalid color: '\"+e.text+\"'\",e);var a=r[0];return/^[0-9a-f]{6}$/i.test(a)&&(a=\"#\"+a),{type:\"color-token\",mode:this.mode,color:a}},e.parseSizeGroup=function(t){var e,r=!1;if(!(e=t||\"{\"===this.nextToken.text?this.parseStringGroup(\"size\",t):this.parseRegexGroup(/^[-+]? *(?:$|\\d+|\\d+\\.\\d*|\\.\\d*) *[a-z]{0,2} *$/,\"size\")))return null;t||0!==e.text.length||(e.text=\"0pt\",r=!0);var a=/([-+]?) *(\\d+(?:\\.\\d*)?|\\.\\d+) *([a-z]{2})/.exec(e.text);if(!a)throw new i(\"Invalid size: '\"+e.text+\"'\",e);var n,o={number:+(a[1]+a[2]),unit:a[3]};if(\"string\"!=typeof(n=o)&&(n=n.unit),!(n in kt||n in St||\"ex\"===n))throw new i(\"Invalid unit: '\"+o.unit+\"'\",e);return{type:\"size\",mode:this.mode,value:o,isBlank:r}},e.parseUrlGroup=function(t){var e=this.parseStringGroup(\"url\",t,!0);if(!e)return null;var r=e.text.replace(/\\\\([#$%&~_^{}])/g,\"$1\"),a=/^\\s*([^\\\\\\/#]*?)(?::|�*58|�*3a)/i.exec(r);a=null!=a?a[1]:\"_relative\";var n=this.settings.allowedProtocols;if(!c.contains(n,\"*\")&&!c.contains(n,a))throw new i(\"Forbidden protocol '\"+a+\"'\",e);return{type:\"url\",mode:this.mode,url:r}},e.parseGroup=function(e,r,n,o,s){var h,l,m=this.mode,c=this.nextToken,u=c.text;if(s&&this.switchMode(s),r?\"[\"===u:\"{\"===u||\"\\\\begingroup\"===u){h=t.endOfGroup[u],this.gullet.beginGroup(),this.consume();var d=this.parseExpression(!1,h),p=this.nextToken;this.gullet.endGroup(),l={type:\"ordgroup\",mode:this.mode,loc:a.range(c,p),body:d,semisimple:\"\\\\begingroup\"===u||void 0}}else if(r)l=null;else if(null==(l=this.parseFunction(o,e,n)||this.parseSymbol())&&\"\\\\\"===u[0]&&!ua.hasOwnProperty(u)){if(this.settings.throwOnError)throw new i(\"Undefined control sequence: \"+u,c);l=this.handleUnsupportedCmd()}return s&&this.switchMode(m),h&&this.expect(h),l},e.formLigatures=function(t){for(var e=t.length-1,r=0;r<e;++r){var n=t[r],o=n.text;\"-\"===o&&\"-\"===t[r+1].text&&(r+1<e&&\"-\"===t[r+2].text?(t.splice(r,3,{type:\"textord\",mode:\"text\",loc:a.range(n,t[r+2]),text:\"---\"}),e-=2):(t.splice(r,2,{type:\"textord\",mode:\"text\",loc:a.range(n,t[r+1]),text:\"--\"}),e-=1)),\"'\"!==o&&\"`\"!==o||t[r+1].text!==o||(t.splice(r,2,{type:\"textord\",mode:\"text\",loc:a.range(n,t[r+1]),text:o+o}),e-=1)}},e.parseSymbol=function(){var t=this.nextToken,e=t.text;if(/^\\\\verb[^a-zA-Z]/.test(e)){this.consume();var r=e.slice(5),n=\"*\"===r.charAt(0);if(n&&(r=r.slice(1)),r.length<2||r.charAt(0)!==r.slice(-1))throw new i(\"\\\\verb assertion failed --\\n please report what input caused this bug\");return{type:\"verb\",mode:\"text\",body:r=r.slice(1,-1),star:n}}fa.hasOwnProperty(e[0])&&!_[this.mode][e[0]]&&(this.settings.strict&&\"math\"===this.mode&&this.settings.reportNonstrict(\"unicodeTextInMathMode\",'Accented Unicode text character \"'+e[0]+'\" used in math mode',t),e=fa[e[0]]+e.substr(1));var o,s=Qr.exec(e);if(s&&(\"i\"===(e=e.substring(0,s.index))?e=\"\\u0131\":\"j\"===e&&(e=\"\\u0237\")),_[this.mode][e]){this.settings.strict&&\"math\"===this.mode&&\"\\xc7\\xd0\\xde\\xe7\\xfe\".indexOf(e)>=0&&this.settings.reportNonstrict(\"unicodeTextInMathMode\",'Latin-1/Unicode text character \"'+e[0]+'\" used in math mode',t);var h,l=_[this.mode][e].group,m=a.range(t);if(G.hasOwnProperty(l)){var c=l;h={type:\"atom\",mode:this.mode,family:c,loc:m,text:e}}else h={type:l,mode:this.mode,loc:m,text:e};o=h}else{if(!(e.charCodeAt(0)>=128))return null;this.settings.strict&&(z(e.charCodeAt(0))?\"math\"===this.mode&&this.settings.reportNonstrict(\"unicodeTextInMathMode\",'Unicode text character \"'+e[0]+'\" used in math mode',t):this.settings.reportNonstrict(\"unknownSymbol\",'Unrecognized Unicode character \"'+e[0]+'\" ('+e.charCodeAt(0)+\")\",t)),o={type:\"textord\",mode:this.mode,loc:a.range(t),text:e}}if(this.consume(),s)for(var u=0;u<s[0].length;u++){var d=s[0][u];if(!pa[d])throw new i(\"Unknown accent ' \"+d+\"'\",t);var p=pa[d][this.mode];if(!p)throw new i(\"Accent \"+d+\" unsupported in \"+this.mode+\" mode\",t);o={type:\"accent\",mode:this.mode,loc:a.range(t),label:p,isStretchy:!1,isShifty:!0,base:o}}return o},t}();ga.endOfExpression=[\"}\",\"\\\\endgroup\",\"\\\\end\",\"\\\\right\",\"&\"],ga.endOfGroup={\"[\":\"]\",\"{\":\"}\",\"\\\\begingroup\":\"\\\\endgroup\"},ga.SUPSUB_GREEDINESS=1;var xa=function(t,e){if(!(\"string\"==typeof t||t instanceof String))throw new TypeError(\"KaTeX can only parse string typed expression\");var r=new ga(t,e);delete r.gullet.macros.current[\"\\\\df@tag\"];var a=r.parse();if(r.gullet.macros.get(\"\\\\df@tag\")){if(!e.displayMode)throw new i(\"\\\\tag works only in display equations\");r.gullet.feed(\"\\\\df@tag\"),a=[{type:\"tag\",mode:\"text\",body:a,tag:r.parse()}]}return a},va=function(t,e,r){e.textContent=\"\";var a=ya(t,r).toNode();e.appendChild(a)};\"undefined\"!=typeof document&&\"CSS1Compat\"!==document.compatMode&&(\"undefined\"!=typeof console&&console.warn(\"Warning: KaTeX doesn't work in quirks mode. Make sure your website has a suitable doctype.\"),va=function(){throw new i(\"KaTeX doesn't work in quirks mode.\")});var ba=function(t,e,r){if(r.throwOnError||!(t instanceof i))throw t;var a=Lt.makeSpan([\"katex-error\"],[new E(e)]);return a.setAttribute(\"title\",t.toString()),a.setAttribute(\"style\",\"color:\"+r.errorColor),a},ya=function(t,e){var r=new u(e);try{var a=xa(t,r);return Se(a,t,r)}catch(e){return ba(e,t,r)}},wa={version:\"0.10.2\",render:va,renderToString:function(t,e){return ya(t,e).toMarkup()},ParseError:i,__parse:function(t,e){var r=new u(e);return xa(t,r)},__renderToDomTree:ya,__renderToHTMLTree:function(t,e){var r=new u(e);try{return function(t,e,r){var a=me(t,we(r)),n=Lt.makeSpan([\"katex\"],[a]);return ke(n,r)}(xa(t,r),0,r)}catch(e){return ba(e,t,r)}},__setFontMetrics:function(t,e){P[t]=e},__defineSymbol:W,__defineMacro:oa,__domTree:{Span:N,Anchor:I,SymbolNode:E,SvgNode:R,PathNode:L,LineNode:H}};e.default=wa}]).default});\n\n})(!$tw.browser ? $tw.fakeDocument : window.document)\n",
"type": "application/javascript",
"title": "$:/plugins/tiddlywiki/katex/katex.min.js",
"module-type": "library"
},
"$:/plugins/tiddlywiki/katex/mhchem.min.js": {
"text": "/* eslint-disable */\n/* -*- Mode: Javascript; indent-tabs-mode:nil; js-indent-level: 2 -*- */\n/* vim: set ts=2 et sw=2 tw=80: */\n\n/*************************************************************\n *\n * KaTeX mhchem.js\n *\n * This file implements a KaTeX version of mhchem version 3.3.0.\n * It is adapted from MathJax/extensions/TeX/mhchem.js\n * It differs from the MathJax version as follows:\n * 1. The interface is changed so that it can be called from KaTeX, not MathJax.\n * 2. \\rlap and \\llap are replaced with \\mathrlap and \\mathllap.\n * 3. Four lines of code are edited in order to use \\raisebox instead of \\raise.\n * 4. The reaction arrow code is simplified. All reaction arrows are rendered\n * using KaTeX extensible arrows instead of building non-extensible arrows.\n * 5. \\tripledash vertical alignment is slightly adjusted.\n *\n * This code, as other KaTeX code, is released under the MIT license.\n * \n * /*************************************************************\n *\n * MathJax/extensions/TeX/mhchem.js\n *\n * Implements the \\ce command for handling chemical formulas\n * from the mhchem LaTeX package.\n *\n * ---------------------------------------------------------------------\n *\n * Copyright (c) 2011-2015 The MathJax Consortium\n * Copyright (c) 2015-2018 Martin Hensel\n *\n * Licensed under the Apache License, Version 2.0 (the \"License\");\n * you may not use this file except in compliance with the License.\n * You may obtain a copy of the License at\n *\n * http://www.apache.org/licenses/LICENSE-2.0\n *\n * Unless required by applicable law or agreed to in writing, software\n * distributed under the License is distributed on an \"AS IS\" BASIS,\n * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n * See the License for the specific language governing permissions and\n * limitations under the License.\n */\n\n//\n// Coding Style\n// - use '' for identifiers that can by minified/uglified\n// - use \"\" for strings that need to stay untouched\n\n// version: \"3.3.0\" for MathJax and KaTeX\n\n/****************************************\n*****************************************\n* TiddlyWiki: moved the katex-module definitions to wrapper.js\n*****************************************\n*****************************************/\n\n //\n // This is the main function for handing the \\ce and \\pu commands.\n // It takes the argument to \\ce or \\pu and returns the corresponding TeX string.\n //\n\n // TiddlyWiki: replaced `var chemParse =` with `module.exports =` ... no more modifications in this file\n module.exports = function (tokens, stateMachine) {\n // Recreate the argument string from KaTeX's array of tokens.\n var str = \"\";\n var expectedLoc = tokens[tokens.length - 1].loc.start\n for (var i = tokens.length - 1; i >= 0; i--) {\n if(tokens[i].loc.start > expectedLoc) {\n // context.consumeArgs has eaten a space.\n str += \" \";\n expectedLoc = tokens[i].loc.start;\n }\n str += tokens[i].text;\n expectedLoc += tokens[i].text.length;\n }\n var tex = texify.go(mhchemParser.go(str, stateMachine));\n return tex;\n };\n\n //\n // Core parser for mhchem syntax (recursive)\n //\n /** @type {MhchemParser} */\n var mhchemParser = {\n //\n // Parses mchem \\ce syntax\n //\n // Call like\n // go(\"H2O\");\n //\n go: function (input, stateMachine) {\n if (!input) { return []; }\n if (stateMachine === undefined) { stateMachine = 'ce'; }\n var state = '0';\n\n //\n // String buffers for parsing:\n //\n // buffer.a == amount\n // buffer.o == element\n // buffer.b == left-side superscript\n // buffer.p == left-side subscript\n // buffer.q == right-side subscript\n // buffer.d == right-side superscript\n //\n // buffer.r == arrow\n // buffer.rdt == arrow, script above, type\n // buffer.rd == arrow, script above, content\n // buffer.rqt == arrow, script below, type\n // buffer.rq == arrow, script below, content\n //\n // buffer.text_\n // buffer.rm\n // etc.\n //\n // buffer.parenthesisLevel == int, starting at 0\n // buffer.sb == bool, space before\n // buffer.beginsWithBond == bool\n //\n // These letters are also used as state names.\n //\n // Other states:\n // 0 == begin of main part (arrow/operator unlikely)\n // 1 == next entity\n // 2 == next entity (arrow/operator unlikely)\n // 3 == next atom\n // c == macro\n //\n /** @type {Buffer} */\n var buffer = {};\n buffer['parenthesisLevel'] = 0;\n\n input = input.replace(/\\n/g, \" \");\n input = input.replace(/[\\u2212\\u2013\\u2014\\u2010]/g, \"-\");\n input = input.replace(/[\\u2026]/g, \"...\");\n\n //\n // Looks through mhchemParser.transitions, to execute a matching action\n // (recursive)\n //\n var lastInput;\n var watchdog = 10;\n /** @type {ParserOutput[]} */\n var output = [];\n while (true) {\n if (lastInput !== input) {\n watchdog = 10;\n lastInput = input;\n } else {\n watchdog--;\n }\n //\n // Find actions in transition table\n //\n var machine = mhchemParser.stateMachines[stateMachine];\n var t = machine.transitions[state] || machine.transitions['*'];\n iterateTransitions:\n for (var i=0; i<t.length; i++) {\n var matches = mhchemParser.patterns.match_(t[i].pattern, input);\n if (matches) {\n //\n // Execute actions\n //\n var task = t[i].task;\n for (var iA=0; iA<task.action_.length; iA++) {\n var o;\n //\n // Find and execute action\n //\n if (machine.actions[task.action_[iA].type_]) {\n o = machine.actions[task.action_[iA].type_](buffer, matches.match_, task.action_[iA].option);\n } else if (mhchemParser.actions[task.action_[iA].type_]) {\n o = mhchemParser.actions[task.action_[iA].type_](buffer, matches.match_, task.action_[iA].option);\n } else {\n throw [\"MhchemBugA\", \"mhchem bug A. Please report. (\" + task.action_[iA].type_ + \")\"]; // Trying to use non-existing action\n }\n //\n // Add output\n //\n mhchemParser.concatArray(output, o);\n }\n //\n // Set next state,\n // Shorten input,\n // Continue with next character\n // (= apply only one transition per position)\n //\n state = task.nextState || state;\n if (input.length > 0) {\n if (!task.revisit) {\n input = matches.remainder;\n }\n if (!task.toContinue) {\n break iterateTransitions;\n }\n } else {\n return output;\n }\n }\n }\n //\n // Prevent infinite loop\n //\n if (watchdog <= 0) {\n throw [\"MhchemBugU\", \"mhchem bug U. Please report.\"]; // Unexpected character\n }\n }\n },\n concatArray: function (a, b) {\n if (b) {\n if (Array.isArray(b)) {\n for (var iB=0; iB<b.length; iB++) {\n a.push(b[iB]);\n }\n } else {\n a.push(b);\n }\n }\n },\n\n patterns: {\n //\n // Matching patterns\n // either regexps or function that return null or {match_:\"a\", remainder:\"bc\"}\n //\n patterns: {\n // property names must not look like integers (\"2\") for correct property traversal order, later on\n 'empty': /^$/,\n 'else': /^./,\n 'else2': /^./,\n 'space': /^\\s/,\n 'space A': /^\\s(?=[A-Z\\\\$])/,\n 'space$': /^\\s$/,\n 'a-z': /^[a-z]/,\n 'x': /^x/,\n 'x$': /^x$/,\n 'i$': /^i$/,\n 'letters': /^(?:[a-zA-Z\\u03B1-\\u03C9\\u0391-\\u03A9?@]|(?:\\\\(?:alpha|beta|gamma|delta|epsilon|zeta|eta|theta|iota|kappa|lambda|mu|nu|xi|omicron|pi|rho|sigma|tau|upsilon|phi|chi|psi|omega|Gamma|Delta|Theta|Lambda|Xi|Pi|Sigma|Upsilon|Phi|Psi|Omega)(?:\\s+|\\{\\}|(?![a-zA-Z]))))+/,\n '\\\\greek': /^\\\\(?:alpha|beta|gamma|delta|epsilon|zeta|eta|theta|iota|kappa|lambda|mu|nu|xi|omicron|pi|rho|sigma|tau|upsilon|phi|chi|psi|omega|Gamma|Delta|Theta|Lambda|Xi|Pi|Sigma|Upsilon|Phi|Psi|Omega)(?:\\s+|\\{\\}|(?![a-zA-Z]))/,\n 'one lowercase latin letter $': /^(?:([a-z])(?:$|[^a-zA-Z]))$/,\n '$one lowercase latin letter$ $': /^\\$(?:([a-z])(?:$|[^a-zA-Z]))\\$$/,\n 'one lowercase greek letter $': /^(?:\\$?[\\u03B1-\\u03C9]\\$?|\\$?\\\\(?:alpha|beta|gamma|delta|epsilon|zeta|eta|theta|iota|kappa|lambda|mu|nu|xi|omicron|pi|rho|sigma|tau|upsilon|phi|chi|psi|omega)\\s*\\$?)(?:\\s+|\\{\\}|(?![a-zA-Z]))$/,\n 'digits': /^[0-9]+/,\n '-9.,9': /^[+\\-]?(?:[0-9]+(?:[,.][0-9]+)?|[0-9]*(?:\\.[0-9]+))/,\n '-9.,9 no missing 0': /^[+\\-]?[0-9]+(?:[.,][0-9]+)?/,\n '(-)(9.,9)(e)(99)': function (input) {\n var m = input.match(/^(\\+\\-|\\+\\/\\-|\\+|\\-|\\\\pm\\s?)?([0-9]+(?:[,.][0-9]+)?|[0-9]*(?:\\.[0-9]+))?(\\((?:[0-9]+(?:[,.][0-9]+)?|[0-9]*(?:\\.[0-9]+))\\))?(?:([eE]|\\s*(\\*|x|\\\\times|\\u00D7)\\s*10\\^)([+\\-]?[0-9]+|\\{[+\\-]?[0-9]+\\}))?/);\n if (m && m[0]) {\n return { match_: m.splice(1), remainder: input.substr(m[0].length) };\n }\n return null;\n },\n '(-)(9)^(-9)': function (input) {\n var m = input.match(/^(\\+\\-|\\+\\/\\-|\\+|\\-|\\\\pm\\s?)?([0-9]+(?:[,.][0-9]+)?|[0-9]*(?:\\.[0-9]+)?)\\^([+\\-]?[0-9]+|\\{[+\\-]?[0-9]+\\})/);\n if (m && m[0]) {\n return { match_: m.splice(1), remainder: input.substr(m[0].length) };\n }\n return null;\n },\n 'state of aggregation $': function (input) { // ... or crystal system\n var a = mhchemParser.patterns.findObserveGroups(input, \"\", /^\\([a-z]{1,3}(?=[\\),])/, \")\", \"\"); // (aq), (aq,$\\infty$), (aq, sat)\n if (a && a.remainder.match(/^($|[\\s,;\\)\\]\\}])/)) { return a; } // AND end of 'phrase'\n var m = input.match(/^(?:\\((?:\\\\ca\\s?)?\\$[amothc]\\$\\))/); // OR crystal system ($o$) (\\ca$c$)\n if (m) {\n return { match_: m[0], remainder: input.substr(m[0].length) };\n }\n return null;\n },\n '_{(state of aggregation)}$': /^_\\{(\\([a-z]{1,3}\\))\\}/,\n '{[(': /^(?:\\\\\\{|\\[|\\()/,\n ')]}': /^(?:\\)|\\]|\\\\\\})/,\n ', ': /^[,;]\\s*/,\n ',': /^[,;]/,\n '.': /^[.]/,\n '. ': /^([.\\u22C5\\u00B7\\u2022])\\s*/,\n '...': /^\\.\\.\\.(?=$|[^.])/,\n '* ': /^([*])\\s*/,\n '^{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"^{\", \"\", \"\", \"}\"); },\n '^($...$)': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"^\", \"$\", \"$\", \"\"); },\n '^a': /^\\^([0-9]+|[^\\\\_])/,\n '^\\\\x{}{}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"^\", /^\\\\[a-zA-Z]+\\{/, \"}\", \"\", \"\", \"{\", \"}\", \"\", true); },\n '^\\\\x{}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"^\", /^\\\\[a-zA-Z]+\\{/, \"}\", \"\"); },\n '^\\\\x': /^\\^(\\\\[a-zA-Z]+)\\s*/,\n '^(-1)': /^\\^(-?\\d+)/,\n '\\'': /^'/,\n '_{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"_{\", \"\", \"\", \"}\"); },\n '_($...$)': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"_\", \"$\", \"$\", \"\"); },\n '_9': /^_([+\\-]?[0-9]+|[^\\\\])/,\n '_\\\\x{}{}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"_\", /^\\\\[a-zA-Z]+\\{/, \"}\", \"\", \"\", \"{\", \"}\", \"\", true); },\n '_\\\\x{}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"_\", /^\\\\[a-zA-Z]+\\{/, \"}\", \"\"); },\n '_\\\\x': /^_(\\\\[a-zA-Z]+)\\s*/,\n '^_': /^(?:\\^(?=_)|\\_(?=\\^)|[\\^_]$)/,\n '{}': /^\\{\\}/,\n '{...}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\", \"{\", \"}\", \"\"); },\n '{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"{\", \"\", \"\", \"}\"); },\n '$...$': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\", \"$\", \"$\", \"\"); },\n '${(...)}$': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"${\", \"\", \"\", \"}$\"); },\n '$(...)$': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"$\", \"\", \"\", \"$\"); },\n '=<>': /^[=<>]/,\n '#': /^[#\\u2261]/,\n '+': /^\\+/,\n '-$': /^-(?=[\\s_},;\\]/]|$|\\([a-z]+\\))/, // -space -, -; -] -/ -$ -state-of-aggregation\n '-9': /^-(?=[0-9])/,\n '- orbital overlap': /^-(?=(?:[spd]|sp)(?:$|[\\s,;\\)\\]\\}]))/,\n '-': /^-/,\n 'pm-operator': /^(?:\\\\pm|\\$\\\\pm\\$|\\+-|\\+\\/-)/,\n 'operator': /^(?:\\+|(?:[\\-=<>]|<<|>>|\\\\approx|\\$\\\\approx\\$)(?=\\s|$|-?[0-9]))/,\n 'arrowUpDown': /^(?:v|\\(v\\)|\\^|\\(\\^\\))(?=$|[\\s,;\\)\\]\\}])/,\n '\\\\bond{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\bond{\", \"\", \"\", \"}\"); },\n '->': /^(?:<->|<-->|->|<-|<=>>|<<=>|<=>|[\\u2192\\u27F6\\u21CC])/,\n 'CMT': /^[CMT](?=\\[)/,\n '[(...)]': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"[\", \"\", \"\", \"]\"); },\n '1st-level escape': /^(&|\\\\\\\\|\\\\hline)\\s*/,\n '\\\\,': /^(?:\\\\[,\\ ;:])/, // \\\\x - but output no space before\n '\\\\x{}{}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\", /^\\\\[a-zA-Z]+\\{/, \"}\", \"\", \"\", \"{\", \"}\", \"\", true); },\n '\\\\x{}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\", /^\\\\[a-zA-Z]+\\{/, \"}\", \"\"); },\n '\\\\ca': /^\\\\ca(?:\\s+|(?![a-zA-Z]))/,\n '\\\\x': /^(?:\\\\[a-zA-Z]+\\s*|\\\\[_&{}%])/,\n 'orbital': /^(?:[0-9]{1,2}[spdfgh]|[0-9]{0,2}sp)(?=$|[^a-zA-Z])/, // only those with numbers in front, because the others will be formatted correctly anyway\n 'others': /^[\\/~|]/,\n '\\\\frac{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\frac{\", \"\", \"\", \"}\", \"{\", \"\", \"\", \"}\"); },\n '\\\\overset{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\overset{\", \"\", \"\", \"}\", \"{\", \"\", \"\", \"}\"); },\n '\\\\underset{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\underset{\", \"\", \"\", \"}\", \"{\", \"\", \"\", \"}\"); },\n '\\\\underbrace{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\underbrace{\", \"\", \"\", \"}_\", \"{\", \"\", \"\", \"}\"); },\n '\\\\color{(...)}0': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\color{\", \"\", \"\", \"}\"); },\n '\\\\color{(...)}{(...)}1': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\color{\", \"\", \"\", \"}\", \"{\", \"\", \"\", \"}\"); },\n '\\\\color(...){(...)}2': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\color\", \"\\\\\", \"\", /^(?=\\{)/, \"{\", \"\", \"\", \"}\"); },\n '\\\\ce{(...)}': function (input) { return mhchemParser.patterns.findObserveGroups(input, \"\\\\ce{\", \"\", \"\", \"}\"); },\n 'oxidation$': /^(?:[+-][IVX]+|\\\\pm\\s*0|\\$\\\\pm\\$\\s*0)$/,\n 'd-oxidation$': /^(?:[+-]?\\s?[IVX]+|\\\\pm\\s*0|\\$\\\\pm\\$\\s*0)$/, // 0 could be oxidation or charge\n 'roman numeral': /^[IVX]+/,\n '1/2$': /^[+\\-]?(?:[0-9]+|\\$[a-z]\\$|[a-z])\\/[0-9]+(?:\\$[a-z]\\$|[a-z])?$/,\n 'amount': function (input) {\n var match;\n // e.g. 2, 0.5, 1/2, -2, n/2, +; $a$ could be added later in parsing\n match = input.match(/^(?:(?:(?:\\([+\\-]?[0-9]+\\/[0-9]+\\)|[+\\-]?(?:[0-9]+|\\$[a-z]\\$|[a-z])\\/[0-9]+|[+\\-]?[0-9]+[.,][0-9]+|[+\\-]?\\.[0-9]+|[+\\-]?[0-9]+)(?:[a-z](?=\\s*[A-Z]))?)|[+\\-]?[a-z](?=\\s*[A-Z])|\\+(?!\\s))/);\n if (match) {\n return { match_: match[0], remainder: input.substr(match[0].length) };\n }\n var a = mhchemParser.patterns.findObserveGroups(input, \"\", \"$\", \"$\", \"\");\n if (a) { // e.g. $2n-1$, $-$\n match = a.match_.match(/^\\$(?:\\(?[+\\-]?(?:[0-9]*[a-z]?[+\\-])?[0-9]*[a-z](?:[+\\-][0-9]*[a-z]?)?\\)?|\\+|-)\\$$/);\n if (match) {\n return { match_: match[0], remainder: input.substr(match[0].length) };\n }\n }\n return null;\n },\n 'amount2': function (input) { return this['amount'](input); },\n '(KV letters),': /^(?:[A-Z][a-z]{0,2}|i)(?=,)/,\n 'formula$': function (input) {\n if (input.match(/^\\([a-z]+\\)$/)) { return null; } // state of aggregation = no formula\n var match = input.match(/^(?:[a-z]|(?:[0-9\\ \\+\\-\\,\\.\\(\\)]+[a-z])+[0-9\\ \\+\\-\\,\\.\\(\\)]*|(?:[a-z][0-9\\ \\+\\-\\,\\.\\(\\)]+)+[a-z]?)$/);\n if (match) {\n return { match_: match[0], remainder: input.substr(match[0].length) };\n }\n return null;\n },\n 'uprightEntities': /^(?:pH|pOH|pC|pK|iPr|iBu)(?=$|[^a-zA-Z])/,\n '/': /^\\s*(\\/)\\s*/,\n '//': /^\\s*(\\/\\/)\\s*/,\n '*': /^\\s*[*.]\\s*/\n },\n findObserveGroups: function (input, begExcl, begIncl, endIncl, endExcl, beg2Excl, beg2Incl, end2Incl, end2Excl, combine) {\n /** @type {{(input: string, pattern: string | RegExp): string | string[] | null;}} */\n var _match = function (input, pattern) {\n if (typeof pattern === \"string\") {\n if (input.indexOf(pattern) !== 0) { return null; }\n return pattern;\n } else {\n var match = input.match(pattern);\n if (!match) { return null; }\n return match[0];\n }\n };\n /** @type {{(input: string, i: number, endChars: string | RegExp): {endMatchBegin: number, endMatchEnd: number} | null;}} */\n var _findObserveGroups = function (input, i, endChars) {\n var braces = 0;\n while (i < input.length) {\n var a = input.charAt(i);\n var match = _match(input.substr(i), endChars);\n if (match !== null && braces === 0) {\n return { endMatchBegin: i, endMatchEnd: i + match.length };\n } else if (a === \"{\") {\n braces++;\n } else if (a === \"}\") {\n if (braces === 0) {\n throw [\"ExtraCloseMissingOpen\", \"Extra close brace or missing open brace\"];\n } else {\n braces--;\n }\n }\n i++;\n }\n if (braces > 0) {\n return null;\n }\n return null;\n };\n var match = _match(input, begExcl);\n if (match === null) { return null; }\n input = input.substr(match.length);\n match = _match(input, begIncl);\n if (match === null) { return null; }\n var e = _findObserveGroups(input, match.length, endIncl || endExcl);\n if (e === null) { return null; }\n var match1 = input.substring(0, (endIncl ? e.endMatchEnd : e.endMatchBegin));\n if (!(beg2Excl || beg2Incl)) {\n return {\n match_: match1,\n remainder: input.substr(e.endMatchEnd)\n };\n } else {\n var group2 = this.findObserveGroups(input.substr(e.endMatchEnd), beg2Excl, beg2Incl, end2Incl, end2Excl);\n if (group2 === null) { return null; }\n /** @type {string[]} */\n var matchRet = [match1, group2.match_];\n return {\n match_: (combine ? matchRet.join(\"\") : matchRet),\n remainder: group2.remainder\n };\n }\n },\n\n //\n // Matching function\n // e.g. match(\"a\", input) will look for the regexp called \"a\" and see if it matches\n // returns null or {match_:\"a\", remainder:\"bc\"}\n //\n match_: function (m, input) {\n var pattern = mhchemParser.patterns.patterns[m];\n if (pattern === undefined) {\n throw [\"MhchemBugP\", \"mhchem bug P. Please report. (\" + m + \")\"]; // Trying to use non-existing pattern\n } else if (typeof pattern === \"function\") {\n return mhchemParser.patterns.patterns[m](input); // cannot use cached var pattern here, because some pattern functions need this===mhchemParser\n } else { // RegExp\n var match = input.match(pattern);\n if (match) {\n var mm;\n if (match[2]) {\n mm = [ match[1], match[2] ];\n } else if (match[1]) {\n mm = match[1];\n } else {\n mm = match[0];\n }\n return { match_: mm, remainder: input.substr(match[0].length) };\n }\n return null;\n }\n }\n },\n\n //\n // Generic state machine actions\n //\n actions: {\n 'a=': function (buffer, m) { buffer.a = (buffer.a || \"\") + m; },\n 'b=': function (buffer, m) { buffer.b = (buffer.b || \"\") + m; },\n 'p=': function (buffer, m) { buffer.p = (buffer.p || \"\") + m; },\n 'o=': function (buffer, m) { buffer.o = (buffer.o || \"\") + m; },\n 'q=': function (buffer, m) { buffer.q = (buffer.q || \"\") + m; },\n 'd=': function (buffer, m) { buffer.d = (buffer.d || \"\") + m; },\n 'rm=': function (buffer, m) { buffer.rm = (buffer.rm || \"\") + m; },\n 'text=': function (buffer, m) { buffer.text_ = (buffer.text_ || \"\") + m; },\n 'insert': function (buffer, m, a) { return { type_: a }; },\n 'insert+p1': function (buffer, m, a) { return { type_: a, p1: m }; },\n 'insert+p1+p2': function (buffer, m, a) { return { type_: a, p1: m[0], p2: m[1] }; },\n 'copy': function (buffer, m) { return m; },\n 'rm': function (buffer, m) { return { type_: 'rm', p1: m || \"\"}; },\n 'text': function (buffer, m) { return mhchemParser.go(m, 'text'); },\n '{text}': function (buffer, m) {\n var ret = [ \"{\" ];\n mhchemParser.concatArray(ret, mhchemParser.go(m, 'text'));\n ret.push(\"}\");\n return ret;\n },\n 'tex-math': function (buffer, m) { return mhchemParser.go(m, 'tex-math'); },\n 'tex-math tight': function (buffer, m) { return mhchemParser.go(m, 'tex-math tight'); },\n 'bond': function (buffer, m, k) { return { type_: 'bond', kind_: k || m }; },\n 'color0-output': function (buffer, m) { return { type_: 'color0', color: m[0] }; },\n 'ce': function (buffer, m) { return mhchemParser.go(m); },\n '1/2': function (buffer, m) {\n /** @type {ParserOutput[]} */\n var ret = [];\n if (m.match(/^[+\\-]/)) {\n ret.push(m.substr(0, 1));\n m = m.substr(1);\n }\n var n = m.match(/^([0-9]+|\\$[a-z]\\$|[a-z])\\/([0-9]+)(\\$[a-z]\\$|[a-z])?$/);\n n[1] = n[1].replace(/\\$/g, \"\");\n ret.push({ type_: 'frac', p1: n[1], p2: n[2] });\n if (n[3]) {\n n[3] = n[3].replace(/\\$/g, \"\");\n ret.push({ type_: 'tex-math', p1: n[3] });\n }\n return ret;\n },\n '9,9': function (buffer, m) { return mhchemParser.go(m, '9,9'); }\n },\n //\n // createTransitions\n // convert { 'letter': { 'state': { action_: 'output' } } } to { 'state' => [ { pattern: 'letter', task: { action_: [{type_: 'output'}] } } ] }\n // with expansion of 'a|b' to 'a' and 'b' (at 2 places)\n //\n createTransitions: function (o) {\n var pattern, state;\n /** @type {string[]} */\n var stateArray;\n var i;\n //\n // 1. Collect all states\n //\n /** @type {Transitions} */\n var transitions = {};\n for (pattern in o) {\n for (state in o[pattern]) {\n stateArray = state.split(\"|\");\n o[pattern][state].stateArray = stateArray;\n for (i=0; i<stateArray.length; i++) {\n transitions[stateArray[i]] = [];\n }\n }\n }\n //\n // 2. Fill states\n //\n for (pattern in o) {\n for (state in o[pattern]) {\n stateArray = o[pattern][state].stateArray || [];\n for (i=0; i<stateArray.length; i++) {\n //\n // 2a. Normalize actions into array: 'text=' ==> [{type_:'text='}]\n // (Note to myself: Resolving the function here would be problematic. It would need .bind (for *this*) and currying (for *option*).)\n //\n /** @type {any} */\n var p = o[pattern][state];\n if (p.action_) {\n p.action_ = [].concat(p.action_);\n for (var k=0; k<p.action_.length; k++) {\n if (typeof p.action_[k] === \"string\") {\n p.action_[k] = { type_: p.action_[k] };\n }\n }\n } else {\n p.action_ = [];\n }\n //\n // 2.b Multi-insert\n //\n var patternArray = pattern.split(\"|\");\n for (var j=0; j<patternArray.length; j++) {\n if (stateArray[i] === '*') { // insert into all\n for (var t in transitions) {\n transitions[t].push({ pattern: patternArray[j], task: p });\n }\n } else {\n transitions[stateArray[i]].push({ pattern: patternArray[j], task: p });\n }\n }\n }\n }\n }\n return transitions;\n },\n stateMachines: {}\n };\n\n //\n // Definition of state machines\n //\n mhchemParser.stateMachines = {\n //\n // \\ce state machines\n //\n //#region ce\n 'ce': { // main parser\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': { action_: 'output' } },\n 'else': {\n '0|1|2': { action_: 'beginsWithBond=false', revisit: true, toContinue: true } },\n 'oxidation$': {\n '0': { action_: 'oxidation-output' } },\n 'CMT': {\n 'r': { action_: 'rdt=', nextState: 'rt' },\n 'rd': { action_: 'rqt=', nextState: 'rdt' } },\n 'arrowUpDown': {\n '0|1|2|as': { action_: [ 'sb=false', 'output', 'operator' ], nextState: '1' } },\n 'uprightEntities': {\n '0|1|2': { action_: [ 'o=', 'output' ], nextState: '1' } },\n 'orbital': {\n '0|1|2|3': { action_: 'o=', nextState: 'o' } },\n '->': {\n '0|1|2|3': { action_: 'r=', nextState: 'r' },\n 'a|as': { action_: [ 'output', 'r=' ], nextState: 'r' },\n '*': { action_: [ 'output', 'r=' ], nextState: 'r' } },\n '+': {\n 'o': { action_: 'd= kv', nextState: 'd' },\n 'd|D': { action_: 'd=', nextState: 'd' },\n 'q': { action_: 'd=', nextState: 'qd' },\n 'qd|qD': { action_: 'd=', nextState: 'qd' },\n 'dq': { action_: [ 'output', 'd=' ], nextState: 'd' },\n '3': { action_: [ 'sb=false', 'output', 'operator' ], nextState: '0' } },\n 'amount': {\n '0|2': { action_: 'a=', nextState: 'a' } },\n 'pm-operator': {\n '0|1|2|a|as': { action_: [ 'sb=false', 'output', { type_: 'operator', option: '\\\\pm' } ], nextState: '0' } },\n 'operator': {\n '0|1|2|a|as': { action_: [ 'sb=false', 'output', 'operator' ], nextState: '0' } },\n '-$': {\n 'o|q': { action_: [ 'charge or bond', 'output' ], nextState: 'qd' },\n 'd': { action_: 'd=', nextState: 'd' },\n 'D': { action_: [ 'output', { type_: 'bond', option: \"-\" } ], nextState: '3' },\n 'q': { action_: 'd=', nextState: 'qd' },\n 'qd': { action_: 'd=', nextState: 'qd' },\n 'qD|dq': { action_: [ 'output', { type_: 'bond', option: \"-\" } ], nextState: '3' } },\n '-9': {\n '3|o': { action_: [ 'output', { type_: 'insert', option: 'hyphen' } ], nextState: '3' } },\n '- orbital overlap': {\n 'o': { action_: [ 'output', { type_: 'insert', option: 'hyphen' } ], nextState: '2' },\n 'd': { action_: [ 'output', { type_: 'insert', option: 'hyphen' } ], nextState: '2' } },\n '-': {\n '0|1|2': { action_: [ { type_: 'output', option: 1 }, 'beginsWithBond=true', { type_: 'bond', option: \"-\" } ], nextState: '3' },\n '3': { action_: { type_: 'bond', option: \"-\" } },\n 'a': { action_: [ 'output', { type_: 'insert', option: 'hyphen' } ], nextState: '2' },\n 'as': { action_: [ { type_: 'output', option: 2 }, { type_: 'bond', option: \"-\" } ], nextState: '3' },\n 'b': { action_: 'b=' },\n 'o': { action_: { type_: '- after o/d', option: false }, nextState: '2' },\n 'q': { action_: { type_: '- after o/d', option: false }, nextState: '2' },\n 'd|qd|dq': { action_: { type_: '- after o/d', option: true }, nextState: '2' },\n 'D|qD|p': { action_: [ 'output', { type_: 'bond', option: \"-\" } ], nextState: '3' } },\n 'amount2': {\n '1|3': { action_: 'a=', nextState: 'a' } },\n 'letters': {\n '0|1|2|3|a|as|b|p|bp|o': { action_: 'o=', nextState: 'o' },\n 'q|dq': { action_: ['output', 'o='], nextState: 'o' },\n 'd|D|qd|qD': { action_: 'o after d', nextState: 'o' } },\n 'digits': {\n 'o': { action_: 'q=', nextState: 'q' },\n 'd|D': { action_: 'q=', nextState: 'dq' },\n 'q': { action_: [ 'output', 'o=' ], nextState: 'o' },\n 'a': { action_: 'o=', nextState: 'o' } },\n 'space A': {\n 'b|p|bp': {} },\n 'space': {\n 'a': { nextState: 'as' },\n '0': { action_: 'sb=false' },\n '1|2': { action_: 'sb=true' },\n 'r|rt|rd|rdt|rdq': { action_: 'output', nextState: '0' },\n '*': { action_: [ 'output', 'sb=true' ], nextState: '1'} },\n '1st-level escape': {\n '1|2': { action_: [ 'output', { type_: 'insert+p1', option: '1st-level escape' } ] },\n '*': { action_: [ 'output', { type_: 'insert+p1', option: '1st-level escape' } ], nextState: '0' } },\n '[(...)]': {\n 'r|rt': { action_: 'rd=', nextState: 'rd' },\n 'rd|rdt': { action_: 'rq=', nextState: 'rdq' } },\n '...': {\n 'o|d|D|dq|qd|qD': { action_: [ 'output', { type_: 'bond', option: \"...\" } ], nextState: '3' },\n '*': { action_: [ { type_: 'output', option: 1 }, { type_: 'insert', option: 'ellipsis' } ], nextState: '1' } },\n '. |* ': {\n '*': { action_: [ 'output', { type_: 'insert', option: 'addition compound' } ], nextState: '1' } },\n 'state of aggregation $': {\n '*': { action_: [ 'output', 'state of aggregation' ], nextState: '1' } },\n '{[(': {\n 'a|as|o': { action_: [ 'o=', 'output', 'parenthesisLevel++' ], nextState: '2' },\n '0|1|2|3': { action_: [ 'o=', 'output', 'parenthesisLevel++' ], nextState: '2' },\n '*': { action_: [ 'output', 'o=', 'output', 'parenthesisLevel++' ], nextState: '2' } },\n ')]}': {\n '0|1|2|3|b|p|bp|o': { action_: [ 'o=', 'parenthesisLevel--' ], nextState: 'o' },\n 'a|as|d|D|q|qd|qD|dq': { action_: [ 'output', 'o=', 'parenthesisLevel--' ], nextState: 'o' } },\n ', ': {\n '*': { action_: [ 'output', 'comma' ], nextState: '0' } },\n '^_': { // ^ and _ without a sensible argument\n '*': { } },\n '^{(...)}|^($...$)': {\n '0|1|2|as': { action_: 'b=', nextState: 'b' },\n 'p': { action_: 'b=', nextState: 'bp' },\n '3|o': { action_: 'd= kv', nextState: 'D' },\n 'q': { action_: 'd=', nextState: 'qD' },\n 'd|D|qd|qD|dq': { action_: [ 'output', 'd=' ], nextState: 'D' } },\n '^a|^\\\\x{}{}|^\\\\x{}|^\\\\x|\\'': {\n '0|1|2|as': { action_: 'b=', nextState: 'b' },\n 'p': { action_: 'b=', nextState: 'bp' },\n '3|o': { action_: 'd= kv', nextState: 'd' },\n 'q': { action_: 'd=', nextState: 'qd' },\n 'd|qd|D|qD': { action_: 'd=' },\n 'dq': { action_: [ 'output', 'd=' ], nextState: 'd' } },\n '_{(state of aggregation)}$': {\n 'd|D|q|qd|qD|dq': { action_: [ 'output', 'q=' ], nextState: 'q' } },\n '_{(...)}|_($...$)|_9|_\\\\x{}{}|_\\\\x{}|_\\\\x': {\n '0|1|2|as': { action_: 'p=', nextState: 'p' },\n 'b': { action_: 'p=', nextState: 'bp' },\n '3|o': { action_: 'q=', nextState: 'q' },\n 'd|D': { action_: 'q=', nextState: 'dq' },\n 'q|qd|qD|dq': { action_: [ 'output', 'q=' ], nextState: 'q' } },\n '=<>': {\n '0|1|2|3|a|as|o|q|d|D|qd|qD|dq': { action_: [ { type_: 'output', option: 2 }, 'bond' ], nextState: '3' } },\n '#': {\n '0|1|2|3|a|as|o': { action_: [ { type_: 'output', option: 2 }, { type_: 'bond', option: \"#\" } ], nextState: '3' } },\n '{}': {\n '*': { action_: { type_: 'output', option: 1 }, nextState: '1' } },\n '{...}': {\n '0|1|2|3|a|as|b|p|bp': { action_: 'o=', nextState: 'o' },\n 'o|d|D|q|qd|qD|dq': { action_: [ 'output', 'o=' ], nextState: 'o' } },\n '$...$': {\n 'a': { action_: 'a=' }, // 2$n$\n '0|1|2|3|as|b|p|bp|o': { action_: 'o=', nextState: 'o' }, // not 'amount'\n 'as|o': { action_: 'o=' },\n 'q|d|D|qd|qD|dq': { action_: [ 'output', 'o=' ], nextState: 'o' } },\n '\\\\bond{(...)}': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'bond' ], nextState: \"3\" } },\n '\\\\frac{(...)}': {\n '*': { action_: [ { type_: 'output', option: 1 }, 'frac-output' ], nextState: '3' } },\n '\\\\overset{(...)}': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'overset-output' ], nextState: '3' } },\n '\\\\underset{(...)}': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'underset-output' ], nextState: '3' } },\n '\\\\underbrace{(...)}': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'underbrace-output' ], nextState: '3' } },\n '\\\\color{(...)}{(...)}1|\\\\color(...){(...)}2': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'color-output' ], nextState: '3' } },\n '\\\\color{(...)}0': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'color0-output' ] } },\n '\\\\ce{(...)}': {\n '*': { action_: [ { type_: 'output', option: 2 }, 'ce' ], nextState: '3' } },\n '\\\\,': {\n '*': { action_: [ { type_: 'output', option: 1 }, 'copy' ], nextState: '1' } },\n '\\\\x{}{}|\\\\x{}|\\\\x': {\n '0|1|2|3|a|as|b|p|bp|o|c0': { action_: [ 'o=', 'output' ], nextState: '3' },\n '*': { action_: ['output', 'o=', 'output' ], nextState: '3' } },\n 'others': {\n '*': { action_: [ { type_: 'output', option: 1 }, 'copy' ], nextState: '3' } },\n 'else2': {\n 'a': { action_: 'a to o', nextState: 'o', revisit: true },\n 'as': { action_: [ 'output', 'sb=true' ], nextState: '1', revisit: true },\n 'r|rt|rd|rdt|rdq': { action_: [ 'output' ], nextState: '0', revisit: true },\n '*': { action_: [ 'output', 'copy' ], nextState: '3' } }\n }),\n actions: {\n 'o after d': function (buffer, m) {\n var ret;\n if ((buffer.d || \"\").match(/^[0-9]+$/)) {\n var tmp = buffer.d;\n buffer.d = undefined;\n ret = this['output'](buffer);\n buffer.b = tmp;\n } else {\n ret = this['output'](buffer);\n }\n mhchemParser.actions['o='](buffer, m);\n return ret;\n },\n 'd= kv': function (buffer, m) {\n buffer.d = m;\n buffer.dType = 'kv';\n },\n 'charge or bond': function (buffer, m) {\n if (buffer['beginsWithBond']) {\n /** @type {ParserOutput[]} */\n var ret = [];\n mhchemParser.concatArray(ret, this['output'](buffer));\n mhchemParser.concatArray(ret, mhchemParser.actions['bond'](buffer, m, \"-\"));\n return ret;\n } else {\n buffer.d = m;\n }\n },\n '- after o/d': function (buffer, m, isAfterD) {\n var c1 = mhchemParser.patterns.match_('orbital', buffer.o || \"\");\n var c2 = mhchemParser.patterns.match_('one lowercase greek letter $', buffer.o || \"\");\n var c3 = mhchemParser.patterns.match_('one lowercase latin letter $', buffer.o || \"\");\n var c4 = mhchemParser.patterns.match_('$one lowercase latin letter$ $', buffer.o || \"\");\n var hyphenFollows = m===\"-\" && ( c1 && c1.remainder===\"\" || c2 || c3 || c4 );\n if (hyphenFollows && !buffer.a && !buffer.b && !buffer.p && !buffer.d && !buffer.q && !c1 && c3) {\n buffer.o = '$' + buffer.o + '$';\n }\n /** @type {ParserOutput[]} */\n var ret = [];\n if (hyphenFollows) {\n mhchemParser.concatArray(ret, this['output'](buffer));\n ret.push({ type_: 'hyphen' });\n } else {\n c1 = mhchemParser.patterns.match_('digits', buffer.d || \"\");\n if (isAfterD && c1 && c1.remainder==='') {\n mhchemParser.concatArray(ret, mhchemParser.actions['d='](buffer, m));\n mhchemParser.concatArray(ret, this['output'](buffer));\n } else {\n mhchemParser.concatArray(ret, this['output'](buffer));\n mhchemParser.concatArray(ret, mhchemParser.actions['bond'](buffer, m, \"-\"));\n }\n }\n return ret;\n },\n 'a to o': function (buffer) {\n buffer.o = buffer.a;\n buffer.a = undefined;\n },\n 'sb=true': function (buffer) { buffer.sb = true; },\n 'sb=false': function (buffer) { buffer.sb = false; },\n 'beginsWithBond=true': function (buffer) { buffer['beginsWithBond'] = true; },\n 'beginsWithBond=false': function (buffer) { buffer['beginsWithBond'] = false; },\n 'parenthesisLevel++': function (buffer) { buffer['parenthesisLevel']++; },\n 'parenthesisLevel--': function (buffer) { buffer['parenthesisLevel']--; },\n 'state of aggregation': function (buffer, m) {\n return { type_: 'state of aggregation', p1: mhchemParser.go(m, 'o') };\n },\n 'comma': function (buffer, m) {\n var a = m.replace(/\\s*$/, '');\n var withSpace = (a !== m);\n if (withSpace && buffer['parenthesisLevel'] === 0) {\n return { type_: 'comma enumeration L', p1: a };\n } else {\n return { type_: 'comma enumeration M', p1: a };\n }\n },\n 'output': function (buffer, m, entityFollows) {\n // entityFollows:\n // undefined = if we have nothing else to output, also ignore the just read space (buffer.sb)\n // 1 = an entity follows, never omit the space if there was one just read before (can only apply to state 1)\n // 2 = 1 + the entity can have an amount, so output a\\, instead of converting it to o (can only apply to states a|as)\n /** @type {ParserOutput | ParserOutput[]} */\n var ret;\n if (!buffer.r) {\n ret = [];\n if (!buffer.a && !buffer.b && !buffer.p && !buffer.o && !buffer.q && !buffer.d && !entityFollows) {\n //ret = [];\n } else {\n if (buffer.sb) {\n ret.push({ type_: 'entitySkip' });\n }\n if (!buffer.o && !buffer.q && !buffer.d && !buffer.b && !buffer.p && entityFollows!==2) {\n buffer.o = buffer.a;\n buffer.a = undefined;\n } else if (!buffer.o && !buffer.q && !buffer.d && (buffer.b || buffer.p)) {\n buffer.o = buffer.a;\n buffer.d = buffer.b;\n buffer.q = buffer.p;\n buffer.a = buffer.b = buffer.p = undefined;\n } else {\n if (buffer.o && buffer.dType==='kv' && mhchemParser.patterns.match_('d-oxidation$', buffer.d || \"\")) {\n buffer.dType = 'oxidation';\n } else if (buffer.o && buffer.dType==='kv' && !buffer.q) {\n buffer.dType = undefined;\n }\n }\n ret.push({\n type_: 'chemfive',\n a: mhchemParser.go(buffer.a, 'a'),\n b: mhchemParser.go(buffer.b, 'bd'),\n p: mhchemParser.go(buffer.p, 'pq'),\n o: mhchemParser.go(buffer.o, 'o'),\n q: mhchemParser.go(buffer.q, 'pq'),\n d: mhchemParser.go(buffer.d, (buffer.dType === 'oxidation' ? 'oxidation' : 'bd')),\n dType: buffer.dType\n });\n }\n } else { // r\n /** @type {ParserOutput[]} */\n var rd;\n if (buffer.rdt === 'M') {\n rd = mhchemParser.go(buffer.rd, 'tex-math');\n } else if (buffer.rdt === 'T') {\n rd = [ { type_: 'text', p1: buffer.rd || \"\" } ];\n } else {\n rd = mhchemParser.go(buffer.rd);\n }\n /** @type {ParserOutput[]} */\n var rq;\n if (buffer.rqt === 'M') {\n rq = mhchemParser.go(buffer.rq, 'tex-math');\n } else if (buffer.rqt === 'T') {\n rq = [ { type_: 'text', p1: buffer.rq || \"\"} ];\n } else {\n rq = mhchemParser.go(buffer.rq);\n }\n ret = {\n type_: 'arrow',\n r: buffer.r,\n rd: rd,\n rq: rq\n };\n }\n for (var p in buffer) {\n if (p !== 'parenthesisLevel' && p !== 'beginsWithBond') {\n delete buffer[p];\n }\n }\n return ret;\n },\n 'oxidation-output': function (buffer, m) {\n var ret = [ \"{\" ];\n mhchemParser.concatArray(ret, mhchemParser.go(m, 'oxidation'));\n ret.push(\"}\");\n return ret;\n },\n 'frac-output': function (buffer, m) {\n return { type_: 'frac-ce', p1: mhchemParser.go(m[0]), p2: mhchemParser.go(m[1]) };\n },\n 'overset-output': function (buffer, m) {\n return { type_: 'overset', p1: mhchemParser.go(m[0]), p2: mhchemParser.go(m[1]) };\n },\n 'underset-output': function (buffer, m) {\n return { type_: 'underset', p1: mhchemParser.go(m[0]), p2: mhchemParser.go(m[1]) };\n },\n 'underbrace-output': function (buffer, m) {\n return { type_: 'underbrace', p1: mhchemParser.go(m[0]), p2: mhchemParser.go(m[1]) };\n },\n 'color-output': function (buffer, m) {\n return { type_: 'color', color1: m[0], color2: mhchemParser.go(m[1]) };\n },\n 'r=': function (buffer, m) { buffer.r = m; },\n 'rdt=': function (buffer, m) { buffer.rdt = m; },\n 'rd=': function (buffer, m) { buffer.rd = m; },\n 'rqt=': function (buffer, m) { buffer.rqt = m; },\n 'rq=': function (buffer, m) { buffer.rq = m; },\n 'operator': function (buffer, m, p1) { return { type_: 'operator', kind_: (p1 || m) }; }\n }\n },\n 'a': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': {} },\n '1/2$': {\n '0': { action_: '1/2' } },\n 'else': {\n '0': { nextState: '1', revisit: true } },\n '$(...)$': {\n '*': { action_: 'tex-math tight', nextState: '1' } },\n ',': {\n '*': { action_: { type_: 'insert', option: 'commaDecimal' } } },\n 'else2': {\n '*': { action_: 'copy' } }\n }),\n actions: {}\n },\n 'o': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': {} },\n '1/2$': {\n '0': { action_: '1/2' } },\n 'else': {\n '0': { nextState: '1', revisit: true } },\n 'letters': {\n '*': { action_: 'rm' } },\n '\\\\ca': {\n '*': { action_: { type_: 'insert', option: 'circa' } } },\n '\\\\x{}{}|\\\\x{}|\\\\x': {\n '*': { action_: 'copy' } },\n '${(...)}$|$(...)$': {\n '*': { action_: 'tex-math' } },\n '{(...)}': {\n '*': { action_: '{text}' } },\n 'else2': {\n '*': { action_: 'copy' } }\n }),\n actions: {}\n },\n 'text': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': { action_: 'output' } },\n '{...}': {\n '*': { action_: 'text=' } },\n '${(...)}$|$(...)$': {\n '*': { action_: 'tex-math' } },\n '\\\\greek': {\n '*': { action_: [ 'output', 'rm' ] } },\n '\\\\,|\\\\x{}{}|\\\\x{}|\\\\x': {\n '*': { action_: [ 'output', 'copy' ] } },\n 'else': {\n '*': { action_: 'text=' } }\n }),\n actions: {\n 'output': function (buffer) {\n if (buffer.text_) {\n /** @type {ParserOutput} */\n var ret = { type_: 'text', p1: buffer.text_ };\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n }\n }\n }\n },\n 'pq': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': {} },\n 'state of aggregation $': {\n '*': { action_: 'state of aggregation' } },\n 'i$': {\n '0': { nextState: '!f', revisit: true } },\n '(KV letters),': {\n '0': { action_: 'rm', nextState: '0' } },\n 'formula$': {\n '0': { nextState: 'f', revisit: true } },\n '1/2$': {\n '0': { action_: '1/2' } },\n 'else': {\n '0': { nextState: '!f', revisit: true } },\n '${(...)}$|$(...)$': {\n '*': { action_: 'tex-math' } },\n '{(...)}': {\n '*': { action_: 'text' } },\n 'a-z': {\n 'f': { action_: 'tex-math' } },\n 'letters': {\n '*': { action_: 'rm' } },\n '-9.,9': {\n '*': { action_: '9,9' } },\n ',': {\n '*': { action_: { type_: 'insert+p1', option: 'comma enumeration S' } } },\n '\\\\color{(...)}{(...)}1|\\\\color(...){(...)}2': {\n '*': { action_: 'color-output' } },\n '\\\\color{(...)}0': {\n '*': { action_: 'color0-output' } },\n '\\\\ce{(...)}': {\n '*': { action_: 'ce' } },\n '\\\\,|\\\\x{}{}|\\\\x{}|\\\\x': {\n '*': { action_: 'copy' } },\n 'else2': {\n '*': { action_: 'copy' } }\n }),\n actions: {\n 'state of aggregation': function (buffer, m) {\n return { type_: 'state of aggregation subscript', p1: mhchemParser.go(m, 'o') };\n },\n 'color-output': function (buffer, m) {\n return { type_: 'color', color1: m[0], color2: mhchemParser.go(m[1], 'pq') };\n }\n }\n },\n 'bd': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': {} },\n 'x$': {\n '0': { nextState: '!f', revisit: true } },\n 'formula$': {\n '0': { nextState: 'f', revisit: true } },\n 'else': {\n '0': { nextState: '!f', revisit: true } },\n '-9.,9 no missing 0': {\n '*': { action_: '9,9' } },\n '.': {\n '*': { action_: { type_: 'insert', option: 'electron dot' } } },\n 'a-z': {\n 'f': { action_: 'tex-math' } },\n 'x': {\n '*': { action_: { type_: 'insert', option: 'KV x' } } },\n 'letters': {\n '*': { action_: 'rm' } },\n '\\'': {\n '*': { action_: { type_: 'insert', option: 'prime' } } },\n '${(...)}$|$(...)$': {\n '*': { action_: 'tex-math' } },\n '{(...)}': {\n '*': { action_: 'text' } },\n '\\\\color{(...)}{(...)}1|\\\\color(...){(...)}2': {\n '*': { action_: 'color-output' } },\n '\\\\color{(...)}0': {\n '*': { action_: 'color0-output' } },\n '\\\\ce{(...)}': {\n '*': { action_: 'ce' } },\n '\\\\,|\\\\x{}{}|\\\\x{}|\\\\x': {\n '*': { action_: 'copy' } },\n 'else2': {\n '*': { action_: 'copy' } }\n }),\n actions: {\n 'color-output': function (buffer, m) {\n return { type_: 'color', color1: m[0], color2: mhchemParser.go(m[1], 'bd') };\n }\n }\n },\n 'oxidation': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': {} },\n 'roman numeral': {\n '*': { action_: 'roman-numeral' } },\n '${(...)}$|$(...)$': {\n '*': { action_: 'tex-math' } },\n 'else': {\n '*': { action_: 'copy' } }\n }),\n actions: {\n 'roman-numeral': function (buffer, m) { return { type_: 'roman numeral', p1: m || \"\" }; }\n }\n },\n 'tex-math': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': { action_: 'output' } },\n '\\\\ce{(...)}': {\n '*': { action_: [ 'output', 'ce' ] } },\n '{...}|\\\\,|\\\\x{}{}|\\\\x{}|\\\\x': {\n '*': { action_: 'o=' } },\n 'else': {\n '*': { action_: 'o=' } }\n }),\n actions: {\n 'output': function (buffer) {\n if (buffer.o) {\n /** @type {ParserOutput} */\n var ret = { type_: 'tex-math', p1: buffer.o };\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n }\n }\n }\n },\n 'tex-math tight': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': { action_: 'output' } },\n '\\\\ce{(...)}': {\n '*': { action_: [ 'output', 'ce' ] } },\n '{...}|\\\\,|\\\\x{}{}|\\\\x{}|\\\\x': {\n '*': { action_: 'o=' } },\n '-|+': {\n '*': { action_: 'tight operator' } },\n 'else': {\n '*': { action_: 'o=' } }\n }),\n actions: {\n 'tight operator': function (buffer, m) { buffer.o = (buffer.o || \"\") + \"{\"+m+\"}\"; },\n 'output': function (buffer) {\n if (buffer.o) {\n /** @type {ParserOutput} */\n var ret = { type_: 'tex-math', p1: buffer.o };\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n }\n }\n }\n },\n '9,9': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': {} },\n ',': {\n '*': { action_: 'comma' } },\n 'else': {\n '*': { action_: 'copy' } }\n }),\n actions: {\n 'comma': function () { return { type_: 'commaDecimal' }; }\n }\n },\n //#endregion\n //\n // \\pu state machines\n //\n //#region pu\n 'pu': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': { action_: 'output' } },\n 'space$': {\n '*': { action_: [ 'output', 'space' ] } },\n '{[(|)]}': {\n '0|a': { action_: 'copy' } },\n '(-)(9)^(-9)': {\n '0': { action_: 'number^', nextState: 'a' } },\n '(-)(9.,9)(e)(99)': {\n '0': { action_: 'enumber', nextState: 'a' } },\n 'space': {\n '0|a': {} },\n 'pm-operator': {\n '0|a': { action_: { type_: 'operator', option: '\\\\pm' }, nextState: '0' } },\n 'operator': {\n '0|a': { action_: 'copy', nextState: '0' } },\n '//': {\n 'd': { action_: 'o=', nextState: '/' } },\n '/': {\n 'd': { action_: 'o=', nextState: '/' } },\n '{...}|else': {\n '0|d': { action_: 'd=', nextState: 'd' },\n 'a': { action_: [ 'space', 'd=' ], nextState: 'd' },\n '/|q': { action_: 'q=', nextState: 'q' } }\n }),\n actions: {\n 'enumber': function (buffer, m) {\n /** @type {ParserOutput[]} */\n var ret = [];\n if (m[0] === \"+-\" || m[0] === \"+/-\") {\n ret.push(\"\\\\pm \");\n } else if (m[0]) {\n ret.push(m[0]);\n }\n if (m[1]) {\n mhchemParser.concatArray(ret, mhchemParser.go(m[1], 'pu-9,9'));\n if (m[2]) {\n if (m[2].match(/[,.]/)) {\n mhchemParser.concatArray(ret, mhchemParser.go(m[2], 'pu-9,9'));\n } else {\n ret.push(m[2]);\n }\n }\n m[3] = m[4] || m[3];\n if (m[3]) {\n m[3] = m[3].trim();\n if (m[3] === \"e\" || m[3].substr(0, 1) === \"*\") {\n ret.push({ type_: 'cdot' });\n } else {\n ret.push({ type_: 'times' });\n }\n }\n }\n if (m[3]) {\n ret.push(\"10^{\"+m[5]+\"}\");\n }\n return ret;\n },\n 'number^': function (buffer, m) {\n /** @type {ParserOutput[]} */\n var ret = [];\n if (m[0] === \"+-\" || m[0] === \"+/-\") {\n ret.push(\"\\\\pm \");\n } else if (m[0]) {\n ret.push(m[0]);\n }\n mhchemParser.concatArray(ret, mhchemParser.go(m[1], 'pu-9,9'));\n ret.push(\"^{\"+m[2]+\"}\");\n return ret;\n },\n 'operator': function (buffer, m, p1) { return { type_: 'operator', kind_: (p1 || m) }; },\n 'space': function () { return { type_: 'pu-space-1' }; },\n 'output': function (buffer) {\n /** @type {ParserOutput | ParserOutput[]} */\n var ret;\n var md = mhchemParser.patterns.match_('{(...)}', buffer.d || \"\");\n if (md && md.remainder === '') { buffer.d = md.match_; }\n var mq = mhchemParser.patterns.match_('{(...)}', buffer.q || \"\");\n if (mq && mq.remainder === '') { buffer.q = mq.match_; }\n if (buffer.d) {\n buffer.d = buffer.d.replace(/\\u00B0C|\\^oC|\\^{o}C/g, \"{}^{\\\\circ}C\");\n buffer.d = buffer.d.replace(/\\u00B0F|\\^oF|\\^{o}F/g, \"{}^{\\\\circ}F\");\n }\n if (buffer.q) { // fraction\n buffer.q = buffer.q.replace(/\\u00B0C|\\^oC|\\^{o}C/g, \"{}^{\\\\circ}C\");\n buffer.q = buffer.q.replace(/\\u00B0F|\\^oF|\\^{o}F/g, \"{}^{\\\\circ}F\");\n var b5 = {\n d: mhchemParser.go(buffer.d, 'pu'),\n q: mhchemParser.go(buffer.q, 'pu')\n };\n if (buffer.o === '//') {\n ret = { type_: 'pu-frac', p1: b5.d, p2: b5.q };\n } else {\n ret = b5.d;\n if (b5.d.length > 1 || b5.q.length > 1) {\n ret.push({ type_: ' / ' });\n } else {\n ret.push({ type_: '/' });\n }\n mhchemParser.concatArray(ret, b5.q);\n }\n } else { // no fraction\n ret = mhchemParser.go(buffer.d, 'pu-2');\n }\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n }\n }\n },\n 'pu-2': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '*': { action_: 'output' } },\n '*': {\n '*': { action_: [ 'output', 'cdot' ], nextState: '0' } },\n '\\\\x': {\n '*': { action_: 'rm=' } },\n 'space': {\n '*': { action_: [ 'output', 'space' ], nextState: '0' } },\n '^{(...)}|^(-1)': {\n '1': { action_: '^(-1)' } },\n '-9.,9': {\n '0': { action_: 'rm=', nextState: '0' },\n '1': { action_: '^(-1)', nextState: '0' } },\n '{...}|else': {\n '*': { action_: 'rm=', nextState: '1' } }\n }),\n actions: {\n 'cdot': function () { return { type_: 'tight cdot' }; },\n '^(-1)': function (buffer, m) { buffer.rm += \"^{\"+m+\"}\"; },\n 'space': function () { return { type_: 'pu-space-2' }; },\n 'output': function (buffer) {\n /** @type {ParserOutput | ParserOutput[]} */\n var ret = [];\n if (buffer.rm) {\n var mrm = mhchemParser.patterns.match_('{(...)}', buffer.rm || \"\");\n if (mrm && mrm.remainder === '') {\n ret = mhchemParser.go(mrm.match_, 'pu');\n } else {\n ret = { type_: 'rm', p1: buffer.rm };\n }\n }\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n }\n }\n },\n 'pu-9,9': {\n transitions: mhchemParser.createTransitions({\n 'empty': {\n '0': { action_: 'output-0' },\n 'o': { action_: 'output-o' } },\n ',': {\n '0': { action_: [ 'output-0', 'comma' ], nextState: 'o' } },\n '.': {\n '0': { action_: [ 'output-0', 'copy' ], nextState: 'o' } },\n 'else': {\n '*': { action_: 'text=' } }\n }),\n actions: {\n 'comma': function () { return { type_: 'commaDecimal' }; },\n 'output-0': function (buffer) {\n /** @type {ParserOutput[]} */\n var ret = [];\n buffer.text_ = buffer.text_ || \"\";\n if (buffer.text_.length > 4) {\n var a = buffer.text_.length % 3;\n if (a === 0) { a = 3; }\n for (var i=buffer.text_.length-3; i>0; i-=3) {\n ret.push(buffer.text_.substr(i, 3));\n ret.push({ type_: '1000 separator' });\n }\n ret.push(buffer.text_.substr(0, a));\n ret.reverse();\n } else {\n ret.push(buffer.text_);\n }\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n },\n 'output-o': function (buffer) {\n /** @type {ParserOutput[]} */\n var ret = [];\n buffer.text_ = buffer.text_ || \"\";\n if (buffer.text_.length > 4) {\n var a = buffer.text_.length - 3;\n for (var i=0; i<a; i+=3) {\n ret.push(buffer.text_.substr(i, 3));\n ret.push({ type_: '1000 separator' });\n }\n ret.push(buffer.text_.substr(i));\n } else {\n ret.push(buffer.text_);\n }\n for (var p in buffer) { delete buffer[p]; }\n return ret;\n }\n }\n }\n //#endregion\n };\n\n //\n // texify: Take MhchemParser output and convert it to TeX\n //\n /** @type {Texify} */\n var texify = {\n go: function (input, isInner) { // (recursive, max 4 levels)\n if (!input) { return \"\"; }\n var res = \"\";\n var cee = false;\n for (var i=0; i < input.length; i++) {\n var inputi = input[i];\n if (typeof inputi === \"string\") {\n res += inputi;\n } else {\n res += texify._go2(inputi);\n if (inputi.type_ === '1st-level escape') { cee = true; }\n }\n }\n if (!isInner && !cee && res) {\n res = \"{\" + res + \"}\";\n }\n return res;\n },\n _goInner: function (input) {\n if (!input) { return input; }\n return texify.go(input, true);\n },\n _go2: function (buf) {\n /** @type {undefined | string} */\n var res;\n switch (buf.type_) {\n case 'chemfive':\n res = \"\";\n var b5 = {\n a: texify._goInner(buf.a),\n b: texify._goInner(buf.b),\n p: texify._goInner(buf.p),\n o: texify._goInner(buf.o),\n q: texify._goInner(buf.q),\n d: texify._goInner(buf.d)\n };\n //\n // a\n //\n if (b5.a) {\n if (b5.a.match(/^[+\\-]/)) { b5.a = \"{\"+b5.a+\"}\"; }\n res += b5.a + \"\\\\,\";\n }\n //\n // b and p\n //\n if (b5.b || b5.p) {\n res += \"{\\\\vphantom{X}}\";\n res += \"^{\\\\hphantom{\"+(b5.b||\"\")+\"}}_{\\\\hphantom{\"+(b5.p||\"\")+\"}}\";\n res += \"{\\\\vphantom{X}}\";\n res += \"^{\\\\smash[t]{\\\\vphantom{2}}\\\\mathllap{\"+(b5.b||\"\")+\"}}\";\n res += \"_{\\\\vphantom{2}\\\\mathllap{\\\\smash[t]{\"+(b5.p||\"\")+\"}}}\";\n }\n //\n // o\n //\n if (b5.o) {\n if (b5.o.match(/^[+\\-]/)) { b5.o = \"{\"+b5.o+\"}\"; }\n res += b5.o;\n }\n //\n // q and d\n //\n if (buf.dType === 'kv') {\n if (b5.d || b5.q) {\n res += \"{\\\\vphantom{X}}\";\n }\n if (b5.d) {\n res += \"^{\"+b5.d+\"}\";\n }\n if (b5.q) {\n res += \"_{\\\\smash[t]{\"+b5.q+\"}}\";\n }\n } else if (buf.dType === 'oxidation') {\n if (b5.d) {\n res += \"{\\\\vphantom{X}}\";\n res += \"^{\"+b5.d+\"}\";\n }\n if (b5.q) {\n res += \"{\\\\vphantom{X}}\";\n res += \"_{\\\\smash[t]{\"+b5.q+\"}}\";\n }\n } else {\n if (b5.q) {\n res += \"{\\\\vphantom{X}}\";\n res += \"_{\\\\smash[t]{\"+b5.q+\"}}\";\n }\n if (b5.d) {\n res += \"{\\\\vphantom{X}}\";\n res += \"^{\"+b5.d+\"}\";\n }\n }\n break;\n case 'rm':\n res = \"\\\\mathrm{\"+buf.p1+\"}\";\n break;\n case 'text':\n if (buf.p1.match(/[\\^_]/)) {\n buf.p1 = buf.p1.replace(\" \", \"~\").replace(\"-\", \"\\\\text{-}\");\n res = \"\\\\mathrm{\"+buf.p1+\"}\";\n } else {\n res = \"\\\\text{\"+buf.p1+\"}\";\n }\n break;\n case 'roman numeral':\n res = \"\\\\mathrm{\"+buf.p1+\"}\";\n break;\n case 'state of aggregation':\n res = \"\\\\mskip2mu \"+texify._goInner(buf.p1);\n break;\n case 'state of aggregation subscript':\n res = \"\\\\mskip1mu \"+texify._goInner(buf.p1);\n break;\n case 'bond':\n res = texify._getBond(buf.kind_);\n if (!res) {\n throw [\"MhchemErrorBond\", \"mhchem Error. Unknown bond type (\" + buf.kind_ + \")\"];\n }\n break;\n case 'frac':\n var c = \"\\\\frac{\" + buf.p1 + \"}{\" + buf.p2 + \"}\";\n res = \"\\\\mathchoice{\\\\textstyle\"+c+\"}{\"+c+\"}{\"+c+\"}{\"+c+\"}\";\n break;\n case 'pu-frac':\n var d = \"\\\\frac{\" + texify._goInner(buf.p1) + \"}{\" + texify._goInner(buf.p2) + \"}\";\n res = \"\\\\mathchoice{\\\\textstyle\"+d+\"}{\"+d+\"}{\"+d+\"}{\"+d+\"}\";\n break;\n case 'tex-math':\n res = buf.p1 + \" \";\n break;\n case 'frac-ce':\n res = \"\\\\frac{\" + texify._goInner(buf.p1) + \"}{\" + texify._goInner(buf.p2) + \"}\";\n break;\n case 'overset':\n res = \"\\\\overset{\" + texify._goInner(buf.p1) + \"}{\" + texify._goInner(buf.p2) + \"}\";\n break;\n case 'underset':\n res = \"\\\\underset{\" + texify._goInner(buf.p1) + \"}{\" + texify._goInner(buf.p2) + \"}\";\n break;\n case 'underbrace':\n res = \"\\\\underbrace{\" + texify._goInner(buf.p1) + \"}_{\" + texify._goInner(buf.p2) + \"}\";\n break;\n case 'color':\n res = \"{\\\\color{\" + buf.color1 + \"}{\" + texify._goInner(buf.color2) + \"}}\";\n break;\n case 'color0':\n res = \"\\\\color{\" + buf.color + \"}\";\n break;\n case 'arrow':\n var b6 = {\n rd: texify._goInner(buf.rd),\n rq: texify._goInner(buf.rq)\n };\n var arrow = \"\\\\x\" + texify._getArrow(buf.r);\n if (b6.rq) { arrow += \"[{\" + b6.rq + \"}]\"; }\n if (b6.rd) {\n arrow += \"{\" + b6.rd + \"}\";\n } else {\n arrow += \"{}\";\n }\n res = arrow;\n break;\n case 'operator':\n res = texify._getOperator(buf.kind_);\n break;\n case '1st-level escape':\n res = buf.p1+\" \"; // &, \\\\\\\\, \\\\hlin\n break;\n case 'space':\n res = \" \";\n break;\n case 'entitySkip':\n res = \"~\";\n break;\n case 'pu-space-1':\n res = \"~\";\n break;\n case 'pu-space-2':\n res = \"\\\\mkern3mu \";\n break;\n case '1000 separator':\n res = \"\\\\mkern2mu \";\n break;\n case 'commaDecimal':\n res = \"{,}\";\n break;\n case 'comma enumeration L':\n res = \"{\"+buf.p1+\"}\\\\mkern6mu \";\n break;\n case 'comma enumeration M':\n res = \"{\"+buf.p1+\"}\\\\mkern3mu \";\n break;\n case 'comma enumeration S':\n res = \"{\"+buf.p1+\"}\\\\mkern1mu \";\n break;\n case 'hyphen':\n res = \"\\\\text{-}\";\n break;\n case 'addition compound':\n res = \"\\\\,{\\\\cdot}\\\\,\";\n break;\n case 'electron dot':\n res = \"\\\\mkern1mu \\\\bullet\\\\mkern1mu \";\n break;\n case 'KV x':\n res = \"{\\\\times}\";\n break;\n case 'prime':\n res = \"\\\\prime \";\n break;\n case 'cdot':\n res = \"\\\\cdot \";\n break;\n case 'tight cdot':\n res = \"\\\\mkern1mu{\\\\cdot}\\\\mkern1mu \";\n break;\n case 'times':\n res = \"\\\\times \";\n break;\n case 'circa':\n res = \"{\\\\sim}\";\n break;\n case '^':\n res = \"uparrow\";\n break;\n case 'v':\n res = \"downarrow\";\n break;\n case 'ellipsis':\n res = \"\\\\ldots \";\n break;\n case '/':\n res = \"/\";\n break;\n case ' / ':\n res = \"\\\\,/\\\\,\";\n break;\n default:\n assertNever(buf);\n throw [\"MhchemBugT\", \"mhchem bug T. Please report.\"]; // Missing texify rule or unknown MhchemParser output\n }\n assertString(res);\n return res;\n },\n _getArrow: function (a) {\n switch (a) {\n case \"->\": return \"rightarrow\";\n case \"\\u2192\": return \"rightarrow\";\n case \"\\u27F6\": return \"rightarrow\";\n case \"<-\": return \"leftarrow\";\n case \"<->\": return \"leftrightarrow\";\n case \"<-->\": return \"rightleftarrows\";\n case \"<=>\": return \"rightleftharpoons\";\n case \"\\u21CC\": return \"rightleftharpoons\";\n case \"<=>>\": return \"rightequilibrium\";\n case \"<<=>\": return \"leftequilibrium\";\n default:\n assertNever(a);\n throw [\"MhchemBugT\", \"mhchem bug T. Please report.\"];\n }\n },\n _getBond: function (a) {\n switch (a) {\n case \"-\": return \"{-}\";\n case \"1\": return \"{-}\";\n case \"=\": return \"{=}\";\n case \"2\": return \"{=}\";\n case \"#\": return \"{\\\\equiv}\";\n case \"3\": return \"{\\\\equiv}\";\n case \"~\": return \"{\\\\tripledash}\";\n case \"~-\": return \"{\\\\mathrlap{\\\\raisebox{-.1em}{$-$}}\\\\raisebox{.1em}{$\\\\tripledash$}}\";\n case \"~=\": return \"{\\\\mathrlap{\\\\raisebox{-.2em}{$-$}}\\\\mathrlap{\\\\raisebox{.2em}{$\\\\tripledash$}}-}\";\n case \"~--\": return \"{\\\\mathrlap{\\\\raisebox{-.2em}{$-$}}\\\\mathrlap{\\\\raisebox{.2em}{$\\\\tripledash$}}-}\";\n case \"-~-\": return \"{\\\\mathrlap{\\\\raisebox{-.2em}{$-$}}\\\\mathrlap{\\\\raisebox{.2em}{$-$}}\\\\tripledash}\";\n case \"...\": return \"{{\\\\cdot}{\\\\cdot}{\\\\cdot}}\";\n case \"....\": return \"{{\\\\cdot}{\\\\cdot}{\\\\cdot}{\\\\cdot}}\";\n case \"->\": return \"{\\\\rightarrow}\";\n case \"<-\": return \"{\\\\leftarrow}\";\n case \"<\": return \"{<}\";\n case \">\": return \"{>}\";\n default:\n assertNever(a);\n throw [\"MhchemBugT\", \"mhchem bug T. Please report.\"];\n }\n },\n _getOperator: function (a) {\n switch (a) {\n case \"+\": return \" {}+{} \";\n case \"-\": return \" {}-{} \";\n case \"=\": return \" {}={} \";\n case \"<\": return \" {}<{} \";\n case \">\": return \" {}>{} \";\n case \"<<\": return \" {}\\\\ll{} \";\n case \">>\": return \" {}\\\\gg{} \";\n case \"\\\\pm\": return \" {}\\\\pm{} \";\n case \"\\\\approx\": return \" {}\\\\approx{} \";\n case \"$\\\\approx$\": return \" {}\\\\approx{} \";\n case \"v\": return \" \\\\downarrow{} \";\n case \"(v)\": return \" \\\\downarrow{} \";\n case \"^\": return \" \\\\uparrow{} \";\n case \"(^)\": return \" \\\\uparrow{} \";\n default:\n assertNever(a);\n throw [\"MhchemBugT\", \"mhchem bug T. Please report.\"];\n }\n }\n };\n\n //\n // Helpers for code anaylsis\n // Will show type error at calling position\n //\n /** @param {number} a */\n function assertNever(a) {}\n /** @param {string} a */\n function assertString(a) {}\n",
"type": "application/javascript",
"title": "$:/plugins/tiddlywiki/katex/mhchem.min.js",
"module-type": "library"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_AMS-Regular.woff": {
"text": "d09GRgABAAAAAJfUAA4AAAABFTwAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAABPUy8yAACI+AAAAFIAAABgRn5aCmNtYXAAAIlMAAACWgAABGrY0j2RY3Z0IAAAkbQAAAAgAAAALgfFB59mcGdtAACLqAAABYsAAAuX2BTb8Gdhc3AAAJfMAAAACAAAAAgAAAAQZ2x5ZgAAAUQAAIN6AADscAY6+Q9oZWFkAACG8AAAADYAAAA2EIN082hoZWEAAIjYAAAAIAAAACQERwgTaG10eAAAhygAAAGuAAAEHP0cJNRsb2NhAACE4AAAAhAAAAIQaU+kKm1heHAAAITAAAAAIAAAACACSwyAbmFtZQAAkdQAAAK6AAAGz8vN2PZwb3N0AACUkAAAAzwAAAk/V4vQ9HByZXAAAJE0AAAAfQAAAIqPieHHeNrcuwd821aSB/xmXkMhAIIAQVJUIymRlGRJtiiSrjIdx01yr1HkEltOjxMnTpzqVDub2953c7ne++W7ve29Xi/be+/9ejf94YGk7Gjt9X69mPrpR+DNgDLmP/838x+QIJkghPw5JgklkmhvFgwILh2puBW3VHELE68/PjGByfPfn4D/hTDiXriA78V3kzrZTHaTQ+SXGvFtoLHr9++jBKqAhE7PPF/eeV2jl2gEiAZHCWOJGV1yanBCcE4Aoo1bszPPj0RWTGP3dEx/0uqnGri4dXa2kQVycG73zlUrJ8aHhwr57q6kJwWpQ90U/sjgRK06WSoVpEj6qV5M+lIU8qVidbJWmajVa16iNpEKvEQqqEwElyzWfVkpFRGreeUXLpfGsCSFrEzIYqkavpGpShFe5izp1r+EpsuTtuDHb2LSlHafZp14ULMZvOjxWKpXGoK98VnUzFtiLxbmuQch77zKpbMwWtP94cyxY//JqOWCzKSx4Jnd27KJ0cFhm3JNk5IxoUkzYWUhDzWNQfPTzc8ml5pSEwy5oZk5z9biMARpmKMy13z73thzcOcZd+Ud179N5nr6SqCjIEQQM4zYHfj7ZAlZTjaSWXIXeRR+eeZ5I7z7HgFKppejTm0wZB3QYNNhYMxwKYiWQKPLQdc6q1ytlq7keCWf2dnZ1seNEJ1KqsuTMZDEQGkc1QSjlJI5Qog1Y3JEhDkC4MLW9p+xjGhUp5p+kujK884FT8Hwio6l/+2fVf7f91k/i4/zQp/Z8F+jd8WKRx85fc+unVs2r9i4YuO6tdXJ8bHhcn9fVzpvieSIN1mvBKnwpTApZaXzTshCCM96rVILwRsvFYulEK+peiEVxEOrUslN1RTei4W8FCKZ9IqFUipQrhP10EGteJPFcFn6nYsX/Eq4Vpos5YuFQjI8qVLBNN/7gfSdvabubTTgVEpi9+9l3/F2k+nZ7gQP/3nZHsm+JG/njPHbJatvWT3lJgLfpG9P6KGTNagPTCYtYa3csiIBIG0R9EmG8fK+Z+Zo2Soi47aZPoPPShOZv7OvL+vXh0TpSGIgZt/by9HUBJPIjQT2JgwJkqUtPXH+7xOaZeHEebl6083duiXzNz0EJkOra2LJzGS8pxD0esnVzd0rZ2yuPtMdn9o56oA3vPVsnD7zlCmlIISS0oUf4h/ge8haspccJu9sOAPAcT9odN8gEq1DXmUdiCY0Ik6GLhqh2jzhHOcYICZmJAgRRdODDo0VF+xDE8Ip8vmO4wusG0t+qiGAONCxF7AjBEoGyNzs1plVKybGc/3dXWnf0MlaWGtE1BYFUEiRV0AohrCo1SdqFRXBKNyViVQqKUWp2HoVWqDwO8tQK4iIFpWDslBXGKyKgigUwnP489K007PD1zzaOHAyznnw3AO0bC6fqPfEpIPU0QRjVkxQLT6xeXhIX1IcHk0OPRWUDu9ym7fYtLpsH+9+eMCUYl2CUg9+kzHDciwfX2MI3e5fZQdB77kjwb3Bfa92kCYDxj2Py49KyYWdSW/I+cXiG+cPbHydTMy48KWEN77/9SO9z67xpVz7iuyj2TNiNTI7YISReMhvz4f8ViIryEwY0+ca+rVrVleZRqEdzTzRKFINT+qXUoBcyEq7FccrWDqLLK9qFCV5w1u1csf2dY2VM6tmUpOZ4pJlhuga8erhPhNmZKoTukKh1EroKbyY0JOpyUIhjIvbTupEGK68dMOgLKRxmMgqqcP3KnJjWFCXlL/5zMa+W8556PS/8Q7rD39bcq6nHEenlLJ4kJH8i9XlnFJ+QjKkMJtPsVhvue+eBJqZ24I/2+2MPF4oDq5mpgQut+FvM6oFTx51a9uX3nBfDJkUXEqgQuq4VDcESh7rQnBize82v287lgVdkLRoLHv+70WcmcN/V4xPLX3JiGx+5l239u1704a54lAXMirFYcJJLIzZ42HM9pFj5FZyipwBr9EzAULOH91JNXE7GNpdAMZtQOH+GxCJCCMZC+OzmmjCEJoRpiUQoOSkAwTDnzuJER4acNQydSqEnCVSWjNxO8YZIpsljNmsQ/P/+6/hhtf43+TuLHJXuBi6914g956595Ebjx86eGD/ppD8a9WlYemSz2UzXsKOSUH2wT5XZXg+CnWLljs5HSSFkDLXhk20DbRAU6/nglTSD0uYdsKHngpjyWizUNYt1NH2blEMkVXnVQW0FI1qGvUxXnidfFTgwJtdu+dQGhHjyzYse7iXutLEbsHOv0/hKjPEORflbPhe4phGuaVpjBZ8Nz9ZWykNiu7kSjduWpIx+dHbWPjvNkTOYWPVjDkP2THblnpC/x3OgGb6nL4Ph9XZSvn0M5puOcMH0pbEPxCsBTlqazhhWFTymGRU2zudNuOlfFJzTeDG4C0P8f7+oS6PUoP9wrNcwAd1+/yf25qQFk7E+kdRcqf5sZ22JTXOLN78PHR18cDRkbAIhZtDFG4nh8g8uYP8TSNAINrhgwjk1htTlFE4DkhZm0ZGCQUEiidNXVJCtNkYaJo1Q9ppH58xBDLGZwnnNo8Y5UpOzhWd/rfYu1zhKUXIDUcO7Nu5g2wn21OBP5gsjo1ZonsEghb7Kwwp+s8LqV7JegsOlYl2AdABma94KTx5BXCl2rCZqBaLVU8BZwE34RtcQzWpxcJ4l93abM7iOiI6S+tOPGFwRGG4+Z5rfKSp4gAzTdF8fjGGPh5hpFqRiBymamYGH9ENJxFPUvx9rv0+M6Rw/bRT0rLIdaE9+hCy/lx/LmVor3u90P2l+R75xDPSNUCa9uWAU2jBgjnbPg3JEBfSx+bHtuuGYeoOJSyqC34Y1gU7yFFyOzlD3tsI4kDJPOhwzEWhP3Bqbpgx3sHCEAGiC9DniTANSTkTaiOnZA6BkMQMYUybI5rmaR0clNQCBULnL+d5iXljyU+31DR2oG3PNFUi+CfuuOPMiTO33FQe9FPlKT/fiImeEbhaJaDiHa3Xq5OtIIcEoiAhWqsRWC5WFqmL1UIqtK9WLykVvEJUTSYnarXwagpesO+n1wr7y7l6vDzrS+kst4VpClgtuakVEiZqN26+thJ/6FcCVXL0tAqJ7CO+3hDwlk4NMfpiGdMYZHPCQW5KfOflK4jtH3vd9nUB88X0Oq8Qk0YEDM3IBVvXo53zKNqvPh1VIKva9cUb1unUmv1g4g/7WtVF86263WVunTFiKBvLexKaqiDtkDX+K2SN68lN5D7ywYaBQHQNTIJtbAwTkzAw2dGQ/A2NE6LPJizUdWvGdSSnHABnL+2Cr+zhXMbjZzZudcd5Qk7dc+KO+WOHDoZ/cdimb53ZcG1jbUgUyaSfTCc9hZUWEQgp3HgYyYgYFAm0KKBajbjhytSgYKPcW5tNi24KbUTJhd6kGIdJ5btwjD82uciWJaIsd0luNj8gTVNPD3P+r5wPZXRdl+GpRTQBU9IwZLaXxxD/FYDpIHq7Qj/5EXYHYyz8hfB60E6ozuQEC7lDGpJJaonm15vf4DaVXJ2wTUnt2KPnzj2p2fQKhMFsQ1LGeu567LEgP6U2AwWgJXqLRzSJG5tf3Ph6c4XXOUEIEv/CBbg7xMYoWUEee8swIuGdIrRLMCSEz2rAuTUjKao4kQUULLJwFllccbEV5QQhqogIP3e0HCTTg7rILIrqJWFdaCDddgirit4vDdRNKjIjgjHmdUWhebtkTM90eUwIMZIND+Qnw9vLOTvB8YX3WGocWzeZa5JL5JoBGejSLSpZuKZuYOz8h2LRDVTZJC68Cb4U3rFx0iC7yfVvqcURZOeedRNCJBCpmJADPyIYItJZQqml7smVl53wrjRiG9Z7ywO/lMlr4f+mPlnrbHkt2lP/+0t5r32vUME4rKFA3RDXlaJFoV6cThbbvNmLVXVKlHNxZyhp2zRdMSUyr9CjQAszEWjLgiP6R/fENh6Cg+pmSYkIm1NIfxTYbkwkmKkzA63evmGZKvSkPYp9JoxBRXdesInFYs0fN79nrLuGr8JXaVqq+ffND1kxCCU1SINz/k1WwpJWxqU6BzOOLiGMBBcuUBLe0yPhTnaaPEN+rRHfuWUp5eKBU2sTcQ68w1T9lqlLxrkIYSWENRMSCkMOQOYoEGKTFjIX2zmXsbuKiUsUSjNPn73zxC03Hzt66ODe3dtn/CBkod5k3gmLlUFfdnS5Wi1EZUnKZBBcmXWqL6CdZKrVFxXbdpXONhZGi0TaHlwC71z4PvxsVQjR0mS0qy1rbWDhKiXG0+e4cMwYp7kDx+IWYoz1ddiIsYtsxFiHjQY0jnj7Tbbtu75kjz0WM7WRXcHPQ1e8y5bG+X+6SE3z/k7J0aLpdS+Ox7rhxhszj1rM0SWTUjOAy+FbT1n23ce6OG3RksqhDi21EyjiJU1M3vT0zYc9N5XoptQRkmm6NNd7rwZsPh/viuEtl/BU3ISAOSYmmyd+DRwrxQHvfaRgC4cRutCJTZINZBd57s0NwIW+udCpRQ0pKACZjfpmXeP0BU3VyBVMncWmV7dqtUh+vbprZ9jCb6hvSAWZ5JDvmmECe52Ad/YpBYeFlijpX3ZzSlUvdjs0HtrDZIgTdYnwnCuhqgKYDlyGKM3lW8Zr242oz8lysbjP+eItURQRGaWAcFBQjQUDlmqUVUxQGLL5/eb3zLjARGpHOW1J+SYK2k/uLT18oUWBALokxs7/kSgxoBKDpNbS2OkvtfP3cfIi8isN/8zJW2/aPlVOCW4QgOlzQGBLK0h9MZNRAJyjgGjNWLrkjBAxa2gohC2iAC02cy5j9tMtXKECE9x/7113qPS9bn+v7434q6op1xY9IbdWaiGhLqSmYtpeTPnt6rPSTlRJo41I7UULBYbyW41FKbAlZJm4YKMyP5RHoBSG0PNCw/B9KIy4KnZ+pVJS2e6FHwD5ZPhJVTcYtWQ87nULEOz0KQ5bjmaBHT3K+X2npa5TZ9RiiDQVYz7LmM2/aJ8TAH1nbgAtRvHRM4gsCNe5qfL9zyWDTccP6PSRB0wzkAY8WKlIyXBqSphIkWv0/DauMzchu0xcipSZyKVgDH776+vxG9/lqDJby+jN/2n+jzRSHBh4hmRxXYYOwDZeu78AW5uf/zIyRBiG4QukeaFl1+J/DV71A5M1v9r8TtCzLDz6BJ5/nxNjDE3H6flHMOwYPf+7jEJUd/wA3xMiZhPZTV7fSMQBcOfmFV0mJzABYkHW7NM5jULMIqxIUA0m0TR7hgjhtbCy2My5jFmjjwhNnLqCmasprNgz0/5QUPRHCtmEEWEkKjpU2REBJYRJCyWp6uTCRlzp9KRSuG6EB0qlfykaBosKDiRVn6ym7YTwMaDopLvT5l33IAztLf4K0nRfIHVdvlcyZmjjgjIZ4/RLn6aUpvpSqtn4c8mx+htvfyAO8H7AuCkRQU+bIMKDNI9zG6F2YtlHf+sPzKSLosXDOmcDZvNvmh+TnCNkIfjm97R0Z/VtH/s3H89/GGxjRO2/+bCX/FY4I8uQGtlJ7iY3vmV+BVLs0GqSIJI5EvWJlC5Skr3wPFKC85cuNdIXzwLQA+01qiTityzpGc4xkR6BqL5Re6oUrUFWKxdD3Se8u7LTvbWbQvWaVCY81BaFtLFloBZLxchzsKUaJJOpBblSJtspHYUMtg0kjm275kDcNNNrjv7Krx6d9ilNbpn+Tp95zUjNo9SrpXJOf5/bH9Q8xrxatvlVZwUd1VjM5St97dcsROztNim8kXUJCwH6nAxqfb0AaC3L7u9zJvHtrNx/YnPxxcXDq44fn74/saySOL1lm8ehXDvbt/6a3qdrvs2Y41VfVD45fK6a+ZuTuzMCDYetOlIufWKnpEO7NvRu3vIhdKgEgJGlm5/cGazbNwQAMtt18JWz6/vXtjXkfWH+1MgGcl3Iuq9668FtG6i+ULzniE4AdZjXBHLeEZAXyk2bRuG7vKGzyPBqNi6N0mf3zlIqmSn6GaUc93SU41YkogC3FZtFNdIiIbl+UUcuum6qWCy0kJDsxLEeboyti6pTUWCT8rdfsqW3XNwd715ZWOVPrQSgBvC+tG6aMuTNxaryp+QJpSrfztlH5MhkUgskLY/KqiVX0pwjUj0c9KE9RTcSlLXk0uOjPbXehMkfSuZWJxm0Kp3LqcuD1oK6rNmQhu69a8eZiLMXvxjta7JLndvQZomRzTeNSJYY3rlmyCGEh7k3E+XeKNlC5sPd87Xk8w37PmDUAc5eAQIUF/aEkRogwIWAW4ggKPAGQmknJ5W8JqEj4kUDhI4tC88D40cXO0XxHw4tyx1LQigjdP4SD0RxgAiBB5XrzmxjSJlyECevajuraqInn3jZS5547ZOvXbNq6Xhvd9LLaSLZyvlOygsZUaUKYypoU8A4diY74ckWCywigdY0Qb6QBKpLO8LP4BgqFrjIAWLBq0gL4cpFHUm5lGBbuu/otmdyihN695StdGbbcDrjcytWW73mob35NEtMr2gRxcy3h1s8kai/kCe6P0vbLLEiqf1aHBRH0Dico47FbQDotyOaQGkolohXPr+SUSxO7Y51ZbSYPXBtwlS8cc1rii8ePLy6b1zjsq9nUFCqdbv+3vySOEutmH7APR4yyY6kLC9/qu9s77nlLR6pvai8vD58rpZ2WItHVhwtlz6+y2CoiOSVuZOTYEjU6Oj4pqciImEostm5kEdya8//EiIbn7IAEO16LkF4xCvvD3llBdlI9pPbyAPAZp4fC3ESJyDI/HUbqSEcQINOZ194ygxPzbbAN0iMmIlIDTyqSxSizT6WximlMMtgYYB1JWPnMsZjP5uxGw0tiWmgYeLJ0B6uZh6Nt0/fd8/dJ+/as2vrzDXrVq+q18bHyqVCvjubTuXtS8bbbTZbmEYXQgAVrtT3LZp1KzoLp9YK0206q9VLFwky6bfojCrGy8tCeFHlY77/A+lHRi1uFW5N3LV3wIu9Vc4fKy70eIsVJ/YFeVerd2Nf2UkLJc+g8ZGly3K+zyw7PB9nwLpveKIKgrp2uveB3kNWtTXWTm/tSeQmujcFXPuFu3Vu65p89g2Pnejm9HKCE0tb7X4tIrozZwJuycE7XySsVX8rTWciPVTuHkwyDkbvkaPNX59GOvb2MWcVYaT7wr9gHN8TousYOUdeB9ONxBrg7N7jx7ZNcV1zgESMZ0WPREjJ5gQwFpKcpvE5opQoouut0eZMW7/uNP65q7q4i11ayrhOgOgwf1nXRQ6RMh7aEKkxOd/x7Jg7i80nflZzV0kSAz9pScNFSo4seKhKVQHWe/UrDx+a2bJqRa1aGR8veHkjLKgG27O0QotLS50RWlRkXVIHhT9qqTN7b+nti2cvLTf1QycLQiFS4X+wvqDEt5Ar23tw56UArPwjNKuep4jxN95Z6O7rzqfjuiY5Y9Iy87Jk10cqOT+uS2v79I0HsyP3YCE2Xk4NKisumYIrd25YZsvxdSi3791yIBvsRs4Mw7QSTDbfwzCxpDy0Z3Z/XNOYyA47Xldp31T5Gk/0IKOAFCArdAvd9x6+nRmmiOlM3n0PMygNilVzyBhI6D2pbJAcWNW/5Mja1cs2u0htnVkGl++Xum4A9cf36lgf40b/ilzf2olReKQHkTsJrmfjiaHpifLQwOC1Y8lC3rOzpbUjfYWgVEgH5dFEsq8pkLOVIGzqq/o6F7LqwxGrriVbyA7yqw2fExRkes0KwUDg9IiPotMf5zmACA/xZERabRFDW1y7XcHSWWR5VaN29bZ1xk/64wP++GAiqt5q0XBW4aiNCTV9jV86cetosq5ir4jAItDV64VQqAK30C7ivGjulqMaXqtRZjBhxmXRGa2akno92YjCnhemKbt7fM7MiaVOUTqmJiTbaa1wdOibU5ot+8JKy9fxVzRKtbdIFtO6ss6wlmHY/Hzz84bBMaIo25TIDRtyMIgsow07mW49xuXr9IpjNn8Mw81PNb+R0rQEpit63CSUxMO+58NhXDaSQ+RG8tSbbwROFqRcPXpujRA6a0ikNKQCzhf1PottnBfYNDKEE+BwZLEViTQjNQbdsjn87I3dI36QWVFQmhEEbR2wUzO30mrysiKiv1AXXSxxonjVeVRGd9qnoNJOzfB0eJRM4dtjpjbo6wyQuZPrHzIfnhqKcWSykNY4l7BCmqbIjrJwXcar8WJlML5ET0gAOdClmbHmP/JbOaKZ6+6SudArayI85AzroCH+vhY3K+nay9/m7aitFuuGVye+/nk7xoTkQqoICRbjq373zZt61/s8IZf45Yr9K78cG6/EpKYt0cExP/rp2MaBboObUh+4Nv6j75pZGx0qCCUk1Az+LozWGrKTXE/ubZh792zdsrwi6ELMAk227jTMcQCI2NvrpMviVaez2ggIJfTUotUoSPb1121Y708G5eKAp6vwTHb6/omgM3qU1MZW7YpR5VmMBF21Gg0gAy9oPwYzODlZm6zVF8Sh0HowiJTgeiEfsp/nUMMQN+/IcjC1wI8/0bOpHySXlD39cjPISlOyZ98oeKbX1u0HjSSnE5UEb74ZObw0Fpx+Sjfd9JPdAm7RqGnqIp3G7T0ZJgyHUQ2pjPl28882/vZ24ByhDPV40bQFY0ImhB1ycPNf/Qnt7KNzyVWaLkFivNz8r+ZXw2auCtnjQd3J9fRkLZMwwi/8kH4tmibeRh4Otb5XNdxBoOTsI/cdm9uxfbkGtCPWZwxdM6VgklIyyxEVjV1Mn8saODMXcwconPpJg4itugl57NG7Thw+pCaEW6c3bmisnVg6XO7rTcZUjxGlQKVTWKGSZcawEImo6j2NcuHSGEZbmldLKEG+nUG5TnSTQaoXW6UZn2wFb6K94w2Gx/lwXcWzVi2WcDBU+6IWVyn1eWkY7xTCC2ztM5zZTOzfDdll6WMcY9q3Y0mPyydu7OdgSMfW88fgySHhZ6VhQq9pCt835X7pGkgz154/xe/T9OM3Qym7NxmHF2lrG4j2XbdgPu7eB9NBRgIH1JmNz8QEjzFNzZjTXed/kzOmf+2zsPKuFV+jyPChNEdHEwoNTNGlmbyv+WWAIWTHY2VT0xW+rLh0Gj9wJzJbPzK7PsP9eLz5jea3ZpFt/scdtR7HosiC5uea/4xH6MhSWLOH2jwjMxktTwhb6B3myZkQF68kf9BwJgDwpWefumt3tyDQwUXejgkWjX3neCTrOZahS06INhc3UdNsrQ2QxZbO5SyvatRS93oJecXLH3/s1N0330jmyfyhg9u2FkYG/DF/MF1www0vVZystUqkUvHSLI641o8KqIhiwyVVO0WDuZY0IZJqNaTh5CUjoTaSWoV9+1ltSauFqHZKKGf1YMqk6lUVDShcRfMdKKrHtWVlsjtk6K7lf+DQyRcXvaQ0BH/DG4RCRkEavnEt384Mz4lz9i+cucnc0lp2J/+D3r4u2zV+4Tku7GRlzxAgq69FPR3XDCb1xur0su8URqCxFqXFy18cXA2rrSmG3AK/eQ4YZNNToMVj1fcmxPRHy0HEDrYhmYRgMH73jbab9LoTJjKGZsIM1uVuo/r58miXp0ykoXHdeNkqWLvRsAI/G9OYxvBxHYD1XtP8rTUzSYEIqHuyBlvW3BCYpnRiMUic/1OaoFY6TQmhEX7eF+JnC7mB3Exe3TBvPrinr9sQZGG+02NogjNK2awpkTFrRlecALOXPhK52MhZZPTT1l1oP6A0f+y6A1tnyBayZcO1A0mFk5joag+oW7qiAsRCJdRCTjVkmYUduJ5rI6StNrT25/buoZ5F8pWSnBRRjVSthisKBX7IHfhm0495fmnbdoq87qp5T/Md6neiKoHekFqWA9OyVWEDpuBBF0Vz0w6K54DS+KBDleT1o9N6kCl5xyjj93Bx4ACTcC6+zESJvy91F2ngrXjwzOkHaZrblqq0eRpvOvb7w9etQNcwo+pbioQUPPnkSz9N9bir92tSd9iYaUFxbFPu+VtuNy3LvfPUs79kWjwBrrRU/ve0q9wKWUtmyF5y9i11B2Fhcx4mEBoBO0kYDX/ulAIJwVmiGMDUNUopnzUgekos+1OMncXGs42urVsJ2bp3657pTesbtSqpkGXJ5cuTxZjIjKSib0d47VTNl+pTWK21J642lX67eooyVEgpcjSsX4VoLQxOKj+ihjdeOLhpPTtWMtY+9t6xmyuHXcYTpo6CUob//d+xDXfcfFjfeWt55Q6bO9LsQYnN7/1uogYIAHocfnXpJGLzNaJSeeffMu578UQfGNS842+h7g/MeLq9zxtJAzI0JN77x7/7rI2Wv39l1uTaX1OJ+KgZpw4yabvI2fk/1hGWNv++txf9hGli+3suQbQvnyZPkVeRdzfsDSC1V7z4Rbu2cCAd8i0Rw9KRgEHmTZAyeoorZOCIQwUAzvKLD/pcwdy5nPnPZtl6+CN39uzZV5191SMPnTxx+ODsdXt2XxtqLrVJpbn43pAbV7OBqHiSQlFri1mjNFPBK0bdSLRlt4lVLVZb9B3v7N+VSGdszdmj3GufDrirJMCJiNLj0sakCnU1anK8WikfLoYrfqWWmCyV8lK25+wog9LRnKWJl8WGDNf4gprFuVTjAJSeoFRwKnxFsx+VzJS+QFSH5s56l0aBlo3EqEfBk4b5frP5y+VBQATG1O8lIxByOHJq+oCA2drBA5D0zZleZxze6bIDWUuIN9vV9hgOAABRai9BStnK1bIQixpqg0mzoKGtDcQ0gwuAT326YMSHA4dp3dHGPgc5Csg0Zp//msU0FQkEoI4FRxEoGGj6zcfZ3Xe5CevgkoQvCEFiEoKqzjtHXkH+u5F8+W29lPEUUKYDUjp93R7UNre+JVN1TBSpZLz1wJ8LLAEUGZ23YwZVQLB0VBjzAJHMBj7QBfXlf5+z0kp+Nj9nkZ8C39jPPU3I06/4uVeQc+Tc2ScfOX3i9ltuPHrk4PUH9u3eNb157ZrVq3aVigPDe3NuLh0WBjm1jReq7QfLhukYqxYjAqmGMIzklog+1JHa8kPLIMRevZIsRNJ1L/ZhpV6LCgK1trAkfDX8EkKGB8mKei99mw1jodAWqCtJGoI2qVSXGtL4mYfjZm6VRj8Qc21dUhU/xumjZ+xJ+2w+hupg2wycoJqdLfj62IGV1+pGoqRRn3tpWVjbGBtn3Z6uJeb3x6SzI0sPvVHduvj0/mztzKOUR5N0KnXbjX0Aqe2MB1vHRmOmGRuhdAxMNrM1Ah9wC7EvmE0hV++A/inVQgPEMTAoK2i9Q+YK2L41Ru04joULfJA9i4iWAbDk+r7wDY/oMNwTmPKD1wN4XsLbovaP/gu76Gvww6SXrAsx9xvwXCP53Ha0xXEw7We2IddfsQENxqZbqLuG6CY3dX6S2MTUbfMooQQoHLk4q7RACDlHpIzPxIBzNkcYs5W0Z8wRw/AMBcGu/91XchddSYG5sXAlGbrYUhy94iWveB3FulML1yEEJcH5K13vCldprL76BTRiUM2Y/4kLsdYXvnp+5Zeefc3LX/L0Uw8/cM/dd95x3f59u7dsunb92Nhozrl0dqvY0ZeiM75tzW9rqTAR2qrQpfJj9AptlCkUVVq1tl83mtmoo0u+aiLDdxcFjtb+3PmuQEsVKYTJ0coUb1Id5/OF9qww+iB4WWZ4mX76zct6DS66/uU3/+CxkxnB/c0TL/1zfdTdu+6603GO7kdeEsvnYqcO9XRPO8jddTc1/8t8j6nZnnANwVksJjz7qZcHo9t9D7WVgzqXfemYbcb8fg4yrWV8J8s8eiRBAc1RUa0CM93Q5YnCElaJpaUWo7C84WdcD98rwDl7dPVUtjs51vvsJ07d+LresWS2+9R1U3sCkP3Dv3gknfUK9os/6CJa0+nc3Cm74GXTN+568vwDlJYBhAGvkIwBlJkY6sn2x0VfRYn6yfHq+nhi1BBcz4yoXSK2XjtsDtsIc9cbUbd//7KSDgDUwIPXAxeupxh++ML34Tz+kBwmd5O/baT2zAjKhQaMb2CEErZ+JYa/p1u6+iiRhFBJ5gkjEc+G/pqO0dfMxBwRIqFE9kXy2AgRJKLnKzpf4tJYenVrAP1A20ePvm0W3HnH8fm52V07pjc1ppbXBgupZM5QEkBL/44wtDBiiTAa9f7tki9cqCssjqHMK8CJtmauqopUrR5V69FMUcnjdeUSoT5JlVMk0rVqEIW1L+mWH8NyUbdqPcMWpz2vTQws6xvd6YYUunKwOD60ORDU9PtFMg7gD8X3sm4hOfUCiY3JRH1SM0wAZu/fkw388qBEPU359TuMrhUZ14Sge01pjdTwhNAHi+msri8ZXneNv3q9/5kxbrr7lxaXLimNbA+S+YxBE8tWDkrPBKvbqAHw1VPIY4XiUHhOMfwkYtG04uksUFzbXP7GnKA93tjGwdty5fFCkRAIUfHPOIFvJbdDsmH3AVINSPgyFp7JqRLd1ExdO8kBBBAJ5DiRxNSlOU+i2QkinSOUWi1KikGH2Yav6uwsODuLnUciZ0bZPVe+whWcGxNX89NCU804suCP0VDQv+2Wudmd2zdvGCoHk7lgoFSw1GNBntIAOiiqVyfbFWdEOapUjXqHVKsuVfWlr063Mdh+HEVVpx1ItgFZnezIvja2F4YfMbJFHmMiXlyS0ANHN5Fu22gnPLp9m27r+vMO07lZmRpdZ8qJlJ30PEcPvJhZmo6ZvVWDuZVyd783aop+zdXBEG7gprvgPxgw3dakQZGyOCKTYogxKk3cpnGh26Yp1juFRGg0ONCb8iova3h22hsJNKPrhoD2Z0LGq7y4siyuj6Xk4z3rB6lN40kCF/4Jl+nDdBvpJ/GGhUA2EUJu7e0B4Y9A6z+bHywOqMkSk0zJ2q07NjhQmeB6XlMl9Pl3nW1+9NWAr2z+1RPn34VCUDul8SyOoeMibsHTMXQH8FByWTo1kTyEAzFbMAB2y/HbCBDEe/UMfYqsIL/aKhC6OMOF76UgwgGiJCKCgDva39XuI4QxchMHFpoxevwyZqWrXOiq11BAcgghK8iK4WJ/74ZlQgQLu2e0e/UPTmEIB1X/SSHZAk2parKf21jIh7VlXe2rAzSu5dfXpg7vveGeXf0uMPiKkYqBpBrmUm9rfvcTAxs3ZDfN7z58ane/Gz7E199ZzXvvhI30CaBab3G4j+NrqGCMr+EipdVnMpTqvYMXT09x3ZPLZwmBf/skLiPW5WPqXS2m/P9gSNXnfxPvvfA//z+P6X/+8/+tMSX1C78fTlEOkWEi3tTrwtIwOycC9Ur5UqiqrfUNu0RC0dZEWHhVgqj0Kkjpw5F0rsuyMYZ67I67u2q63l3buw+pAd2jy7cU3rS2/oYPDJbBBsrCH3bic5xqALthO3IARC4Rf+k5JATI4IX/wi/Bn5B94V8xTKK/IiJIBxUBViKabHGk6JBtvR4pAi2yXYuqzCypyXRkoI5arKtswgJwoF7DN7ldDtu0QU+5wuCQXe8yv0dPzC0tOTrV+q3tR96s9XfF0+m1m3RTt3rpwJTFkx7joLkHKwOOzqxMDBpQ0PvhT2w7ZvGxET+/Il7w0WSmdArjOScwYxOr+pZN3Y3xuOn45VEnnu7/X5v7DsA2jivtnTdtOxYdBAiSKATB3gmKkljUJVJU79WyLdmS5Rbbkaviloud7hLHJYntS2++FLeUy8Xp1Rc77Zov7U8uvTm5XBJC/8wsAELFOTl/jWJisTvYfe+bmTdv3nzzdhcBrFNKRZH+dDicDHX1p6DjceJIzW2tU3se+rSIFpx05YnLNYQubMuAaENhpUMoGlFqgq/3hElaXXpJ0uOYvA9B1oQeaDHL45wYlKC/4ybNeax+5L5CYLotJzAtDYm4lw+Y9F1q9KnsKSMW5yVJwhuokltYZOSvHrOOIrIYG2GkIzzYxQmwAMSZZ1aGLsdxoLkzvD7imOTSS9KMvsjRC6O/D0VMDC5zA9TxorpB6gawkUGCDJfHg5bLcWsWXQaYvphBTGDYdeIn8LfwXm2XwLDbEhjmBypb1n03MKaCujKKmxNzlly9d1lzMCvoqHU9AUxWnBdX1Cm/jfqLtyjyzh2hVDslsHcP4Fw6Bli3ONgRvQDW6JSDGUulL14c7eyIThxtSjFGrCWjFhT0iA3c0jHE0iGHw569BFixMZi5aGfvum23LU0RivG7dAvnRhFCiBT2XDYRShWCzR1dyyyM7SXdHc3BXCIxfvmeApElRnPYcqc3ckohPXvDrpmencpKnEC/Fm0pJHBgCPX55i3IM8IdzgRzagkUfcFluu2U9zsOuvU3xHHYr+Bdrjt3ruPpHspwyzGEX/CTEz+FR+BP2oC4U6Ps6bwHy7ZXyqh5YFuuCpjARza5ZvB99nhw0A/JGohhHOqZQl8FgxjffjZo2QlgXLowuvWNp8VXm5GlVrhnMgqUMEwjfVNf/CL1A7OOWOrPd3FmOAFKQJ6wg87cCceg0Z5JJd030Nvgz1pJSJeUeoYrBMWqLcmyaDwijiu1q5o8z1VUGAxWpr2jth6gPMb+2ZiIJzwKNJKEQDjgMQdBV++PpAbB3qkvn7fPa7QJ/MINxRlAdKglEgHgzcXr33x4JtOcGUjg9t6KDv2T6D3MzAUWyVY5cuIb8DIh5bCQMjsvpQBJfNQmzD4RTTXRirTi3/A8viPjnNkm5br9r/9qFYI0RFMmR4C4F+SBkKljXcACz34laDpxBPA7HjcZYdRoNmJNYe4hh8Tp6n2H1y4ZWxi2iLEm/fvfAcFOfCQZbHGVTf8xTMEnteVCytF2KWVEyNQIMRaLxATTyI+01xhGyl7UekV9R8rJvqV2+w/G/tN+M0bMQM3rN7WvPRKmNHLr7mjjhlUe4U5kYlm0qbsjP3lREyEddxbGBxoDhThb4nLnSRtlaeAdgWBQ5xiIiZ2Gm3Y2CWNw6DaHtBkOD/A8I7ZR3Pj6xNBg4vHVYRchhJwmCzHHlphPapPo7ehRrVdokweF+cjg/BDDuTKQESVuTfoK6mrS+BVuBN04s2i8XYiwb11CDq2JdfsA8/Y4tXBjGuu0c7PrbkYPuQyYZRiL+g0KQI2Q/9G/yDAshoA45/XqCb1XSDUmpHqoIpVX316VKL4RrjM/WSWKFLzKzvoyahSCiOcGAps7KSdCijNJmGoIog8jLJ9sxIze8xwC6AwSNg9lDU+iNaotwu8Xci0Scg0ZQi4twjNqWJhfDhMeBsu11fAR8lWTQ4imMeQPORHxZRC/3y3vG7GbAohS3entLX/XiQ0QI9EQiNHsEl0fHIkFGhIGGYw6D7wJH8KU61ZP92c/jTTb3RjqjiJsG3zlSi+5NWhiSLfp3V3Uppmx7mZiBrckPAD8AKJWaOlyUL2rIvuUkL2kq3GzNFgq1QmkmMJDAsU6pAMgFBtRMJ+kaE44I/azz44MVcRa+dqVbmwAG4mUE8+1tLhuezwXd1IJAVLExrT8hTpFP/tZ+4+6DbPrsC8deA2bgyZp7iw2RZnL0vFCVxqwGdgYdxmt0xR0vw424b9DD2kD1RYrZKuM2XxQHqujTEtcasBZJqMaraKw+s1XsI1GD7bH4l6qk19vzKR6wqk2AxVQJ0GMIVL+RvmfjbZUuCc1Y1zPO1NePNb+x0VHEOSG+3S+cAPPDXcaomhIlDU6h3N8w0Ku9w3nAB2RI4qQ7pF66VoZr4yKpRwXx+oo06IiLqWRTEa110Fp4/yWK5bs8COH8/GTxRNshG/44qFOVDhZvHj+jxMXnIV4F1TQI1zIV5QjwSnoZWroRWuYZU7HDJ6pSlWVY67nhXAqno7QOiFBU50E9QhlaggN13DJ1HAhTVVc5npOFQGeeSEsiqehANroiW/hT8OEkKEkMusNdKYCcDIS1fhYhEmJgizbFpxHonWokrUjqoJiNWDQt1Kt5+7etnRVbu0gT/JyewUVKC9ePjnKCWrdtz0arWGUe83w9IYd0+ttjsonXBehCkbfWDExNpEd7481pqSsOSHrI0LWTm2hkHWwK4uErOEh33GNzqMTi7M6IIPSQg6LEbyU9Rl0ciVHLd08uHm9JUT14QrO9vbOBhWoUl4nQAcnN67KPDw51jHQtaMVBUITOrr73ctmu9flfPEGdjQ27hjwNRBieyeQk1/x5OTUgjHL7D/UOeogDYkp4yY6KOp4h6jj3morq3bQEbW7TLnl0gFSTnm80gHaRI0LLXyrLr8rh1PYpaz6UCe4PxTFpZFdcKjYQ1Kj/Lq2NqKbuG+kIVFaUCS6AWtnEg0jfdjUSVvbdXw0RXq6zj3YrgovW05oNteaX0tMg9wNb8CGSdbmW3NZSpYvk0Xjcdr1x/ELzaXjerENKEX9Iw3J0lg7whitXZtsGOlHlEJbUR9fal68YOFFsiBALtfaOospQ/feixjFs62tuRyALIPsfXWjh0JlkEhvc+jsre+LGWbQTw+drVk+2/EHeWIwOQtzfVYDk8JCQx+DZ7RtAovlvt9R633xhX3SPw56aqdhMJjzrZHSdWRqb5torumC+SqE1CKb/1F/UH9cf2InRogASzCYs4oNwR6aHgu1EOgfO4QgN9puiasIEbFZ+FfYtrH4QuyuwQJylvcFoljZdg19VMhcEDJH1GxeNlb5r2K0clWRvZKqkUrfRN2FCwjt6Gj0aE+woWjNKRkIIoljrzILaS8Oz1wwgXFsKFdwUGGwy5Y7U5UIHooSKRSy2kdzgDSk9iA/hr6irRAyuLUZS9UhVtz2qt8pBPPnwiN17pzvuim5/F43PDIsi6ByLDzYPDM9mGzvY67D+fFzR8Yv2rtwWkeAvXTO6WKEYsva1WsQxGlpe5AC4dbSwRDBVu5d6EvAgkMdnaOvXxbkrkuar/1UITZevGYlRchNBfUooYkG4KRjvQfGngKjkEpQII2dHicNocYLbpf9o0fo9k30ZW2l0C1dr5uvnFpaO3kaqqZWShVVMD6fUUmWqrmI6CazaTS2dEnP+rgbY+7AUotTTIMd0w1cCGH07ooBYcjpbWqNQOBNlzS0nPvW/i7OXMYHs6Xt6EuIWvnU5t7k6rBhd6QJ0EQKKAut6DQAO+s7LGhIUJIOiInqkTdHyIXnHNjjcPG/wJHzlpc2qp5/4n3wDNyurZdjnvIbh2qLLXHG+fysoH6HaJ26VR5IhZKZi/g/Efr2gvg/uqzRanHN/jYLOrrbHGN0cD8E1hV7Vm0Y6JtdtA9Z+1c6PIAhPJyd3LjpZde+xyscTnFMUxbH2KIxHB4iLjQXCZBwm+X0xnQS6z90S8y9YlMhkQ80jB28Nc23/02eA3UpJVZzx4aDV23Z8a5ruwcuHQmAYfT0JjFCGHFrSzdBFY2/JjTeIDSeUh58SU13ZU1Fcr5tk8ZK9ZvCcJVCWNOxro1W5x+1pEcl1bXe3clYR4/ptliNTW7EbrZxjFoYcytFMU8dLnj3HOXJa99juGsHIoAD3Fm6zyEk/MQNjfFL73MRCqwbHDWcTrjeoawYEpoXUcTrLFkcSU2SvT2GAYGRS7sHX/K3NnrXtfn82Lkpi1ABAPCWl62NrYpd8RYSessVfIxfsak/RvSErOmPnRhHL4XHtJjQO6z0DvoGPRrxB7SKifhYhHdMR2MIMmNdBtAkBQTow/Z0wWbxuBlrN8o3lW8ktk3EPdtPjGs/qNyT+VgO1oyj32SGZSf+Ao+oOxldYxlAzYWF8G67PFOekbdBx9ENRnvMjMf1hrYzyimtRDBYCZZFfasW9P7+pBu28Ii4p0XKN5ZvrN1toY0+jD58upy1e/qWe3jI76OVe9br799zujxTpz+6AR3XQNpc/Hdwv5bWxoT3U8o0AAi7UOfotKgmkanzg9CIHEqqswDVgdQkIC59t3nfunxt+VplZG9Ft1adoT8/0TMbEpcbOkx0C7oVXJOIYtcY7WlX+HHo+apvqQx41R8q35PaMQC5oR4LkYDNwOxZkEGH62Rv9GVvFrLXj3NKOiVczbNUig0J+SuaDddUk5rVHE0pm6xUIdt1FR8TB6VeTIe0mCxcU74GLBeEZreYHQ1CsyJ6vup1qhZS8TTLv1xyPrhhUuo0gToBgqyeoRxc6MfP3ydkX6mNirocyKixrgZkE0RjdejSjDLROemdKWax+hRqqpgpfliCKCQy+hoBYzAb+wz0cvRyYrri7LUtTSyBesIrOi1zVzsYxS2m1bki3ANx1tSCHjUtk4PZP0UMimhsqt8EbjoctfSbQ3hgc5Kx0fNJeOcQY8nNA3jI7G8Rkm86MQE/h8dUrCtR8SzU+KjCu3UdkeXEAVYdSPpRtVPVLrXpyCIgXevTbnp9NxXw0aaFHaZuYJsjc2g8Shmn40OmaWJDN9sXthAEbW0XULqm0/M615iHitJNKRp/ft52ZX0Z/UlT6p/sN/78n66NbaOtyYufgnSDLuUVsUe1iCJ9+rgUJq4+Gc+plpFprWsXpbrWUgpgsw7P3cVT8JQtPmASib6oDCWNqAxZN8RED9rGPKxj554MK+KOXxExiqhBVEVoCutxhfWQkD1TidPE4kHfRCv3IijOjMTrzIoUWiWynLcIP7RM3RKQUsnFFZAibluG2bGwiaIji2j3fA2QloXtJrzLQc6ffy/wVM1J4Cl7sDz3vFFMy8Z+yKyvg6Y2QwOB8m8EyqBFtS7RF5vinppFnd4VRQYpLqCNipP1NkYg/ikcj1vRdgMdR8dV55spT9t79mD0KHrU3pOTV2NFQ1pGYqM/CHQzoxXLHuFDPxV/Bs81AeVL8qRja3UyJbReIVN70pIyIdHTfUZQPHqScUPDp9kL2Ujgt/E4RgZunbWFBZ1WFu1l6LjRHrXmlgnZPqxklfa6GLNE0eCyTtDAgihd28UjypAZXaOZ8gPVsaOUR2D2zSZ8Cf8Nvx9i2hptu5Bw60w/ExK2RnhGjccyglGJqYhv1cmIqnvRChSutQCTgNmFaEZ+CrafnykmJjeSiEOlbKaE3+eU39icck3upJuftrtbTG5i5PBznmpgyIx9aj93IshMDtr33ZNp0pnOnAxzdERc97ZXoZaW97zHKX/gHW8Nr212A13tDnKa72HO7ETSMVevdAcXhSnDYD25iDOd80VPOoTScP+4CzNrdG5xNuNlgsjWmQtoehqct4PX4+k83mRMS85+5sS/4E8LHHaJHBRXa+bjV15ywZ4dHVjUltBQKi23SvgMsOo2QZaVZyUPWyjpwzWs+uqwgoyL676bU6XuyrHSz2AvZ4UDMa5+V0FH4JiRESy/gPgJ7Ozeu8vqaAEKTdjN3jwdwtScuSnr4iZxqjGIot7xm2MR1+KOjM0+WS3q5G6aDmNuTN+Uc1TRlg7rxpsHCLW9817z2lhs2CA02x676qoEuncqHPuZQSKeON+eBXI3doZWWJQw0rj66ibqUNJ09eok5ZRYpRTEYjrMTjQ6FEWKwcW2J4oSyrEsKvAFUbQJGKHWiiEHozcTYn8GguEcoxDKhWCmMxhs9Bb1yy/17W6ttlu0u03rBrlodyFhF+dbE6/GywaqM2Z/vlwfSvJBl3UgF3QEe1XWR0ngStsyqgXKguIuoza6oDnLKKNeuvmrVl+TGQLkkQNPhW1kxj55LvEQhMzEiFX+x2zKVC2Purz8Z8e5/VU0yYMGeu974H6n/LtM89vf5hR60o7Xg7a49uwsEzChtSvdkQVhajhPLqK6an0WGDTcvcSdXWWqxhfIBpHjOkBXBNrDCAxjehaMdCJg8ISGtJkTrxd24lwVdY5ZfsQsU2ENKivQ1uZ3OuX0uJhHM7L7ZU73gDIxETp1555FiEI8FMlmybZPW5R9ZivJZiOhOMIACLpd5M09oS9ca6DL0GXEskj5teXXGusW6LDKG7E4gE4KxUGwnruaY3Ls3y0YLBaAYYwQt2zTXDfGgTk2Bb54xjTlCCfkv1/IPyHkzyX8yHNGSl1n3woVJ0dKyXzjK6txHA/Pu3iqWltFbcEPpDTlfy3/K3YcjGIoVlUohysK4VxNofJPyj9R5fIoL7Uqv6b8GvuPpinFU/bvLyikrkuNEFfzOD+6q2piwanR3awMTqlGmeUu5CqNTw2EQiv1+QJh3ksuxVseMXS2eSsUdJnwCHQHcO+gYbR37yytbzd06Ok6LcAJa96EMaxdi/I8aoKtA6Od3ZTmOtZ1rc1RiorFWsQTy3Ue8n21olLSJoQFGx8bGcgDfvHrPUTUnbieyVc+X8T6zyYX9QUC5X9Ed1YOznZFaH2Siw+u/gpdNld04VpA6dISdE0mdRk5RbbWF7lqtPlUAcE663Wkn9fJ+NWzXFJS8+Sfoo/hWW1E8lTCsm/EFNWyVAlqqDVPKWnQ8+mclaXQqK/LcDXgcZs+1V4YNzE2U9Ewsi544AITQF8xGgq7bfqtESS4kCZGDNIzbZPmkXgyEWZT43oqvWw5H56cGOFoBA3zzoUmRdF9fPnSphSfnAITMnHhB2DRg3eiX+Pd2pSwyFsF4utmRY4nQ7Yefw2pZpXVsB4PBiBXcaJH5PBXUKX8odDfkyq/CKOM5C4VxaqIhuUhg8hgybr15SHb0hHmTsAbHeaM8FtfHgwTaoSbSyOOtWhRhnvGlm0m4x9O86BxQnPQHT3dSA/yJnT/xo1o8gp4q2HAjIjkIpvTlcuB8blzOVErTqHXIux4Frwqu6oVYVuga9yWXd2KiFd+BjUByq5JvxqJDMMog3H5x8TXfg7+Eaa1xdpG7UKh/YGd0xNNGlZsngh38WAkVhe/qYStpfJyIM8NVWxbbQU4Lj9HeDVGF/W3VWoyPC8yp4g196wWjdRteYeP6g5n4fYGIBT6SHBi+R57xt495PblXB6xuzoxYZCxEAou3n2sIbVlkYjlMgR/jAyHQssujFk4wOIE0DVuc/qNeWAYcPlvOlJdkR4vtPGYhWHa8jgNtiYQM8iaLcnEzqkVQYTCQ1ZHsxXhdmtRXhgaFc/cObmbmWN2sRv1cXD2LRELNBmvgNZiNxz+5cwY4YSg8gdBT4Ukw+PYxoC0mQcFx+Lz6AnVwttl1DSerWXEqoT5K22iVHtHil+iftWf3dcS8dweNx0gi+N0y1ZKRE/asZ1iFJ4pilmYHehb0Ja6IE1p+IqZRqSHI9KASEwsggIs5DJwGxqifEWT0WLqZpY2H3KKHaHlB0JEQ8Ki/BA9hFyNCxkZoHlLUrUIfu/WQFtw4m/hGShrhtYsPJOUx0D57MJfU1lJNPG7YSV5UP3eXzlCm9Ji13Y42lT+oYs6kGk4HHWI235TrhJ9E74SSTcVm9LlkSS//wEnUP6SeFT5S66LhiV+uwTf4H3o/VpQyGaBmh+K+1NScbtb822FXQ5nKIYagLucieHuP4Cj+7jz1WcxZ9x5+hnM5ZqX1gjPoG+LEWCJkHxBXxwpX97fatAmEK6PPKpRQC3SKOpULTqn3ttUnzslHoNnEv07G5M2jodT+/vWjYvN/rHGg8sGdzWpc407+xPp1f2D561Ol5fNHrJDa3pZes2hwbZxuy/SnW3Om8OJbPdgc1df30DfyIramUgI6MDI6rXnyRyu0/nmrsU6OrJm9Ui7DZofi4f7xMyzQaCiy1Y1qARHWm2ywRFV042VHpgojppsrFsYrbhtqvwbZCLLkURjFkDjaDWxDEbR+jevKX8JGZbDfQ/0Z+L+XxSc0HaBVluuUYfqM7SqsWOaPzUfFDWdU+ttAj/GpAcvHsphlDHgDqbljxJkzgbRPwUC36IBjxiJxc3XNN12uxTAhLdgkM8n5eMUOfuvd0XlRzyy6fxV+dfmkenL8hUhS5uW1/qELF3FgGxzVFY+qhiWfM3+1sKlauIgKfxZEiHSksB9tu7MPRMAjMFG2z7dTLlt3IIQRrmc+IPQzcarmhmU70AXI2LHWnQLxTmjOmX0vXsDlm6xJRh0sv1j24kOGE0xFNiwJfBh+HDHEr+Vajej96seRKuxP9n6dzmuIko95DjlLY7kBp742QmC2uEXWl6UjVaiBmK2G/eV8NdceZDl2vzojE/iK11lGQ6H4VGu21Z72C55zCYwOkZ0OzQ5Ct91CH/wzf39to6o1Rq0+uceEmdQGjX29yFb58GxIYXkj9E0ekTTtbBA0jMpgoqksvoy8pHBkhSm5Lq2pVMPReRjCGcuF86KXd5gOfK2j3DKudKEAROadEvPvKa12OkjP0S9+HWiVAkyrh4SVB3NV+lmgc3KLeLP+CRlIjeNoztsy0rJ+RnvDoszyYFpoZgz98MV29F1Ar/3LlqMXGqtmp37B4czSG5fUb7FcdDGRV0hh/HGvlk5WiVOvB9uRt/SDGExkmK0ioU8mwOWVkqtNQrjCvKPsFlE/FXnUDCXTORyA7mbskOZ7BD6rfibbMii+5NZcTJXvmkomx3KHsvKD/mMeO0ZYa1RPCMRCfrPCNee0Tr/tOpT4pWHoOcrB29Vj8lUH9NQeVqX/xzVy2+Bp9FRrUvG8jTpHSlnu55MJZu2j3TVgBXa/FQXbHUo4XmtvcRpiXUdmBwIhAaLeSaTJNHdq4P64MqVE636oraCcUuxdzgdypqIWjMDJsnlB9MskZo5DPbwSIZ0tHeYMeX73yrs5yVap1ydYkIWpMjEQsHKqFXzMOfXLWr7waAwu5MwbBrJ9lWZ5paJyVxbPtvctcCkjDKwVw50tDf2T+Rz6JLLNzZwFrS72ycCgdEFwZAXP28ihQllJdoz0RDqXBAM+si0CGSe1TYKacbC9chIYAaqGFWorbE6oJogqiTlUtQcr+OnDQ9Vlw7n4etYxCc2xQngJdMGYO6smY6mdfGDNokk4xjhqBMShca3RAm5g+rcnmJ4RpQRy+lNTqSg++AW+UQA8Nrd0iEzdmymKODhYFYAzXnQdfvbUpEiH/fgPdTgxnpONjFVIMAi7e0CfBVLz8I/oqc1rmJlLaYad0OVUZpG/KqvSZ+rMe6a8OBApbWUHLjBdedugtv2L21IdS9akW1vGunIi1oJIKezfzy3cuHCFbnRGQYWJQR92HVc2/3i2osNd2BhMFTMj2VwztOX9XVn+weya0ezlCvO4vnCN3yVdoGohyWyHsLK7VMDaBPUu8bC0VOiyL0zVepimxwspAbVTyl8lWAlhmLlN1ecZhmsqOooSq0IDLXl2ltXTkQ6UyiZLBHKdWd4EDeWZihOoKUlJ9EYCyxK33uP7ZafHCj849fE55qOImeeVSjMznJqf7ij++aXO9adr1uSjZCx1UUvMbgZAzqe7ZuJBNMdbtJFPb37CbVEle3EeKKrOYZ0WLA1gCm9YAhs1905AbZnr1pFucXJ8ikgnBm2iF14jFtw+QIbCt02nexu9mtwQLTY72pFbYOowWUDMQDfXtR1lMHSwOCAcmvqKlN9j0eqDnV9+QHZAU8uXdoz27p84ZKFbUuLCaAGQsjdt6JtydRYoq2zPRcINRjMaxb/LV3kNIuCU4s6JkVBksCB0Jb+1qkl4xFZzok0oBVLt0NDLh8rxlJpVFi5JZHP6p7HwomYFXraChVkBgRI5vLRYjTVlO3IFnpEaeZ5JNIgbeTgiX+Cb8BSYS+2aYeEjdyzfUVPHuE6LqPSQZrGeiarv3pdYVKPyJadK5zhQqm2NO4n3RBfkWo31YAPy33U89LxzI79Jb68I0kNao92p9obooWNB0pWx2qXY9uxrCUwcc4KPUdbN+0bMzrXVM92WrGWcGFzabJFnQTbnbvEIhwtRyvA5GTzb2GCcL5gkvYmu3W3eWQ27DCzNEF78m4g3dSYLozNrdxLQR8d5z35kCVPtS3QiSiTT5PevBFsLt/kcIMS4CbnBCn/VBf29WfCr54S7WMsG6Dgzy99b3RQaaXAqFnbXCEqrtcPA5VIRq6Kp6CtuY/wdYtL69gjAZMdONcBVgolS+kwBufTnvdp9T013CS/n3uAmYFHrBXpzNruIH3ERcKvHl2+eog7NsUsNNmoFxtyQU4/FKDz3zCzHD6bcaeSWd/LvtXXQq0zdJy1FpkmLK5k/htx4TrEMQ7M3fyXZEMUc+JwrhERXbgLnoFzNEOLaBnREp1Jsz3fHA/ZHIgkIYxU3shAhQD+Ul69LKR2HU0VWqbyzS3lZ124PuCWnyo/dZIwhn8Zlcdb+eLm/HHxdMfSUb00X/cvaUKqwIn74Y+wQ+NaSODUIaVqbUlHPZNJqUL+ZCobP7NMynVSFjUgnYUBdkaJ4C0DuWwylQEiy+RefgaBfi38jUxLqkFK5J64T0lUh1NR4aQrnCoPZC+AE69N/+Am4c+IB87deUapOrJD0svJSanyiYYzivVoqiUjSmka1DyOnJBItKWCbzGVCKoRKYJrpcVn1BChSKU5UXFtORaJD45KKUx27GqeSPCrjzFTCuH9Sn77lfDIu9d1d6+TDUk1IiM9mzZUa5Y0QPWN3rQ/nd6flggpWeBrmq01CL+9XyLU25ZtDDumRAhFKo6PRIL5c0TfCTszYug34725VKRxcufUXbNNnSw5ZKLyH85ck+f1TIScgcbe8cnLm4sjFmpnsbbvngG4qpTP4l1aVEuLcWZYSjnYWWhJxi2NCOiUV6B2fPk7kBRyIv8JRFqGC2eW9B+CmH0cCAGCOIOPM+xdtR69GY3nywLcG4S4n1TiXu+Le5OLvkddyiy0CggwKD9hMWrzD91W/gWg8V+cUWosVlE3wGPway0lkO0RI0V7a7YpoOHqbjHCY3HimzU52R8eUVNQVPF9CvFCW0VyeFi3efn7f7r0FaiF4BArf6f8HHBk69BFydzjAVjqBeY+6rou8uQh/NrlOnvFS4enXkEZDcErbgNqW0woCjA3Yhk8yfkXVETfdE6SMy5mxR1CztZMU9Kpef2EqIknpb7z1VpqLYRPl3GTyXTUipoQ51B+rvwdFsIEtfxh7j84Ok2+f2PubbchGqFw2ysgJGR8xVUn+NzG0yQDbWP5e/Ao/Eq0zc2ip0xmQj7TQhsc8EVSACpLpkmvKqhWkgZrc+ZBBa4L6qiO0V/vh42Io8JWDlOYOwSXny//7tidyKTEoXzuE+IDXdPbD0B1O5+fnbWcT4jVrNh73mU55Vf0mI0uEKxbOXGFE+eplavFBfRtisKOS9j1N46tvJ4xyh0UpoSz8iz1BgeRbbBCHuQcNDgxGMOGwbw+M+0icAxeaMXK3Vs/A7xO+6S2RWg/lTUR+F6GpmpEqzj4ra2Ftvz/kvo75GRlihFC5z7BqUMospB57I7y8+TF6w8/5uWfU+ISWv65wynj1924YNX1jDB9bvzFASAQWHOCoXfBL0Ttny8Q2L2ly5IIVOgFdTMh+U+6CxFhrtX+tOoSB6uyjWvTIflPbYgrDPkMSd/3DlfiX7X3NlwQ1nnfoGO1tmWE8l5LQwvHYF3pIuReaQHm4oRn67w502HbfplgPnJqmUg++PqXnEtNxHcFYCzSBP8cJo09tpVNRmzdMqORlEGw88rHSaOXIk+80sHESEWipqXbkVhrrViD5xd7gqS8RvK4X8xrMP/87L2f+MCdzEHW1Q+FMrdd3tmm+syJXtFqPi1WUFYJzJZP5axqVElYRD+QXV3AqoYFJ6AKpdBdHKrexQWMvAkkjq1MrqeSSAwedaj+0MOwflYnOnCjITZWuki+lpp95CNTi02CdffCjpZlrkPI/v2xHTHasbH4JizWGVGpMYXKP3pvhHqz029+g0GQxe32nksvGezTCSM627n1xutMcDj+GqCwl5EdqLu76++6IFIMzQJ5ghwpleBWsq5ew0XajNBw5WSLCRVbJfzFUIV5zZjvQCoXXEVBK3r7O7d8rUdy/js5CrmCSziX/ndho0PZ2/4WPUilNuuLrah/7DyD6I8/CXhJf4wTofYRtGo1p1LJA1ONrejBNwYI+vIWQJjprmuNFux/tQugC1XXooUUHPf+DWsbkr0GcfUd2/BN+9uE8uzreV/J3htH16K1K2zynSKiViDZFD0aYodZRPX/r8FjOKNtUqvlO7esiQs942rGWOP6qjmC/FuZi1aUD+C6DtBDZc3zTCWpQ2UqOjIsej4qZUW1xoaHNjrXT47D2LKrLUJCH/18ItXPiet0Fq8H7hBjZv34+OTsqxk1WOB2pzXRGPQwQYsXoYcd65//OdNMmO2Ojg5baH0flD935PB11Lv3PkhnRizCGXW3noNKU1cx4nB9/34yJvQ2ssU77m4uTBqcMnspC1mOzhF6/es8anKYWc1FUXQ7Ao7+cP0bYKgPYa0Oj7WKtbJl/YIGgcdIbTW2pDBRQfR4FRFc5U+rldszY+DPwYddjMQKr2w2IhnqIdsgxvEbx9N7d76DCmEoWpxdshILSF7RPbjPpPprs+sKCMZPQ8C5fng4P9Pdgd69GhXyCL6dGbEZp3x8/HVrutvXU3GHe7yH3wbinqz80UiiyyWM6su81hC64zWnam9S78abkNcaC6NFGbxtGzoIQbXLRUT84V+FX7FG4JBrDGjw3/gU2WGha+U1UXUrUPUz1Hly+V92N97pbF5sWmE7OdLSNJJo60gE20cBbHfDIhYa6GwZqJwrYYB/fWE/5M+TSX3dURMwa0qmet0Ec2Z6cy1rL7FRKtytvk8Ptmh1usa1FULX5oQD8MJ+CX5Rem4y+Au4LO7Z6/gF5pzuy/x591lq13UCw3PwLi2hVgDyKVtqp/Lg5/3enfcHOBoj0gwrtZXWRI1mQuW80Aees3U8dxU3dRduIbptzb3jTWjBTciam7V0DLdzy+JzV2HdMmHHm8qfuwmZj3Lnl0RUBmXCd7XYvy98SR9iJXmSxRj55fw5IeMmIeNjQsakNizZbClVAyE5haQVWUjOl7VUko6Iny6FxmNEeR3ZfFWV0sgm25Ch+yziHIn3bP0LDdkYsZtufunry3/AsDLK8dyvbrkFEWyFmGCBfBdxC8OADvBqz4aXXithRtdcA0GHoUtKpYHFl+DyrWGL4udGRy/mVgiuuQZx3aboFxhpSvJDQvJlYsYwLiQf7fBXxlQzaK0lwRFNJK88CbFC4eJqxqU4j9RydamCflQGHtPdAImVf3zo5jC1LBJZtxrZG1MMh0Nswe4Lxrne1vbGoTC9OGLpYA0PP3llAzWbxlc9K9LxBROP9YQwcUyOecM1bcMJHGZju8cLNiDd6b+wo+MtMdNbPTK8CGNuRuzcdQO+DgeVDh0qejLYmay1fykPjVVpHZV/Sjnl5BQqfo2wAbV3JYjq8EuxTQ4PYaN4ACUX9YQZF4+MfOqSr8fAJNQjEGg+OHmp1OX9Hc6NIX3Zlb8mCZqgxGn40+FhCwwY4FZqou9SUZQz6fjjdOmBMMVeuHt/KR+XCi24sX1utSCbLrvCRkgnXLeQeXhkdcCu69NFbZvQqa89Wbdiqf4JHepSPsiqkVJXquZFGrPHZFXobVt+tXZpGzFN0rZ07a+2tOlg2CG9d/ZjU9lY27EWPvf6s+7yfxc3vcW9hxIcAY9xQDxxqHdxwMbRdaN7CgFL9xYdTZS3nIUBqEOiQ9uh9iumnZolZznCmU83qFVnrSH6/wb+CsNukbbyD6/dHwDdivj6J7PHMnqIMFOi9OvpZWZs7m1nDQZ62jItDmx67ALPjPsIGIGFF2cDMUzDPkxobu3ZoIGEX9cn/LpPivGdfaiFSBYW8FoCELmIoRgnSsXhAaHmQMXZifpMy8pkQOnNIr73N49BIYdu+pwBOjD3vAOzh/Npx0lPbE9ugUMXYKQDd/bvsawtO11smNwJFG9fGgDTWDp+UxCA2zojOPjy1LT5hfLlhvRSP/MUwQZzXEcHB33pqwCO+8RHMIWmPSsirsMT3UfHEh4JZJffHCIW46GrF1qxOg3l7pk8VTuhQkoNJbjaCqSMZi0xSQ2AcIn7UUX11Z/6iFlcpVHM76dCt+bf7etz4LzAtquklkPnNm+AntH4WAIp9R+QL4u6K4gxMyR3991LHUqoMWM8mCaOzsWxu3f7IMqvQhtAqIo+93GdgiMXL4XmeNclXZf1InGeocEp6ozdENSZoXv3rEwYVgIve2XQNGyCkyv3NoWk9RIe26M4I+Zv54n2fe7WmWTFgxX1VUtmJ//5UxA1A/E5xOIoADkpnwAnNjCo1uILuTZ2BheugKqZXCJ++vpD9oV2r+1MnyeUjA6PzzTlVu3KZgkR1RVgacE1IoIFdUFiOGkaLcXhhndYzrt2bWFNrSc5c9ts9IaVm/OrDombBLZOBs67lgbSlzfSxkuno7l8eMPC8YiBAWbXg3TXwoXgcrk8Qgn819hN4wghy3MAlu0+2adz4WlqhA+uCC4LTu6Ps99UvNoPKa/2HIHR9g09biWy7E9yFUCVCX2VAqASHWV8iOpxqMznay7tkHohGfUtgLxBLZH3RueBt1r5okMLGennL7VCa1scp+GylR2Li60p9BqB0NeergBxxHGub03t2y9UePrAgRHnPe/gBP2cxHSC0b69qcA5r4thHITzmQfU4Qbs2MSkd/tggzOws+2i4rLzQtbe8YD01OZx8HRv7zgQypn3q58RamMQX7bQEMf0O9+By7Z5uWxwjRz/Np8IiB5zrdauVpdWDIbl+JeXPaRQlw1RWIOTOk41a+egDP6pjcg19khtQS4nl6/9QIiY1j5ww3hq9WUNrtv4N1vQ0KbCg45z38PWmivEmYYr1lgP3zf39w6yCkYiiIOM24CskJ675pjllL9fXGJwx0rnLrmEk3Wug/XwecvEGFycPWwBkv0Gm9umCv29bVPbTPxPzMvp8QB2AzrDyPB4M4iGkh93XCfVDIT689sAfEjoXFAz+PEeD6otQuhU1waUMazPSiJOBefJ1JE6XZW2SllZ9cfH0ysva3Cc9Cu2WW99wNf0SvG94UqpqeOgdDERw1R3ukJXXCZU/M9iF8jZcFfouus5gUPEw0bovGXFi9qEjthxlYZtvX1KQ2cux7yeVAxstz8ilevtB8cdjAjl1M6Sbwl/JqV5wgLalV08PqNK/S204U3EhmWBwNzXoVOGDamBwLKJZFTZ4g9YKKzym4j7fLFyHx1QZc04HmR+xFzcbtKFo1zXuYjZe7Bx7r3oj0mOzblvmpJ/SNHzMq68QPAdvgYrBNehR8wvNsi48uzqxX2taQ/J6PeZaKZVyp//Go16wpSMzNXVT61sfKggi8hVYpTsLhX1g7Nbo4QEV8wcieW783tfEZRfSh+5+r1TXuOGg9fIj7U9Tcmw277QADAWdbIC61wkDt3nxjaOLWrrHIXhIAosmb5SbFY8d/W6MDHid+xXx2NzH33v1Qc3RuNTlQ/BbhF36LCTtrgDQuJm4nDFvs7RhcXO0UWahk/C4OUi+ntksVQfv2j1sRqF4j5rfUAt57rgx1Tqe19sPi4nSkoTp3iwoo+W6oj9JX94OHu8ZiMuY1dhTDDEkI7tMEKYIWhML8SUO1ETOnujsVxuAsyIa2C8qLFRlkXhxkpB0Xf1QNioFTNeBMQ3eBG8tVAotIYNhIKW2bwkJiYTnQjHXMeEWMw0vWAzmE4gAqgzGpUlLc/zy23CEPZco1bKOLlGFA96Qd9fWSM+3W8+C93Zw9mL7zmWo4zR3LE3EPtFYFECRFiIEQTEtTWq+HzPwAc0RwtqURHHT2uBSTuVFL0lFHCZRiX5rk1sJqt0XoqDGfWv+rnSJohzOG5isMqfjIr1lunyL4v+B7HRGrTGIKKPH6UGQkdRY/kH8r/yR1G6/H35n4ZPlkCg6T8Yn/pgJB6GTnsgys89CtNzj57+qNpjtDotbfGMuJbVOqWW7YWGRCwacE7XEomDeGhIE6Q0GuQu5pzFuSJrxjOligDXS9tVfuq+S+Z+Tv+j/GeKYdXNkc7mBs7RLcGLY/Ytt96KzFuqcgnDdqGJ0VUPXU7LXwdk07l/wRhaXxHtbElyHW4rviRmvfJvEKrHo8YjOyMerQKLfJXhdTooaNLneJ0CTBHOmXvL7+p4Xhp0CjZnvMq/LTEuqHJyMlrZyyI+ooxn9qlVH9vi6OMu8srL0Mc85JaXBsQXeIM4/Ja8fp8soEGNI2poDZLtZzOA+juHa0dyTKm791O1hxwOBMpvqN187oLqUfnzPMl9jPCUekJYywmMkjH5FHz6U/7i8x477QAdEg++t+7BLySCBie+cOIEdMDj2qC2WPJ6h1uMKqdxUM6Na8kiq5kOJdkoWkkczRifTzU5JHPyS89v1LXdO29/FbFNTtPnXn9sf44CZeUf7l6tnNSCGWGMYcMJDnTdPTwKdDghE+KVP/ewmDcynfH0sVdSrIsSnBzccqNjFTu6zJjOwTJodGzoPTC1aHGzAK4m+ULBSF4j0Fu1fGJxEWFf+ujg/BKVeF5tmYr5VKkX0gLn5A95SSpTGpGa/OjAOUJ83SKxntDG9Rst59OxXfF3CF++/Pqh5GkKPdvw6fHO0u3IdCxLavWtyy5Dls4oahiK7QOXcafhUBJc01uUPk2z51MPDC6+D6G0FdCQ0u5eod0SyXtHqKJVXOaBVxs7/OSfQsGau6nW3rgkzQ7LjJ88Ko84q2WxFBXj2pA81CD3KRK4+xNErkVCcWPL3XffLfR5v2VJHyvXMSO9xJLBHaM54hSEGvaJuYYDDeig697/5tc8GXApKqxveo1aXLOM8rcd5/jxbHG1cOCXJkYdzqymiJPXNKjpsEabFW1r7XQ/gtP0kLy0U+rJryYezSiVoi+sEMpFT1Lq6MGLdU/nDjSUYocOHLCcn0U3RABd4IidoWdSDfUsyZyk3rfOuUj3XB0TlBwR9WV5Tnh9OBKS3vU/n1FLlJuS7LSavylsndA0HHIVx5r54fEa6zsOXpaodPwg+mol4u1Q6NIZdngRytZ11/0Jki6SMWzToRhxAoG5E+hff44WLnwpqn9SQGsUT4pFiCbnusKm+gu2KihKkXR6sp6c/5YK51vcsAgMGa4fgEbO8O1NTQDwp+uuu7QdudDqlX9PmWPK4DK6t2HKDZTz6KULF474q/ZXieddpzTLi17WnJLaiV7W+sLa0cp6PeN/Sc+5b/or9chd+YIa/75q0uokkZoXhCSZtNReWsu/pD2qyfIXcSjvrwozegZEAm4Fkbkf1gRSI8Ru0ozeKPgMA6IuihlV68jPsatkqb2LYbi2C3F4pJZSy58li8vwP4xNY1G3tEZ3p7p4dPFmE1D5Q+UPqm2Hs2gtemPfVFcuFO1YaLHhdoZSg2vA3rS4Id45pqNVwyYCfyMi2rd0UJaRZXvGDaRpSHh5Xeh59Ija6RCQ0S7qYpW4IOdHPwebQAU60DghpmM0WmhmuilFP/H3pmE1zcw8pnOLNCETWcKp14GxoJWykQaVMffLwtNqlWsKLdH5iHEoPk8fZtX4YA9oET8x6qilW+S+xVfNRBCXnfZKwm3CGMVXXIx+Gyh3eh76BjypW7z8Dy/73YMdiJuM/8dvDA+DZfzqR3MXJ3QjZtRJYGgFyem1uJJAeRbySUg9F89v3BsJ1e2hQi6Sza/c5aHnsWezwYelPNR0CMbfBcoINSlceQV8xOKqxudEeOFCtEnJYxFmom8yEzCH3z3v+x/ac9rHNVdgzDWkfJzhyj52uVld+jWYk1dKv2Y5sdevJxx0/hZqIH9/2NcFBzijRbTV2l7RrrdOD8TteT+8bg+l7GpcQiw1qL2NyzeTqsWpEa1uh4DCW/ju4TPdCV2s63HXbKQkFsvmo5GI+zmX0cz0kaMX6txpXL4fBVy3/Ov9yxsdrl949Mh0hnInvXxf+deOgwL7lqf/tfb7eCYXi0Q8aAZOUlbISidjsVimJRxYzNyW6SNHjjicNi8/R2bCPbC8WdxGnJpuUScPOK5zzvLm8v3AacoMWU1JIaf4qSdq+A5NhysEG7JJy4oazjZH/PGjXn/O4yfp3SZXh+4Qd25deeToIZM7h48cOexw44KK/MX3LbgC/buTXaVO6+LvEUOIc/iwEGf0fWNXnPTUvGxXza5qV3Wwx4Mn4SwnkSgod8zMP9ewas9Udz+CPlLs37wNfZtXHl0eqTyUG0eEiOXr0a5i77atfu6UnwjP+TGVJ8yp7NgStYe0eESqKmpPq6OayHX5kiKalBzPQmgMDQNyPIrK/wbsrrsw07mD4J43vvEeQCoyj8rvLX+IIYwMiwJagOmDDwKzDHGCP/zWtz7MEa6ToV3IYMkWHas8C2k1CoefeCoyT39hMo662XMQoGEhh2XMP1lAzfBdd5mvy8y0xtTDGFqLNiOr7sFKJAYPPmg8kN/Y5nN68/AM+ketU60JDvYkZP2jSN16Q/2micGBOiav3+BRhTaWKcAzARMjyw50LIjqfctm0u2dMbGbO2ABtMpTvSvWNBfVqblDHlwtQkK3uCiVaY4nI8EimEMLAhFwRNtt6i0WwRiWX+1zyt8OUO7YOlcRp5/CW2GJ2muS8WutFi6PD8j+djKRqJpgzFdFLFki+4kpxDFGbumOeNxGht340snFRK3+iMjw2LZG6NFtaPw5bnYcMAa+0qdjsPUQDt54449bsWmS8FDrb4+udLCS5idKmn4hTahu16S/T2NoHCpJtuv5S36MP1d5rfIF4vnbxgRZH4ACwzYDsnjiWKOUMx6/o+QijDmaesKOSRGclUd/M9jFOQUCYOHWnxy/KYhDQlqs931lwADHacY/bwQ/0vpteAxkzGG9qNNF/W1MzqqEsRJp9AdEAL5u9bAWYJV/1IVKIIJWjpRGdSFZXs1VGdv80EtQuLltIHF/wHnLO4OIb7jYQcicKWXSkfztb3EC976Jn39vmMKBQHk6XBxe0NjEH3xLIPDgQ3zUQ+0t/KEHA3986ZttxB3TQAgjFDm6Xk8l9YlzGgOMIrXRD5kv2xPfIB3PFb2rW6MY6bZlgLuQBFv7dTCUtya0fVRo2620XTwcqMVYTw6x+hw58a8+zqpCV4qjLoApKaJufUC24jyI75uq6tDwfefpD9xb0bmlpTRtApjTpVyj0tq5Kz8dT3Tnxkpt4TXlgKu0hQ6lLehSoeN7Eivie27Shd6qZyLdbTww7oXC3nhFcWS8TlxJFlb3rnAYT3Khr+5IfX2PSLS7c2GrsBsr5UpjR9wR+sakAz8s5a0sn81vQR+CYalmpfKiqmStUNR3/f2MP+Lq9iRHBBnNhatXbbwlkyKqcsBsbj48dnxllqE7xXVqTXb2LWPA5XHiogRFUffp5v6Xu4Da8h0Diwbb29lXvhBwlqPI8t5Mtm1Naw/90udd93239zi5ngVF/X3vc933vLLL6u62ul75nnqditpytY7sKB6MnIJFpdBsfguJv3U3Gq9tMBF51bK1Tfi16xE/m5S4CussQ6nUev2K2Zdnk7JhmeIUuH+zRanE7xMI8+W7l3NQ+34rOsHWQE2pzv7FA4Ue/uUvOM7TXzWXrvU1CgTe9z69fXRBO3/kfU6gTiGkjSp9vqMtFrYh6NsG4a0IcSsLHBUpRS8aGIip7TL1VVFo8/PZ5lS+lCibEUKB3bniYqxThIWQtg4IEUJh8eF00qKqItxL11jiwBrq2hYFZMQCjz9hlnJjOkLnHHACn0SIdmZSbZGPfdB1P/DaPrd7nJZDrisIFsGmFebjjztqteJboieltYTWIUflhuCZOLo1im6r8obqMzZsZbAUuENo+feKe2vjKvcWfaapOxmzItKF8mKAKEOheWYtcSWxljK+Groz8XaDxsP5XDTAoV4mxbBvS1sVT6GeOjvPnA1XltDr3LCNQgSYojVGLEG2YsT+jpJN2bhuxJ3GgPCummNWHxjMrTFeq+Jdx0n5D8lOA7iVtmKtoTiNaxoWNfxxMgejikuzXniUK0Y7056csxHf0kuujJq1lWKDShakxf+6S3Dc1qlhiKSnZcAYQ54SjF2G504ARmj5X3ux6FmE3vMaIrVFnYAQdXWGyz8q/w/E/qpLys+uoNIo/PWNEpWxjhZPzh/jqpZQD/FXNAdIjXCEhHNX99UfQ5FiQ7Wq4d2/qGp6/tK0bjME0cu+dGRjI2KuTlASNWNKiZh1/oSg5iDGiKOca9tu+Z9PaDpXxe8t/+wgZmjENKiJUAIlgRA891k9xADC7yz/eDOwpZbHEEotumi000NMd+nd9yIaonDfnXAwiNHt93E9pvM332oZXJbbeMewdP9seucbCLUpK389YlBADTvv7AKq5vblG+BhfJWcT6sVgKGOfHNA7fWtcMMUxydfc1soUWygmlPF5QQyRvz2TEQbqVHFHrZ0Y+6bQDEBboMhdNBtA8oGGr83SZy5DwagV7hYT7vIIoxAGzU5nnsGwmCg/8qW//BVZKCP6/Y3gHiMYPp5Bg43GXzy2jET2FctQ/lcj7kEo2cws0yKvxFy6VPO+esRrdMqIWYN40Kr/lxLSu2HCPv1p0QUR77oUnJe04hWFFVEuGgdQU6AcFC3HIx+48tnCyQ7COciipGqKgMLdEcqeTPSLqMGhIhQiZsIOSiqA3qXrVsMPiGlZKZuo69jSef7xiMVdR6ydani4IFOoG5IQGdaDB06jJH0IjRN+EzPaREVo22PeUbFi6gnLvmEs1MJZvEz8tA2VShWuh3WM0M/uiNOMIydyi5DuhPEp5HQ0McMy6dY4cjE8Owei15xGsXMOoWEptXpEFI6dEdtNM+xV95dxa3nZ086+1Q4hK3hw39qcJomVnx9c/HSs2abwReiqdUjh03DDgsG3Pi+s+GZSXtf4ZnsUTyTc/auMv1dxIMDNV4Jd2FwoFIttZc1ReKnsYSy7Ew0YeXa1XZS51oF94ZHYhud9GtuEa/2kGPRTCQXRtBj7g37DKKONya5bhf6b/WqBCL33PisAdGu4MZ6nomiV2zcWBwJ7F2QRn0E42wYbXCdJP/sZ4lkHj/au6UvzkYO+byiy8Ysz2NgJ1bdJJlFLHxogdPSd0nxk/U8E8Wv+PwnLMQ5MlydG4hqGqrxp3cK/2JjH6pwycWU47R6LM3ziiqvMa0wqlW2v9ig9OSVZ89V1P40sDbZ168Pd0XB6ejwiUY2BwjetGS2wXK/M+w53DSwu3dn5wDfvMkQ4G0Jd0RDkXWrJF3pwMHQ7nXPfs225xGyBfXm092HByjRw2M3hDizSOjm5TmPE+i9u72RO25EEI5cHX/ly0J/9zN9BwcRGI7ruPC9x0H0X72OgaM8x/K98DT8s7BE+0V7WdcVnvdZoqdvLMJqCPFJdvU78GVLUfNadaIuz3NbpJZHUU0EsurCVg4TlDsUyr8t//7YHcjG1Hd0bBIAfffUkjWcU0B0YIRgoruZoRiLTJWG2lt1bDtgDDe1hYKTa2KjhRjX7dFSl1NsLy6VmdjRU3LLEddxxTPCutpzZM7tbWah9edNMYaB7jsAyNJh6pyC4w21FyezLSy0uT+r06kgHFiRddyDF0DWSHS3x3O5OoQaFCtpffdf3ns09L8Jou2cSLeLUuV22QQjG1nH7iz/Fqj1v4YRPCu3JWEPCyfNZZzQGwRUN1Di/Xn5XwtSHUpt2iUCpb0LG62K/RcKnxRW4PPz+UKVqvO/CbMNbvqp23bsdeTSHHe84e8mLOc7I57DLR07e3fc9lTa/V/EDj3O3I6mvasaMHV4Y/tdfYxC712y3zmRVXubOsy5Q/8bMCxoFwsM94ylpO1GFSpXLZRXb5MklH40Af3vgRA+7DR++hXb9zpEl6a79O8KwoDDHcycvdtv+1SjM3fH/yKGjzFHYhhxpBW/u4cT6LurO8Edihskhs7c1r8aQ1zhlT6ldVSzAC7o6kwy4VnRbGvFAxkKDRdq273q9gv5cVPOZCIb7hLheuGhLBHxpxF4yCg/1VgYajA9cnvx/RYh5iMfXDBqUNvu7S90bUXMJbR5+sAYj9o2RYAaf0jKv3urtaP9nuVbrurxGBqF4CadMKrrmzbffNygJrOOHIVQrODOfYOTQfRcoftGry/VaMOt6CI4jtbTT9ZrMyTWWKeFNquXLRzJq6x0A6LeB2q1rFK01aXYrxBdZIvI+YdB9SrvrFiTFSvIQ3F/d99A/iDa+Y4lsHQ5JTpzlobCMQMdPV9O8h77IBnriAp9+2NJ1Na2Weem+8hrMMJQ7N08NvaNRwzWXgTkQKpjtvneeyk3WOCujo6hGBruNRllDO3cSIxj57Z5xuG+iXC4zYFO99rlP/1VKNZx443o0GYntmkPHNdwxWPJKtbZHqHj7k2rVi6M48qYLDyNHlytqrokfKIXVILcPaCS6tXGXl/x0pBk+LWqqoRoLFapSkWK3WitXlUajbzikE7IP3zCoB1NplDfzhXW5FuZy3T3lbmlBXTo8591rK893Rh1zQBtSExOcQILt4wPW2kH/+GzpPzNTDE+o2e6rzUsuOcNxJwa0LlQfPf2lPmS3SYXNXzehSjjBUUN21OhFg9B+UfE900WNnj61HIASn+OnutIv7Z5XQ7BFeg6eC3yImYBe9fWcMloywVneKfAZcfW6dXdVoU7oPQI+XP1ikmtbu2C6JnBUBPHCciq3h8WYTtFhVA+zpBoGzw2stG1X919DUlH9jKiBwHGFvUO77EJqWzxOng6IALIvl5++GLHYN9+rRMBdPFlmBSKn7iDT8G34ai7NDYGziKk6SRA3/pwJNVlEJeoPV4SDDgVDV1AeePNw0M2+tAS3fjVL4ZGEDl6FO1e/XfooFrDugaeQddoGeG1mSpXUsUg1gctTib6rzWcYPvY1guM0Mjg62Z5iFGmt7TvuzqfXX5lq3mNZfCmVT0rDRTsv8elIcAQHGvfZwQPrA6p571WPa9F5i2vxMvrcnGMg4K57n2RJcewjFT2wK3nb5poo8TWQ27ny9c25fJNG+/IE3TQsFCoO3tgYfeUqRsQYokV+wKUehevkmvH+okN+Ab4jJbSerVj2s8mG8cRgUnEyNQCoGwnMvT9iBt0zV7E0Wr/Dd4jmlCHUf1STTeYblws7oIwkq+/1naZSNNC0xpjZJdGiCff6s53aZyHefUt3EOn/Fr+AogG51Zuc+bfTg684M8MjWODn/ZzNiNes5sC7eiRQ+dv37p65bIlpcGujmyz52opSFnyvdK1pb96NP2qRUNqKpLzY+3+inSrjMXXXuYuPqNcjo3zfL9YbcXNv93wUDUI7799ozI7K6B3ehunzn9DS6Ild+OW2c1bZrbemGv54OiaRei5S568iEXIq7/pwi/f9vpvNNtzH123AByyopuFDBMJOZnrssTFxaF+e2zxaEPjjuVb+vRQWF5BBFyHbVvZNpSKOQtLetdi+Ewkc+d5y1dvXbflZdmmpuzLtqzb+tytnYlIeeWlH7kAWV96fWPg3T/+0PG+B8c26aiNRm0TsfcKlV/eUxhMUNduzHX1iDGQJcKIbVkWA8TYxPKh9mS3zR2LuOtka0UD6GNiPSwhV9Hn3yio8mjUAo0FTwCxDH/ogzJn+jvejggTlUgRohg+7K/Qv+OWNrnS3YGSHRS0uvtGqr0OydBqZWOSmhR54ubonZbx9ndgxxH31kHekaGBAFqr7kmAdpR/2EFZZe0QfQxdrEWr9wsLQ+5LqkSsCDlj87e/Q0r5wQ9hgv0bXhwof6iSzxh8CevvqCS0am/V8eViVUkH0V2GpStdQRf3FJIK/fcFmC8aEHVjcTftxGK8W+gblHzq+XUpTWkbYUjjLEzdEPzbSocy93zxMr2jTvBTLuUh+NNKy3EPl79U3mN7dXcKV/NaBv3AAdJifrhSE1Mql+rBfnQJ6jzfZdQh5Y+XL1gegr+lrtdfvqn8pcOuYxE0i965MqShOsaiYBFq6FSm4snkxFMIieKnJzEe9Ucl2xFOZzueifl5JnKjzCoqckheDxOKe84VVgKqUMk3xyH5/uNzAzdOTXJL5+immwMRw6Ko4fZX2hSVf1z+PrUMDeruIXlJiQjX4Az3aRuCNq7eyH/SHfPPidcPw3e/d/qd2wH9m/Hb34BqwzVGkpCTaOh0NtKpxJtTOUdK158LOScV9maNZx+NAKh2uzkAVuDmmxDXLQ6ZmCEEKn+//GNEbQ3qfhsTOkYCJsCpvw8PCe8sJAxa/Y2e/+737lslFDzpfpAGVP7gfb/9TZ1mqrW6gM7I6DoDwelUApfagXcdnCu4Kk1aUa4UZEJqJbuS5i1eYa0z6W/5Nw+FhiAHwt7GB9A457p+Q3pZFlHKbrwOE5fg625khDi//dOP0Y9fchWCz3D2ua6LhsAg1OBf/hQAwKe+zLlMjIyuL+8TrkP53XH64ceETv0im/px0W8W1PIQirYwpKiS4biUJ+pLE1Vmvj6vxbCazdTGjkF0yT5ECCJsL7rvWDsCIDcciyZ7TUzo1ddcczUl2Oztvfp6AgCB0HH0SoRyiIAAiyIi/gEhu7ehpetfy3WAHeJ/ADp/7Z1o+y5CQN4YqXbxHPwcnlI8BFfZx9o0C5+cc7ZiLwfljDjUKr7CvwYxS2yYed8YWE5HwKZ45QpMY42NzM12IoQwegkKNsd1eMoizIos3v6P5eeDthvR8XXX6JjYgUhsbAYLSNHY15O+te478RMcgi+r97F3A6plU3KxRNqfB8ZFR2+rTr7V+noTriRYGseiwHDFefKnDbH5Vyv24E8x9AhDBHR40sAtQw9utwxiUcT7bz3QFMPEaH0dGBiz8oZaoczA1mOdAnBmWycXgqcIYwSLA4KOPh00svdHDFxeb1BkbTzXIcGR3Gu2IAoUn1wstHety9Df2RG/UP41m2UhDdW1X8EnQOgvt92/1GL/clNVqzRvgU7crTjRfcIzT/u5QeuZXdHakYroK1qX8lBDvl8CbaqB1HO8akcR5v4AKCMmYRR+4DKdV5hoOFVhej1W+ZzbaDIT/QtTL7oxWblVfOVo0qekaRrU+IgprV/m10r/9/kR5IoDiTeR+fN/OQnCJ3VgqEUuP4pPqF2F614440G5B9ArbgaMCKakcsmslzautf33GQ7QQLzujDbywmmXYF0IquccAv06XHCmtEvlmZDtn7AZINPPiy6UeQb+qDUIBvcuUdNrx7uLgXnem1qFiZ+8tz9cZar4AZW6Pal+0H1oIFhXQhyddh3uB+Iw3REpABDo1ArWZQCY2Leia3DxkkUXbCaIWboIgXQMwvULhzpWeQZqnL8ItnvyJfgvZAUM/Bz6F2BOSOQOQOIJFP1i7qqVexItPflF/WFuhnVn+e4EWptvz3jhdPkP1UvpTN3pelyS2iJtt8BldqKn3cXYT0D2AukQ0P8yMDt59OSkCUGrljQBrvyrkfkWN8LzORVQqJZTYe6NLx4b0DZW9mmmBDaCX5IJYdnnxuHMLIDSCJb7FJWmiiVf2aeofE6V6g5dN3X/KlSXkkvRAtjcJwS+1HBQerDbpMTGyAzy5t84TvmHQz0hG+Wyv3PQr5tXZ12bkeM3Llh5nFZ4AkIIUk7rTn8fMRy5ezPjuE5/v6Vj4M15p16HBvVet75mu541ED2NNhD8b1TY6FSyakmpqdAEOYpD8FtM/qL8cJAFRKyaMcp8RgE9/rKxlccJmWt9YfFBW1C+Hr4K/yVmQm8T0j+4u7KmkT/DmobkPFS4Part1YKodGiwPltwHUtjUOUXaAKxslm/ny/iRwVq2W/VbCua5TwSO/mKuluNjFihSFbSrlEsqB9/OPY6ZGLq17H9B28imslPqJS9tDe4JNM9AJwwTFAp02X3FNU1m4hrdlOxmwZd0j5lREwUChWAct3J6REDRXqy+cxiHSfQ7pXdA1YANaZXRfvzLa3q3HC637MyscxM0QsaYQuJn7mgsd/KhRR+ySWDiy6jciHlt0wMOHY4lJpsYiw+2RttbEAYMAJ0K0axJEsEGyeaGY9N9ga8EAJAdhd1WGvvDqAW17eGemOIGuFgalIl2V1yTgNjaMlS0mPGgqmp5rg4t7o35QDXe1PFrkh3A1BL51pdfTZobxf1+dAeq7oCUxkVyMkrMP9fVOh2AwgsZZRSMSL+mykXcEykH3td+feY/vr/UZX+hv+WYQ+zq69R6z6XiZq9hJPAn3f8X69UIhgQ/fAY/qmWUrk/V8n9xqPdHYVsU1AjtcQf4l+MVGI5heoAq1VSLrLKJ5/nd9DKgCP+VQgU8LBhWWjVZ/7EEf8TGI5O5j5DTZ3D2NwTLiwPqGyM/ifRAcNCZnJBSy7/Ow2pn+Hyb/8LDPxTh1sMpVbtxefsAWbpFnpW+jMUf33upUnOk/yj6u8DgJ7BxDEYOnoYQjaVv0Dnil+Ytlans9wX2autkTov7utubUk6Gpmnfig96zgr/PSsnXUskIr7UZnxDUgSyAW6YxDgc/8FlqSATGBusrnPJqSarvCCnvM8WIY4xlJ3mNVtg4iiHKwQLf97+d8QNxEKoSYXIC0UZeQT/yBUVWQQZjgEP/PIGXJ8PjRfMgSHjyJq2vTgYYrqvbqgyhfcEtJrPmid+yYmJQPxF3BKlQdac+AY9APDIeDlH/z5jC4pXGcZFpGOXNDhgEwET4mqOLMrWi+fp3Ur+ax6r7MmStx3jGPxk+RWRme4zvesymJV3WIXoaropjPxCWTKPKAVB5TrShr+TuUP6xSIVXFAKW/aecMJapt1uS+7tENCvk1DcYBawl5leaKRCkNFCFala7dW+LqKrIv+2myY8OoAZW70qzM2pfbM/rFxi9suJ97ssfIPRh1n1J00Pv7FyNwXXnxqzH8yHTfwruUxwLGWkaVbAtwV96WxDaUNpnR9EaDA0QNze//KPKE1rDJuZQWVVcnaCqKKoR8eUmZbQanCxnQw9tdj9agz6k3wj38pQrjjcGt8bP+MQ4gz89Woy2iAhGaOocZRZ+5NLxos9GXmgAIkRtW9A1uWjrTEMMSWvyvgOmZs09BGwymvfXFgyd315X+Gr4hxtVtkEbxZePGX71qxOO/ied7WOBuMq0ZWXbevtTL1T/im9Ynsa4OqBFeVrc/CFD2p6AuVVUU3OjM/OfzSKAIEQmDsciPv9Szc0mC5O0pdobzBHRdY9KUX/nTGgWv3rMivGV+fDmWygU3+qLlkxM0VnLbplcVgNuO19RBsOruXt06PT2dlqWIPBov6xYpWZsNkryy2QRel0O+4wzr6LweTcMCIc2dJdyJbDDEKoWJTY/cShzsWxC7v7+BW+fpl+5rb+y1CGtc0Mx4Z3RYgmAR6ewOEOCO5dPT3S/c3t/c6lDrD+SbGIuKtApRgp78rTEhqJh2rr4E2wfa8XtTARVsmS2kTV9qswl211zoqkmywolYqlLTw/5EKgEfd6Z9eeHVYR67DeGuoa2xbwhKZsHq8Vh5xkB6++siPp93E/17odZt3DFwWR5YAeby/sakY4gSC7dlE/3iKovhlAx3MLV/8vwi68iYfUd5kt5odXLUo78KLaPG4OimtX32v+op1zqL0FRX14gxeZFt2fl/W0Blrzt8wVX9J9IkfHT21T5R2qD7RHVR9AlO/TzTsXJWLd463Usi0Ikx4oDlTq44E2rNin+EEQpnIeH8F/ATatXzJgSLgTDSkG1a2uejXqKqqZSNepkfQOcUPpnrqalp1lc6+U7pKIaK6SnOye6nDLQPHLu8TXWXuj0v3Ma8jRqeWIWRzvGyKeJX6QhyW7WsgBKVzU053kNLkjDq59JwlcTLVlbJ1e6Eq3TjdJOp7wTaH4pgOsnSPR6lqBXV12qa9RdTppSNpE86+D6H/R1Xq97JjEQ6qlwW7VS/bNjbfy47+aNqdu+X/SnWq7td3eRwMizsT/clm1f3CbbXu19/J3Lmf/p+tSA2LaHq7ysDeo40oZvTiBcP9bdzPwF4ag5Gz3H7lnTJJONvNVqfMCdDTZ7XBqryibhKgWOsVLbq1YW2x0GLR6FBfAPCL3VcVOkWLs91EhU5RA/7tbDdOzTXXa6I8quPwKA5oBW2PzDDdHTol61hd0rEaUa2ackz5UhV+VaSWNqHmSfkdZqRUl6ft7HOQvdtBlwyOUs+G7uKWjZbz+c7tb1cZL4bzDVy3UFfHxi2cWAfPmx59+zs4+YHMRlZN1GUj9SpiS+Qi2y8TdUH5h8xbNEltHRPW2wuW5wwdBIszb7yzweOoNFjhpmxaCYTWo9Kq8lGv6PLUet5Z5SXDLxqUs85V9mIAgecr+dnaLlLp2SQgMnVZn0zOZsGff/ViEFHvifux9iv0fq1ZrL54tVwgNYq5ymSiEplUOYzyrXnFJodxZ3jUI9zpSIsTrTYvyffoXVFssRxqjJXKjvNsV5NtU97m6GPqOf+B7kGPaKPiOcW6N+uJVavKftF4Hdc06OdPYer5vTDM5zmUo7bucDi0E3RTpxziDZwJQNJ5xUmaehnYukugIcmZDSSbRw+5lB88ODnY3WVTszETcAOMCvwi5acc6867C7O7dggZZ2c912OEkFjE5yW8B56GPVqHkLUpqFYGB2LyXzziJy70o9whxeMSfWhg0N8SluM8gvYlMknHBRsM+6LLkiOG0TiyeQtgEzV2j67OfWiidM9TrUXkIkwQJuToPwtpENqIZoEiBCA0gjffD1KK1hN/hOfQB9WKYIdan6yR/uVOADF5rDJDazExlYBsPm2OejfAUPWNQ/6+gfmkO3LzDXwomAyQlcuNeJCZFKWWBkkkbYR29bUFDKy3OLP7HtVbkl4iMbHSsAynCefHHRoNE4r04O7BfMAgToONJlHOaEFig53t0J7OSHaBl4uARSweyPVmAjHLHljY3D9+GXieFYgUuwNeomUXAaxTSkWR/nQ4nAx19aeg43Hi+L30B5V3ZkzJna0d/807M6S1Hc4U5F9FGPG1jCrL6/9VOwwzL/yKDGSjUPkXjoNChFBAiBscBRyn/Gv/r+NZ5V+84Fsx5m517Qcc1+ntoQRxo7PL0z35fZdl7KnXJqnebjre+Zd56Gevzgu/8uKs9Hnht1z8+coXVkjpswsew/doL9VuEvrcdO2mDFQZfeMwXH0bhZqcy+iszHOrzKaLpTtVWdRVDlk1RWrdfhUXaikm6smPNaMqHiHbM1IOWVTc5xxmEP3Nb8ALYfN5j5nE4ajrUGf/1gE0MsaJ3G8y0AKtvbMGpcbLrowke9/zLpvyv/sAhwiNoLsFL/JZMKNGY8Bi7lI+LqmRK5enkufsFwcXHuzQ4yZaNPM3gPDiPgOjePy9NuNEn14J+ANQ7N+ii0dEesOJ9jh66J2cODS24g3nRSIFgzLC+aISLJq5FWyDcQJxuiAwqowUaxpr3JJxKP5MiFTM8cwsEKqbFlzTsCwtfCuUwY1JRJZNofq3Buzw9wbtXvxi3xrA4tVlrADUXuM3Ikf9XIVOPQ5/zdsD8DpY/fHzCXcI+uoXe/sZs922NgQkudnyiDk9W9Qjxl/5KoGPQGZnn+MSdP7eK1+iiwKwbz84HdQjzMy17ooMxU97q0ANp21qT8zeHWsTsmUOxIQP/6JeN6GcfV79qr7LRqeACw+1Saxbq2+dOIjE+yB6z+a1E+4rb9FRp/XBDwKxOBjvew9BzbklVGD28r3nEQct9V888c6uLkReetZvnphaeiC1eQthhNsb16/c+iZZEC2jxUEhxBfr3zxR6bWXaNcJbK68bHtcYlPpUir0qBpTPTUdatiAaBV18LRlX3TnRZLGHZemYBw2yp5lxKw7bowTQr7+NdTeKin2XpYJzrky0CuXl1KNUFp+tcCNu1ddA8Xi2fdb9CZSfu9/IrvBtBrsrOxTjRMtsHosQGxGDp13xaU6cSicF+trQP0CTZrJPDy7Od28kFHG7QVjl7/krDssbMFvRVflppuaZrI+xo8JjBdp+7TL5Wz2nFWy/bXKeYBCSH7GT2F4j0PdSzTVoToarmXFVPxXVSnS++ARn3CZ4y6oHNNYhELFreoyR4/Ah+3yVzJ5dMxxylcVG3/8I9v+za9iIUcPkiA1KEo3O8765ma6eo3jvCOTx4mVF0uHXTinxy5xPMINh9pWPMa4M3U0taARveb19tUHk6UcrJJufPzS5cbRS/6eBtbPOJx4+xf4m9P626IhVjBiDgLpFK5eTYnjOuvXYi5TCV9cEM47NOeB4wCkUtKBcX6/8PgUIi4AIGTumCjuLY5vN+E//6h2+X0YHhMj5oXC89kQ83f5ZUZKar7+ggmT/clLTIJV/8Z/30lXn3XoV9zJIZEooYL5SNVdR+uHu/fu1Zfl9+dOmcZsuTaMcWDdopbJ7buyiQDX3caGQsFxDnaNHjxoOf+jZcnb3mrbH/xgJm/pDmpI7d4lnODgZPD+BzjhzNu0inrR0vmVvMoISfjAvGi9t8JbtKchwAeLEVsnvQXp0k/uqLjuCtzBRTbHgNo7kLyWvCAJhNa3t/O1K0R7O3xogVudzcRV4KLWbGRjqeVcFRbtRbZHAY1/JlRSG0xztJCFqDAV9am4Fy4JuE4Dk80nzV2OAoNxmbq886XjobNvjD9zrOM3vnxJsb9h4tr70H8G4GOOvfUGAbsD33AdYHmLpJpHGccBfWEgE0TcG9gWXRHZsLB78Kza44xHdQtQx90tNod9gfJuAOvI+mA2542qdvch5aldKtrduYtUu6s1jOGTD8XwOW8mY6fOFVWR+jy3uVqHrYfdT+FdA32TPWO1myuXc+I8YBWtS45yYl11VSRo6yzgEQEWzrUsuyBWmzhK1C+bGYpJmPe8KpXmQR198ikB9JFIx89+bDnlpkiDyx2XmALntP15EvCbDmde8lBSGjLLgP5ixGFG3kzYMq6wZXGrnwNboQbuurGNCt7904GOCAJHZ9X5ZP+E7bbKX4GruJRIIHeNtklmlOQCOaq0U/rJYaUewVrW8xcOQFQGIc5OmmfDo075zp5OjolhA2QzakPs49EtsWJXpj7oUN9b1y/s39rbVfkNzmS2bBYz7O2dYoZ9DfNKgwQF1H4oShlX6Bje+cuLwqOaDzYckR108Z5ETJU2aXtBds2DQ2CptyEAfEjovV3ovfRkvdsqa03Z+pcli4PaANuv7PlpTef0YISv/ENOuZBrpRgIcCOVjodN4qzsH9y8zXE+n80TrFMHNTW0JCP1sYVa8EElxp/qzS777nesa6k3PIwRsjjt6uCUU29mLRa6Dg5hajMEvCcH9TEFpxZxUJnwZQOQ1kf8D3UJjxRrXFgfSpBcH+4fHowOiv/O8/939uWQLCdKiXICS6Qhv4y89j8Bw0CqjgAAAAEAAAEHAKcABgAAAAAAAgAwAEAAdwAAAJYLlwAAAAAAAAAWABYAFgAWAO8CdgNfBDkFfAaCB5cIpQk+CegK/gvIDOENqA6BD2YQrRHeE1gUJBT7Fb4WyxfrGMsZihqyGrIb6x2jHq4fyiAKILQg9CGeIeEiXiKLIy0jsSPWJCUkeCTLJTIlfSXJJicmiybaJyonayetKAMoXSjoKW4pyCoKKngq5SteK9osBCwuLFgsgizgLT4tmy3zLlEupi7wL0EvxTB9MQQxZDHJMiYyjDLyM0UzxDRjNLU0yjUDNSc1jjW+NgQ2VjZsNqk20TcbN003gTfHOA44hjjSOWc53zp3Oto7ITtsO7U8ADxGPJk89D1IPZU+FD6VPy8/oEAUQJxBIEGWQgVCV0K0QxZDgEQFRIxE/UV1RgdGlkcQR35HokfFSEdJEEl2SbdJ6Uo/SnVKoErRSxNLcEvfTEFMtkzrTSNNaE2xTgNOL05wTrVO1E9hT5xP3FAeUGFQt1D+UUtRwlI6UqRTDFNnU7FT/VSIVRFVfFXlVkdWqVc8V8FYUljlWYdaKVqQWwJbjlwXXE9chl2mXdJd+l4jXkpeb16YXrhe4F8CXzRfVl90X6FfxmALYGJgqWEmYVthsGIHYlBi3GNqY8xkK2UTZf1md2byZ0JnkmgoaL9pgGo6ayRsCWxsbNFtXG3lbhlub26yby9vlm/+cLdxS3HZcotzL3PDdGt1CHWEdgJ2FnYqdjgAAQAAAAEAAJg3f2lfDzz1AAsD6AAAAADYspj5AAAAANiymPn21f4xCSoD6wAAAAgAAgAAAAAAAHjafZM1kBRBGIVf9+Du7u4O3UO0hLh7hmXkRUS05EW6ETlOunkVIZZuhPu539x7ez1bfatVX/1uOzMYxAHwZ04D1GHfY74tYSblVjKP+ix7G7OSGdhK5pgiFpluTKG+RLHkJeYzbxHtDcybJ1mt24VVjK+XTpB8x+Sgq+dqxudD8/5iZvIG62jvsKuwY/rqrHP6alig9xP5wn6O+ZvNQsxSnPW7mEtf9tOUs5+MHbEPGe9GgRzlHE+OBOkmXYUnZ4O9cfJ9pNKZKxz1+WQP53hhnqBMtudSPWocw8VYRqwP8lSQfvIRFMglzdG+usM8wz3al6in5CbxvMfloIKbZkn22xSZ25395m3LyFLVEi8ZOBLkQeIj5pI5db6LgQuC809I1uEDu5Qb4UWUl/e5FNkFkka4GHubvKf+QHr2tsp7QlQfw+fk7C6kqhFmSa3P44ALeNuPi2J8F+oluEBt38mH4YmrnxPd4+oI/rr4I0zTuxLDeyDyHN54Saj/BF37vMR+vjOXyD7ZvC3eqX52KtrsnNZxKY6jovcn+51/N1Fde8IzNbsAgTvAGFH7rWgAAHjaY2BkYGB+/c+QIYpT9tvV/3s5tYAiKICRHQChNAZieNpjYGL6wjiBgZWBgamLaQ8DA0MPhGZ8wGDIyMSABBoYGN4LMLx5C+MHpLmmMDgwKLz/z6zw34Ihivk143kFBob+OGaQLNNqIKHAwAgAVSISGQAAeNpkz1OYG0AUBeDJBrXt3JOd5OvUtm0bL7Vt27Zt27bN2bmpbTuq28vz+gshrD82ubCIYGworKGUXNisJQP/tOgrHKK8cIraooWYKxaJ1eKFxRN2OCzCarUa6wtnNopFHspMOakQjaXxNINm01xaQKtpHW2hHbSHDtIZ0mToBt2CBXbEQFzER0KkgBvZkA+FURwlURoVUAU1UBfN0BId0AX9MAJjMQPzsBxrsAlbsROHcAQXoXETT12u8KMyuowt48vEsr2cJzfIzXKX3CuPu+2eeB5n6seqvuqtRqrJars6rE7eiHIjzo1kz30+nxABT6H/PGF/ebL/8EwPeebTClpLm2k77ab9dJiu//LY/vA4kRV5UeiHpzwqoXrA0zjgaY3O6ImhGIPpmIWlWIn12IrtIc8xXAbjngt/eNrJuXJ9wLPzH08vNUhNUpvUIXXihuNG9BsJn38NgG77Dvg2+Pr4evg6+DJ/+/K1/tdSX8O/lPmSy/vWm9xr58/8gV/wfJ7H03kqT+HJPIZH83AeyoN5IA/gbtyVO3MnrsHVuDyX5lJckgtzQc7C6Vixh90sGUycipNxXI7NsTgGR2ErC/PevDMvzHPz1Dw2j8wtc9PcMF5jzDWzKMKid+sdeqvepOvpjNqpU+okOpH2j+/WlZvHb+6+9vFa/bXEa9HXgq+ZXtO+pq7wTuGNwgtBdmDqG2aAkQ2IYWwmIMGErgCUBQkAFlY2dg5OLm4eXj5+AUEhYRFRMXEJSSlpGVl0lXKUuFURia2ALpkHIuSRRW7gN01FFcYCABVRErIAAHjarFXlmutGDB2HluEyuCDfudlu47EvM9tx0suL32cX7aXf5fYZ/DRyyv/6aD1yskylhWhGo5GOjqQJK0OsluMoIXr5u5qcf8mNxY9jvmnzbJJuUL4cc6WZ/TGshtXqql6xHYdVwirU7Z6yVJgGHluGKd3wuGJojfjPOa7NfNybtUbDaDVa+CR2tGPnMfHcXOzw08Qmviuru0lCRd8oW+NZqAY74qtyfhWWcBYTQOQZ8ehcnEJDcjYqq9uyup3aaZIkNltukmhWc/F6knhcNQQ/tWYGQPVwLua6DrihA8BP2Eo9rhkNXLRW1FcCkpN+cPnEebTK1ZYDfUg55fBdXK03kdZ8nM7Z2UIS6wSnTxdjHNmS1CCyx3XDQ6HbU5U+NQ1sdaBBsQ4yrqxssLUK/1xveTxkSECOhau/19QKiQd+miZikrZLkMOmNzSmwihoOVtkj5jd5I/2vViuhh4ZpxTlOqO1AVPKFjaZbIDcRMnVps7a/RBjh1zny7ilcOugS+OmTKg3NlqNYsfWTtJyPJ4wRaUS8VrW9njSwJCIx8MXch0LHSQ8IbsF7Caw83gKbqZLSggMrCIuT4Yp5SnxJEjzeNq8XIqL2lo7ucwT6/pHj0+Yl/Pxy8W+0nagP1XqT5pCTYXLcTE1hfplAU+50qRo3aAYl48JfLB1VhPymIsLIQ/ZBnlOZdiWo3Ftc233z3EF/6UmQSZd4O9Cu7tUhxSwUOqUBlshq8c9y7LKWp0yqlCVaCnmKR1QxGM64FEETgNKEf6X6WlLTaogyNPiZMPl71z7Emg6jdxOuR6fMYUl8ix4FnnOFFWR501RE3nBFHWRF03REGmbYkjkO6YYFvmuKUZEfmjIZ+szj1vl4iuP3XLxtcfvGcUT7r/A+D4wvgffBIwiHWAUeQkYRWpgFHkZGEU2gVHkDDCK/AAYRc4Co0hj6GHZap5B2OmUQoEQSjkg2Ui/+YY9lz1M0hVD1KVDKqGzu1qesSMt0EoeX90qj3WWr7SKunUmiq8mZYLXSmYOPb5u6FaJ9wbsrGh/EEwYgh+sV2d/VvLTfqzvFtetM8joJvIH4IPxsgqzux7fMv65hx7fPs4UTbgK8zsoiTrbJJ+6Mryg8nmed3UX0x6vIH+2MNG3LevMacS/a4AKA4K/0oRHQnc99zXRwxy+7m0fk9/3wTUdiBVxKvP+dD7+qUJVsn+qzFQvJoG8gcMhBqy01h1MH6q5By2Bjf5jXwnTNc3VMFubi7HJbKxTeYP23sk0IfSM7qCGGhE6yAuijJLSQUG0RNE4SSG5joaq7/MKj5JRswSBz7n+K7cdCyW/LxwQNPWZAQf6Iah5IGrkqgOcdXRXgkm1Hoq+TGDAqFqKfXqoHRuaTSWcbVPeaGL3fOe3b79QB3XwoDJa2vjRAEG4WZpUvp73prhZysdGky+sdfAwP0z8wrdOYwCfbKnndqqf7rY+0OaZ4bvugU4Dw/fcHIGlWYB2vw3K4rMP03Crw8DuVgtqtLqv7w7ctfFo4A3/F63Y/b+6T+BndwFL4wnZUW8nGWCMhIzN/DuSv6MHBOi7u1PuIuUz/eHsKZnDUz7fxCx+dIj+uSmUdfoU38L6heE7EC+FtQi8UicHikHYV0bakV9i+dr08M5g8QYLSxZvTc8qNX/1WNdmDgNAFISPoY+LBA0ccywzs2VmLkvQoHdWkM3z9ycWOxL6SwbzJ5HFEDkMkccQBcyXRBFDlDBEGUNUMD8SVQxRwxB1DNHA/Es0MUQLQ7QxRAfzLdHFED0M0ccQruU9p4d5wPDepYZaH1IjvZ5kfMoYW95LqicM1VNK9YxSOre815QuGEqXlNIVpXRteW8p3TCUbrWgOy3o3gofb66Sj6dv03twvesn55S8U+wzK3FNYwB42mPw3sFwIihiIyNjX+QGxp0cDBwMyQUbGdicNjEwMmiBGJu5WRk5ICwhZjCL3WkXMwNQmhPI5nDaxeAAYTMzuGxUYewIjNjg0BGxkTnFZaMaiLeLo4GBkcWhIzkkAqQkEgg287Iy8mjtYPzfuoGldyMTUB9rigsAaUMkpwAAAHjaY8AESkCoyqDKtPr/f6bVTKIMDEz7/r+FsABhWQdueNpMzKENAjEYR/H3fW2PnGhzRSDOgUWRMAEbnMXBKPhTDADBMwxzIFiBQP6i7pcnHrCyggEAE8hGzyQ7C45yYM1JjlQuciJzlbumZ9txlwujveSh+df/P2CxBx72lo2lz7JT/CYHDv6UIxv/yIkxVLlrevZz2MqFfZrlofnX3//bGnUtNw7DwH3WV+Dtmkzbup7eq0t68pZhZMRiojYi3f7+EKa368O2A+4uQHC5KCeV6SeO3sbvKGo0vteiRrNBK2xNP6f92HAec0ibeayCp+TmpyvyN9pOdE6Lse5xNtnWB3xyutje3+P+INXVWpG7taLqM0WqQVN0d1+7ITwLHHFlTZFTQzXUp1fqC18skN7OjEYjlWmXXOixkqxz736r6BvhpYT0deRaHAYj4xLaY8vVkHt09Rjq6Izvn6GC4CAx9vpqvzh3I10xSSA1MedWRIO8xxW5hGl/s0XdkvNrcuuaENLtg5uqqcTsXkt6qE2qz1ImX4emtcVd0m4qSJwrp+p1G1emdFZZk14VXO+utV6/wTIKlJiggkEfCRwIbxHjnZwRGjK+o+ZRUxZhBQzruTkI+4gFs+AYjFAimx4rBL90buLTnfM3iW0LR3vXRcSCemBkot8WfCD4BKdy05ace2BxHCCFFvc1FKJy/qwkzt5f+WqnZD3X1x47/AbjCCy7hfG5SLyVX5/+sH/h73fQ+8xg5IdCBg0n/hdyjqFu3jqHd/+x048zXt6w9EPOo8whAmEaXxf5jlnfpyFY+HT3M4QOtKj5xd9Q4hJILBEn+0i1L+hc0Mj/gahvGKmcse+Zvck0ENzzuclXw169iZacXZSe+9C59cghBD374SaUX76yF/MSNIayjMQ1zpD62H0/tM+4iF2PHaYQSNzJKAXXZVjE/s9KOMFKlnjddbiOruhbf6P5AVnrUJ8AAHjabMHTQQUAAEDRex+zbTzbftlaqr8GaLYaIW8QvzuHAH++bqnwD6OABAgSI06CJCnSZMiSI0+BIiXKVKhSo06DJi3adOjSo8+NAe6549kgDzwaMmzEqAMOOuSwI4465rgTTjrltDPOOue8Cy665LIrrrrmuhtuuuW2MeMmTJoybcasOfMWLFqybMWqNes2bNqyzYcdu/bsu+Oue+574KFHHnviqWeee8E3QfCArQAAAADs7Xzftm3bzLZd12wbGDFqzLgJk6ZMmzFrzrwFi5YsW7FqzboNm7Zs27Frz74Dh44cO3HqzLkLl65cu3Hrzr0Hj548e/HqzbsPn758+/Hrz7+AoJCwiKiYuISklLSMrJy8gqKSsoqqmrqGppa2jq6e/pAgeDBAAAgAANjtP0g2P9u2sUl3omLiEpJS0jKycvIKipGgpKyiqiaoa2hqaevo6ukbGBoZm5iamVtYWlnb2NrZOzg6Obu4url7eHp5+/j6/VucCxzJdSAAww938DCGxCA2asWwJ2julpqU4dMPpP6Av0LJNy/ng1JJ/bdfLqY5scrcPR+O683qclpKpVaxqx+NsuOsVl00XrQGHXoM4qDvFtN0eTtuts/3czQddvtnacaEGZuYFBq0mLFgRfayQo0OPSbM2LCLxaDFAR16DBgxYcaCFRt2sSbMWMWm0MwapXG43Zyuzx9Pm2cKVdQDusfrdLlepufD5bw4/r84744baRmNBi0OOKK7e95vps32MrFpx5unw+lwXEzkVRw0GnSYsGEXR40GLTr0WETn0GPAiAUbdtEr1GjQokOPBSs27GJQqDFgxIQZ2YsKE2as2LCLSaHGgBETFqzYsIvZoMUBRwyYMGO5WV+eT4vnveTFY8SEGQtWbNjFqlCjQYsDOvQYMGLCjA272BRqdOgxYMSEGQvWWWsM2tmhhNs/XWn1/fwElkAZAjV8K3cYvUZztz0cj5v18vL+67cxytub5+mw2L1cZSBbdFJfn8mrWNSsU+Os11Y0ajZy+TQ20VckDyM69BgwYsKMRYwjOjGP6NBjwIhJLCM6zFh+bEo59BgwYsGKDbuoFWp06DFgxIR5tveKDfsX2nVo4gABAAH//wAP",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_AMS-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Caligraphic-Bold.woff": {
"text": "d09GRgABAAAAAC2wAA4AAAAAS3QAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAABPUy8yAAAjgAAAAFQAAABgRrFhEWNtYXAAACPUAAAAgwAAAWL22LJqY3Z0IAAAKmQAAAAaAAAAKgDTCp1mcGdtAAAkWAAABYsAAAuX2BTb8Gdhc3AAAC2oAAAACAAAAAgAAAAQZ2x5ZgAAAUQAACDJAAAzNHN/ZYFoZWFkAAAijAAAADYAAAA2FbN1UGhoZWEAACNgAAAAHwAAACQI0wONaG10eAAAIsQAAACZAAAAsHLTBXhsb2NhAAAiMAAAAFoAAABaEr4FKG1heHAAACIQAAAAIAAAACABSQw6bmFtZQAAKoAAAALBAAAHFP1QmCtwb3N0AAAtRAAAAGMAAACa0lYi83ByZXAAACnkAAAAfQAAAIqOiODGeNqVewVg29iy9pmDIsuSLNuyDInZDscYjtOmwaZJadtsyryUl+3yZWbmxwyX+T5mZmaGn5l5+0tWnGbhklXyOTOu9c3MN6AThFENIfRrOIoIEkj6MqeA8Nhg3ayb5bqZr33kRq2Go//v39Tg857syL3/gA34LWSgQVToZAtpTDCsIUCYAL6OCEE7CCEdHU9VWgblziDNlZqNVrtVr9fisXgsapNSPic4FzaP2v5Kre3tNhulZqkE3/G/n9w86yTscfnhseL3JafHHE0GwJjp36UzjAFkc+9Vd54wOOhHJ2+9CnKOo2aH6Ki54ub0sTE9566Yo3QoixCgLYTg38NvoyYa7FTCgKD7NeEuQohhxK5SwJhcQIQY5PjZ5tOFXJtzdzDSaLQarVqrXfe+l/+Vo9HgT5vnc6Wc/0ez2fBvp9nIc5HjPJ8vlbaAgsDOa1c1YVA8M0MVoccef2AvrguVzEyDUiSGIxUVAm/gghNKocQV+vM/h3mEmxrGmsmjFH/0I4QAUBkhQHWEMPNwXkQf/HIBMIW19c+Fts53sghxytEdRDGmtxAgjoBfJz5GFxBjBjueXP+c7QnmAkG69zUkO/lDQpzi3ZeT2t7uhOdmhqrZvqSbcIqG4LFBK1fyQeiZlIvuxYXwcPOsGWwEy/lcueQL+8u+ncue+aNdrQ83snr5bTPanbFyNOG08oTW4vHv0VVJECViUhn6MOb0N3+LCMro4zdGw9mt/oEhwDJUcm4qMgnaSKHdlzcNWwfsqCqAnjAFZpL4dsYJxkQxY5Qem+0PZwszMVlgHLJdH9uxe8M45HnGJjrRWY8B43mgBK8hJLhAfA8RRhnxMAEkEIjriCNGOLveBfIcohTvIEzx5ubG0uL8bLNeLWf7SrbkwRLxsQig8SDo3qTgQsT3/+U7T9nb9fe894L7G/VagIqPi7fpgxQEhPf+8+PlxTLV76xtPBLT+jPTWvhsxRnq3104+3oVBkqFobQmKJHLzWMRKR5KGKMlKkDuz2lVU8oODMNzkbF8pZIzw82j48OJ9PhA1aU0OpMbXawXqutHuFoYGc4NRCX/xiIz8fZ6n6kyzGyLY8yMiXhltIIQRv335nAG/hhNojnU6cxOA8UmAMVrBDDFdzkgGQQSVxQJUwo7DAAMOD41hdDU3NSsp1bPNkrZqWxL5cnBeOkggLwbDvBAXXroek0v4Dy48vnuv4LI8zijbXIR/3OFymxs/C1vppxgCicpIZTq3CXe21KZKgr13K1MVBGyHixcTRz7wNruL1ApIuscvwl7QvGYBO/yuYow+su/hNkY89aZY4Uw3AP8qbOAAE16/sE8/9hA1ztXNABJB47nQfAyMErXZEASuosEp1z4kcM4ZbveEmAJriOMuMD8OmKEsHOIMbKDCCObgNZX52Ym26PD2f5kImKqMtqADYXbg1DzfKEXKvnoPu0Etx14y4EbBe5yEEaCd0UCOFvBHvyGjrGz9pgRKVoERO3IdLs2++HHd2ZHyvkjWMswTGRaGovxhhHWrNGzZUkOxxlmACyyfGbzhA6/7YYxc9d0TJ9hmYR7ZeHmE9NjM9mKNOc5lYwJkUgCQtn02StOcVJlKvnUhbMYCE+mKMJozMsPOv4QGkBHUatTV4AzWEMUAaKwhwhClKDrCBDj4EUU534seQrHJ4vRcrmSF56HQCnv3ZyPiNinkqgdoBPwiLfSizNRKvuCQSLxPSiInVIJPmxTWx1aNGMEcLw+cGV6arE5srQy8fjCytvNkni8MFOQokUnFhriVHEaRMNpM0QFCHxSoQP9Q3fCOJOen7q1ccONFdb/5j3nb2ng3swUisnyH0SwHBq6daRgaWWghq4nnlir+LzS8fxmBP4KTaGpTnscCE4BEOzfPb2LCAZMPAgAHkcIY3QOIeTfPMKbE62RoVLeda4xHh2M2PGadyvxWo89fCDuk0f3BvN5Lg7ItdzoMe731aUcgw+/GYcwPnlyQqGAWSHuFBiw88nZHH3kPUySGWW2T64FTBQjyhj8hiAYA05ZmF68tP6GymxNstPpmIh/8J1DS0UgdNIhDDOJ/ksqEU4Q2bfxh1EZtdEaGukMLjbyGj1cB1C4XwhMTkysTa4NDWQSOf/+ivahlFAKCCCgvHqtR4yeOWPEI8CeaePeLqm1mgFPlsrlnL8Rw/rbHq0OL2+YyWVKo04moyUGJ3SKLX5pd0uSGjPPHp24YLE+Y2bZ4KUnZleryopRVRThDh3/6auPKeb2QiXXKBMayg7XJsasaJ/AcGb51BjHJH0JpPEGHg6p6ajaX9F4/vlrw6OilJDKcpxxd2h2ZhZ1/X0EezGDxtBx9MMdddbCnCmAAXv5WummYfDh9fI18nnC4wcBntoVxDnZCYoPL2GnPcm8L0kA730t0U71JVIcEeDEJx6Ez/medaGrtuXl7SigI53GeCWfiIdUztAYjEke48S7JVkX6i5ntNrN3AtSddcY3lYvcUW6ZCMCc2Rwvd7qkVDpP65te6GUi5emSo5NgLnlSPLSTH9KDS1UxupEo/2JjerE905S5pSiBmEEALtu0k6o/QpQkv+D+YVMsZguxrRCYm3TEPkQn+lfbpeGykctG7g9e+4j/+5UEt+GiK4pOWVtXSllVEXtX1SG5pNOGWFUvve75K/xf0CL6BT63o6SA0K3QCa+AcoerHVEqEyJvMcBS0zCbA8xITGxi2QkYVm6hkABBOgyolTsICF04cE82dNCXMIS9+DGvu7uIV3+8rrbnSSg1aX52cn22MjgQF86aoc0WUKLsKj2sPfh88DdJ/N2ux6AfpABbSveo/qA/L3Lt005X+5aAVrTuOkFfqncFPw9lfmEGZLDjJYdhgdvfuAZlqbKXBqrRKaE4WjY1IRMnaGEagynj+Wyk7rs8Pe/X1YvzpTAsZLrD6oUIHxqfHrYnTc1iEVSoL7+x/9I0hQ5WuVAgJHU657/2eXNeEiLg6S46UifDnnjRgE0/dmqAyMSu/hmqcDC7vt8Hqx4/PCP+Oc9z/1Yx24BZhNlTPEyIPpAv59+9i0zijCjDNOuK1PkgSoRSSZ7AAixHQ6MhdaRJJEdAYTovvM3X6yBgCE4UEQAL6e33TGuXLq4c/rkQHmwmspZipdjih67+MW8b4tevdXtSoLLWw8sk/Oj4iAkPFPM4S5VeebpVXb3mbiXnzzL4emHtqQBESum9Oxr86HRE8nG1VSqHomXiyltxK3ECYlV3fiY9nbZMuMqpoI88mojUslMA3unKj/JccNOPfQWKbZaS2OIDjXV+Yk3/OKxY9wKl3ShDrhKMluIFr+w9+j5PiOFLcneuPOJTzpa1EplxCIRFrkO1KONMIZwprOzwWn10fV5N3ZGh2RIEBKODpGCbyv73r/F5/DPojk03ZkYrWJC/XSNCabET9eY+CgzjHzXB8w8sDH24AXQ4Xhl0B1uG37XVDxUw/T43KPzgEP8Ld6rVfbBDvgkagdejqvJSHXqyjVhPfQ0Ca+++99ioDxEM+ECZwXVOuJKVAHZFbh8fW7FwAujndnx8Z+MqPGR4qwMb3g0Yz9z+2ejAMIaiUQia3o8S2hImKMJHi4sn3uFHXlkstKsIYxshMhT+DOohhbRF9c/53heqLoqBoSBcLyWDN6x4N12IJDZb4p8CgAEGF3vErKguMfeOU+qgLxthu4gYJx1hbt4vUi2U/laYj7Hrwug1KB+12Ui1JltN1ANjcfeVk1L3BmsB/Q8j30Qc4G78p6Ldq9al7npgTmgUfSK53LURzugm0fCRJnop/J2CEqnLU5paMrVaByHqoOt7VfCoptYxlAfbu994s+VbTzw/P9lJpacqzL7iaePJzShchEtSdj++79S5Yhlh7idZ9giytDgQGJYArD+fPPkpdVdDeCtn7UAxL/6Oxuv188PI0DFe/8RP+H52gPoZOdEEgAPAyVTwDhZQxgBwn6FCBSIH+AcKN9FPkhd1gWfdQG6RUVoPaDacrHsvVJTEk8NRu5nrn0WjdsH2BzUy1G7y7r7HtlLad7KPnjRnk6vKvmzvvzM8NsXuQTOfNRRZMByp8JVRzXc8mTCiUZODMSoPO6GMxtDWQkemKw2M3Ykk0mmG+87oeaG009Q92kKNyLDhc7c6IIAkGVTi4Sk/ITAOuujtgenU055X8zikpWXGaa10jCVh8fclK1Y2DTSjQ0VRN+2aTxyhiBA5r1/IN/n+fAWKOufMzzX08sAZBo400BCZC3ZWxD7C9uBWBlhYBDkP+CI8esUALpe5wGKkLSDJEmXfId2PfHhA3EukOB3EPP+ZOLqV1UqeUqDL1YiFAATuN7TPqzSGfv60pKEzu3rIGlz24sK+/jq0SML85MTjfF8nxOzI5bMo4Pthme9DPWsvp9S87xHRT2+7vGQb9pB7NfV2cBH/LbLd4QDFjdPFfzSCgtI5hlOV08X6cf08bgS0szy1Anyv/9vRI9i6UifJStXBs8DiZQgqoNsLKct9uNKvdD3SIMZMb2ZkwuJCQxA/E9idHjnS18w9KgiqZbr5AgAxUaI8NgII4wyQbXNR7hiGW7FiH/LxOgSB6wWu7Og2r334u/GP+sh8ZMdHYGM4gC4CRyIl0llD/YBJCOM5Duoy2TdKQ4Ffp0BRYSSywghsSOBECHkG8r0DRVo4L1vRKUz/mJpRglld3pK6KU6nqH0k5vZSinnDhTLBYWnB6Exgnu0v1/5dCPOW3hRBvWW9w3ZPrCib8YgsgNqO+A8HDZy8eip8ZWkfHY1l55LqavZZEru35o6tqGWl49EhWJF2o5mT85lebbABh8bubhLws9du3VXVaQH0o5kD0WnnbiVFoBrusVxdmw333/8hlm8lB7BJns49ehbhuoaqIRwORyJpDCVCctu6272qUtJ69oPNCc5JOx+Mzo0EktbeiQbI0Fm/T3yBS9O9yC0/rkRD/NYGlS4BUidB4FHgbJJ4JStJV9uQ6LMj9uUpzaOVECgoj0NuvD7bEgFo3sKYBkEw+IGohJwyi8TAAjB8WTw/zUIgAp3kYrUu74uuvtympx3Z1p8p/sRm8nO9FdVQxLlVOIvUJd66sjX9szeB+jRh69e3j67uXHsSKM2MlQpptyopQgPiL0Qtwcj9+upgKSFsOv1rjscMHPUW2ntr/jO0RsUNYOSjIu8uN8BN/ZLinyvsu4WGT7pd6cIfMALxU89iuPDyQgw4YjHdskxN7SSdNTB1XC4qoQ5M4+6MTK19Vw2HFcUEK7Akm6fvqL1J0NMM0bKUWJkk/HJyfB0QU66mOoChlqtpLEKP2vJsc1bEji2myivXEiB+ieVaNWiqTv/euv0QAWwiOfsfH2KSpqlJ7BGLt9OEAZQvNTpV00ta/DqyZMrGGQ5Mq5iAC20cJyqeDBVTQ4iBPf+GUL4X3tetIxe3VF881eAULwWcHRZAoQp7s5v/YptVwDGsIPAczkOhLCd3qC3+GJJBJ4sAnz9sNx2J+53jNOT4yPlYj7rOqaMlmFZPrBakCW9wq5Wr+/zqdifRYh4/VAG5eIghdbrASEH5fWEg0W4uHL8VRAtDE2lvqhLoVZ66ZipRJNDR+Tbt+ShuotDVyZjg7/4j1SLJwvWwsTUYGlYHjx/Rh7JWPBfTCrF7PFMloAiiZCdE5BKtR79/JYCcpVYRCodUykAppoRTarixM++b1ZTohVZ+DHZvPce8nEPzVW021HSgMGnMLwWBFoOYeCA+V633PPrXsQQu9JNeNt+wgO/gHux0EHOQox1cxW74KttbXeU6kBislIt+jOttn2I9QI4Ath6RBZcB6gevg6YsRszv0bPzzpmrV+pQOeCUp+ZzLajXJhH3DhLLZ99aKyN3/gGXdJqCV2NiXylPGBac684tzmR3fCY0Bitx1/LYrQ2zcML9sfYP7uqiHBy3lTiOZNZWxNLfTrtwmplJQwg+i5e/NR83yMzA3p60naTqfAwQtjv78gRLx/l0DW03XlgtR9zOAUEFUGQBGBB1yRAnHBE9mQgghOxizgPBsPd2g3vUOhO/Qp5QBceXF5amB2s5q8VrjkxRUI5yCkv5oleF8zv92ZNwYPRaYCPd92fJfV6aZsLjxVapXKAqV/+9Tpr/7oWH5PfItu2wgSOpY5mVHWpPyWWh5LMHcSfSJLI+y64XI/1lQbXVIyl1Mp7z89gixUjqYmMauFwRCs8MVAU1thxJ2xG8q4u1JqMM14Pxo8SmVDJunbzbV86ZceHsSn0T37m9mU9FH/+zVEhz5zCLBxtzf3grBSNcDe7tVVTIGRMVIkSVjim2lK+L6xk6u++klZCGrdzVjenVL3O+j94yK+hH+zoWcBkBijHgCSyFgyRWogABuJnat9Ld7sJmu4iyoD6dbQETPLr6G6H7DUbMkZI91P8xDekKCGQUKDfU93uJACtLC0emZ5sNevj1VJ/xomhNVjzbRgPjHVwBTk8Foz5eK82L+V74yUh6r6B4r1U0HP+vODzMapkdNWO6+Gx4433LRNCsEoGxrl8thgjSVeEND2yUHHjPDPxqs1yiG+XYth457Kcnzzz7KgRGjJNIayYahd2WuMf3Jnf4gBj1UQo0TJIhLr9Wih59YduDGtgawDulEGkyQsahCJYSvrIl+79Llv1kL+FFjsLO2sYQR0IPQWMkDWEgEJAreBTK2FAmN+78Cdw4PKM6ez49asz+UTfiCW469erQZfSQ8aHJXDOHlhdAHCvRfbf6mSfD+6XSk1+n267V3lfpOTHw1CMSfFCSEnOdKIUpGM5XaZzq1kKd8rv+JUpTKQoD6cE1bBbTSQxJRK2J4ZjsYgc4XmhxOBfL6kdvRqSJcatsf7RIcYLS0tHvRKoKVG7dFLEKHD7I9/9Ay6Nj8jGf/yhYwAP5F/zCxOAccGMdJ7/67XWQFqLgUUlQWVsp+JcYD03Pv3Kj1P6t3NyQ+uXARNnbvWx95ixt3zn9+QkSJuSn/f+5b3fpSfJCjqLbnbUYxLmJAEU+VRd9Nw8jThBiN9BGBGOiU++QBG9ggC6LJ3x9wlHey8vsN0Jnz2zsT47PThQzCey/hPNdoByzxYZEt83x31uDmrVPO+5arkRzOVfDH2ux9WjuWpYMbPTFsjh6T4hcUzx1E7FdXWAyeVydnirWnEKFg8dG1exlus0NQAQS3mVcr01MH+WkuzC9LKq57Jpx9LUd+Y0584Xf8IJRfOYsxD9zK+fGx4xAcjf/9Ur64t9RjG/JBNWVVnq0d/9/SEADDgxRkjk6NYzf6UY3/fJj9gkaqeTs5aGAM17HH63yyTtTiMMgGaBAV5DCANGsIeAYWC7Pk133ZdcQN1Z2tJiX7VayXGeGAR/hCZeNDHr0fX92D2o6fe39uXtXi/ubTXx358+YYcMMxFV1eF6AuS+8Q9uWE7GWD8zUHzbuaefyVjnnzO5kfzYQ1mbKyYVIjQ1Pzgtgxx5+nzKvf1O+PADe4oSzwzb6gCJMJIZOn4zRKjxyh87s/6GoRmsf8vZTH+rdfnjDZNgSdLTfZnpEzeoTkt9G5eY/ZmnEMJexf7T5IRXHdTROnpHx4oChiXgeD7gWOrPLeN+1XVQAvQ4EgFFuwIQepwddMe+Gw59DUlEAMg5T7KbFwlsdsf1RxfazeHBbMq2ZIHqUJd6JGpFWvmXHfi0awdPmrvUEPABeJwatbvywhfrzes/ETeei2MonXrJ4KdSyTzzVHNOcn/lycWGJpl1yVyEH+88HsIAoQLX+guvaxVCgPWjFitDHIrWy0x/zP7ygpO88F43nKi/WouV8GP9FCSNPfO2KLewNNzX5mZYDCBAz97bJW/3/O8K+qeOtglIWqwVCKF4/5hDU/aWQOp6IpWAephRInXJlUukOxiSuoMh9jiSpO5DxFB3ClH2lKcRkmQJyXtIBkmG3W/qIzoLL9EWEohdJHwS20XfwGf4ze+VS+VyqVoc3ikbfvNbPJzODj3xih0ubg4qk0Nj//v00iuHDp0P2O+VYvF6DU+48czW4lg6aixtJ+pve3jXTZIwrThnbheHL+68mqzcOuIygqXVvBaG6MNj01Iur6y9ant0qJqlcistZe49tynDk5dmW83jCj65KJp/YxmxlCMoDl1db06/8tyiyYHbr7t8cm17Y7VBommJSO44lmROTrRdBUAqp2QFTC5FyhIG9ZmTD4vQ5Wfd5J0ZQ1/cZEFX/B/IH/tdMfpEJ+IAhnN1LKQjQNnhEGsjDBJgaQ9JAiQffAbCx5kCOwgj+f4kiiu9Oqb5jWgiIgdFe0+v+9Dm9s3Llx44u7ayMD87XeqLR4OWVb0fgc18zqf/l5261upBAu/ZTfTyR3COKFjtGfMlBg0GlPlo78N/MqU+G2FgsDMR+cWBSortxNKJS/mCIeHQwMTVkND64rTSL0lD5ZEhB/Pk1HvmonIxGc1VTSMsG1HimZc7r+6zmpNPxwBHN/JmeNE0eQJUiCogReSXBLJiWWkzHVWnxo9WuWLNjPB1FfeVTCwZ8bEJEiomj245MVlgU3DbN7Zo9xVGLYsS++d+40IqVvZtnfDmvb/vxfiD6OmOUgNCMQDqRXgBEYooucPBNwnsds/V9B4udM8e6d3GNRBDe19TbrsTAbR1YmZqfLRSMnT0IDwo/BaiFg9w7x0Q6EEdXM2DBqPHn71ZsWeM++cKAqu+8BlP6zddrIRdQbAoTp6eGs7paqT/kaViQcVCPz5x/tKV8qhQlrIpGmL2+EhinBvhsBsbfbaSvrB1+w1l5cFWWS+eiUPO0LWIQUVI545TMtWMY2cSg8V4Ol327BKOVY+nhu1Iw4nERrBJLRYPcRbSJCPnxiKGbgyMlQZn9ipNCI+k6256NSl1a9WfJF/Ev4cW0d2OHgHAGBAZBYp6XUKGAyKUdEtW+ngXTAaEwDYCCJHuuTAM+O4LpXyBs8jvhT1ZIBtdzGemR4bzacvUZP8Bpzg8KGi3+r3f7YMRDs/lRd77M+fnqPvNnC86h7sa+V5kzcaJfWv6aHgbrm/LmT6uOLg6fQxTApizSKI/fH28jp28IqyjOQfLORuMZGicsdA/kxgQpZ2JwO+YXN6Yz+sYgEc4tpL5cQkAfvE3pa2lmBQvkohkrizi+cfqvy2HQprB5UhF4X7l+d/v/UtCPIY6jX4leLSjd4CiYcB0FBgma8nDCxyT3pyuigQg8DhDAkQB+WSDgeJdErQA/oE6DoFXB5+bIwAC7iKBxF1fB931NOjdF0h2Sl9ViF9AnOvr+wHQHd2c3Dy6MD1ZHy/knJgi0Gk4LR9upHs0dPDgzV/0DXVoR9jxXu9xUNUdeh7Se3YXTtjZ3XFszabl/rYuu7ZjlE781BRNqGp4JRnPCmukGGbCqSSSEXv2SP4IYe4/fMqNz9uR0WpYGGF7FFesUCwzzKBdXnZWXtPM9bVcK974Z3vyXDIZL5vxlXDz9R+anTdULQpWKGpnsjBhx5rX/rPWd2Fktv3YhdGoZSuJgGm+SLhnswba/MqwjikGz9MTHsYuQoAB+W0tBUx3fU/uTUk7NoHgQCj2UPWUPGjpQ9sddWggm/FPOjIe64LnQxfwQHwfg5LH3r1TrYcyddMnD1wu1RIzOSl6sr4UlQCsD5+u6oQ0co5fxEuTi/L4vdsnLGFRat9y8EbEHpMwm3/g5+cu1vS9h+tFLpJ5kk/PWOrWTKbwq3/ywIjaGtw+NQTSkhncK/2Kd6+X0ZmvLGvBvXajOokYwoh17xUd3CtGqDvDj/r/ZP4wF7PDd6tfvHDm1ERrfCzp5rh//qhxcApBROdwYPJuQ+MnODsWAHEYh+CZ9wEMgu8nOc9PsDjIk03DXyklNirj1UsmxURo/OkrA2KtoIEKQqEXsxSHn27pgkDejctWThutYXV880cWNYVTherTZQ76d/7xqCKxCJg3kwVJw5eddmrur1sj7ohOJF28+9sWCVgQbxIBEI4pf/HPXG699XYqKilOPy44TjuM16cMY+Pqd3xlVVDMB3/jl1+XeP5//VNd0KLO178P+o5aiu9REwjIOzyU76LXdtSVAUxRGwjF+1APvjTWD7f+QdfPYX/4msMvjWFC73rCxOPY+5PXvd0L23MzrUZtLNsnc3QX7vrhC/ueVqt3kfeBbh2ksW7sHtQcUW8z1qs4DlWPvUNTvQM8gXz+0AOyVnk/K/rkDHKsM1iallTNsdMq6KvbJu8PMUKkjWJ6MGrmFj+WAYuncrLIZR3s1NfVYkGUI6okYwrATzyV4xJ1DDs7JCJyshiN9G/8vs5BcC5bqivLJTxmOlN6CKjlzpI3URiYNAS1KciS7Ixn3UTKSU2cMxn+JAxUaSwSdcZVwFrJHj3psbQ6tpgRVJYIk+SwnVVx3olnEm7nKcEwXViNFkyV274NC/cukLRnwzPoyY5+ChAYwNAqcNbLg31YYAQMkP9QlAPzC3pEOb1yQBG5gCKw2I8d7sUOp5SfQ5zTC77sVrdvW+jMTDVqxUI6KRg6A2ekA96t1+7XEPXa/dq9N8/tlYz+deiAcK9e8XNjQCreJfiqEzvxoGM3qunEcEQGXAw9NKJO5BQ1EyVKvSNjffhte++41NbCzRWVlm2dqel0ViJug6XiHOQFO12sKSU8aBnxNRYaSjcvfvfpnFQK7z7VJ6dLjp6cUmBuVI6XRr/9u99w9YhO5kZskZvz+NgCnqFWmLGIAegN1UxeCJ9d0QhC5KyH8mNwPmi/wiEAdHUAM7oIQiJrweOog2Wtt3ywwvdXtvdPXDQQdAv1PSQxwSSxFwKqccwkyq7rgBDeIcFcQgh5R1WwLBtyr/ervVjXE9WDYxuHPuXFmv73a391TaQxqp319Dm8jPrX0tSAM89bPFX0Uk3/cXaeooduX7549szpUyePH12YnWjURkfKuZTrRDQFPUYfC/sUUMp369H7Kdln48OthYjXavV9VzoYmQZHhusveLIm9g9Te1evTssfTGjqdf893n3dWjxhUqWdNS2HmLNXHk+kfy5RiBokljxzM0aoyrJyCIt6RmAx7LCz701Pxf8wX4kJZacvTtRWdmkrNF/9d+lWStMSWij/gTcmRkdNJpVscWQhMdcy8RyT7eSAKsDgUru2oTKKTa3v0uUYABcaluw8UKAbe3EAgvPpVNtgamUzMaNjX1I1dHfvW2IgQlwxkhQ7MxMRhJH3gv+Kbuz/HAsLfo5lvFmPZr3fN2Dohv/alxt6Obm6Lxe8EAJfzpPy5fgXAUEg4+/9f/0HvxQAAAAAAQAAACwAcwADAAAAAAACAB4ALgB3AAAAhAuXAAAAAAAAABYAFgAWABYAawDKAXgCEAKIAyYDpAQYBJ4FXwYoBv4HdQg0CNcJ0AqrC84MdQ0YDdUOkg9KD/oQhBE6EjYTLBPoFIcVYRXXFooXbBgfGWQZZBl4GYwZmgAAAAEAAAABAADo04uzXw889QALA+gAAAAA2LKY+wAAAADYspj7/+X/LQVJA0gAAQAIAAIAAAAAAAB42i3KAQbCcBzF8e9+vwKB+MOAUGsls5YosC4Q3SBSukIIJBAQoCNUF+gOHSEiRAiQYrB+GD7eezwyEgBvDGQgKZGZmJ6JTc0Miz4qMtYDTXkTygKna5xMaWhEVU4kerO9yZ9aoa9LQnW0yjMCPeYv3ZFqG6dnVnq33wVftgRyzb/ywC/t8bXLQH7UNSEiA+9jOsAc/i+oIKQAAAB42mNgZGBg9vivyxDFmvT/6b+LrJ5AEVSgAwCZbAZoAHjaY2Bi2se0h4GVgYGpC0gzMPRAaMYHDIaMTAxAwMEAAQ0MDO8FGN68ZYCCgDTXFAYFBoX3/5kV/lswRDF7MFxWYGDoj2MGyTKtAxIKDIwAQkIRW3jaY2BgYIZiGQZGBhCIAfIYwXwWBgcgzcPAwcAEZCswWDJEMSx4////fwYGIM+AwRHI+wvkPv5/5f/Z/x0COkB9KICRDYhhbCYgAcSoCkBWowIWVjZ2Dk4ubh5eqAAfv4CgkLCIqJi4hKSUtIysnLyCopKyiqqaOgN9gQZZugCEMxXcAHjarFXlmutGDB2HluEyuCDfudlu47EvM9tx0suL32cX7aXf5fYZ/DRyyv/6aD1yskylhWhGo5GOjqQJK0OsluMoIXr5u5qcf8mNxY9jvmnzbJJuUL4cc6WZ/TGshtXqql6xHYdVwirU7Z6yVJgGHluGKd3wuGJojfjPOa7NfNybtUbDaDVa+CR2tGPnMfHcXOzw08Qmviuru0lCRd8oW+NZqAY74qtyfhWWcBYTQOQZ8ehcnEJDcjYqq9uyup3aaZIkNltukmhWc/F6knhcNQQ/tWYGQPVwLua6DrihA8BP2Eo9rhkNXLRW1FcCkpN+cPnEebTK1ZYDfUg55fBdXK03kdZ8nM7Z2UIS6wSnTxdjHNmS1CCyx3XDQ6HbU5U+NQ1sdaBBsQ4yrqxssLUK/1xveTxkSECOhau/19QKiQd+miZikrZLkMOmNzSmwihoOVtkj5jd5I/2vViuhh4ZpxTlOqO1AVPKFjaZbIDcRMnVps7a/RBjh1zny7ilcOugS+OmTKg3NlqNYsfWTtJyPJ4wRaUS8VrW9njSwJCIx8MXch0LHSQ8IbsF7Caw83gKbqZLSggMrCIuT4Yp5SnxJEjzeNq8XIqL2lo7ucwT6/pHj0+Yl/Pxy8W+0nagP1XqT5pCTYXLcTE1hfplAU+50qRo3aAYl48JfLB1VhPymIsLIQ/ZBnlOZdiWo3Ftc233z3EF/6UmQSZd4O9Cu7tUhxSwUOqUBlshq8c9y7LKWp0yqlCVaCnmKR1QxGM64FEETgNKEf6X6WlLTaogyNPiZMPl71z7Emg6jdxOuR6fMYUl8ix4FnnOFFWR501RE3nBFHWRF03REGmbYkjkO6YYFvmuKUZEfmjIZ+szj1vl4iuP3XLxtcfvGcUT7r/A+D4wvgffBIwiHWAUeQkYRWpgFHkZGEU2gVHkDDCK/AAYRc4Co0hj6GHZap5B2OmUQoEQSjkg2Ui/+YY9lz1M0hVD1KVDKqGzu1qesSMt0EoeX90qj3WWr7SKunUmiq8mZYLXSmYOPb5u6FaJ9wbsrGh/EEwYgh+sV2d/VvLTfqzvFtetM8joJvIH4IPxsgqzux7fMv65hx7fPs4UTbgK8zsoiTrbJJ+6Mryg8nmed3UX0x6vIH+2MNG3LevMacS/a4AKA4K/0oRHQnc99zXRwxy+7m0fk9/3wTUdiBVxKvP+dD7+qUJVsn+qzFQvJoG8gcMhBqy01h1MH6q5By2Bjf5jXwnTNc3VMFubi7HJbKxTeYP23sk0IfSM7qCGGhE6yAuijJLSQUG0RNE4SSG5joaq7/MKj5JRswSBz7n+K7cdCyW/LxwQNPWZAQf6Iah5IGrkqgOcdXRXgkm1Hoq+TGDAqFqKfXqoHRuaTSWcbVPeaGL3fOe3b79QB3XwoDJa2vjRAEG4WZpUvp73prhZysdGky+sdfAwP0z8wrdOYwCfbKnndqqf7rY+0OaZ4bvugU4Dw/fcHIGlWYB2vw3K4rMP03Crw8DuVgtqtLqv7w7ctfFo4A3/F63Y/b+6T+BndwFL4wnZUW8nGWCMhIzN/DuSv6MHBOi7u1PuIuUz/eHsKZnDUz7fxCx+dIj+uSmUdfoU38L6heE7EC+FtQi8UicHikHYV0bakV9i+dr08M5g8QYLSxZvTc8qNX/1WNdmDgNAFISPoY+LBA0ccywzs2VmLkvQoHdWkM3z9ycWOxL6SwbzJ5HFEDkMkccQBcyXRBFDlDBEGUNUMD8SVQxRwxB1DNHA/Es0MUQLQ7QxRAfzLdHFED0M0ccQruU9p4d5wPDepYZaH1IjvZ5kfMoYW95LqicM1VNK9YxSOre815QuGEqXlNIVpXRteW8p3TCUbrWgOy3o3gofb66Sj6dv03twvesn55S8U+wzK3FNYwB42mPw3sFwIihiIyNjX+QGxp0cDBwMyQUbGdicNjEwMmiBGJu5WRg5ICxBJjCL3WkXMwNQmhPI5nDaxeAAYTMzuGxUYewIjNjg0BGxkTnFZaMaiLeLo4GBkcWhIzkkAqQkEgg287Iw8mjtYPzfuoGldyMTUB9rigsAaBEkowAAAHjaY8AEE4AwlSGVaf//90yiDAwwGgBduAd3AAB42kzMAQYCQRSH8e+9N7sKO3ZgMYAQCBB0gUCwN0joAJ2jU6wA6BYBQqfoGJE/Bvj58AGTZQwAmEE2CrPsJC5ysOUqJybucsfAIvdNH+zAU85U+8pj8y//f2BpDSzusrHxh+ys/CUHJ3/LiV1UuaPGUe6bPvgtznJm333ksfmXX2tktd1IDINhXc9T6G5p4sBymZn5rseduBm3Q8d26O33j8qQ5WPSaD79kuWR/mJZDZ3tpIHfJu+41Wh8r7UazQYvGW87BR8m1hSJiXm9SFT0HG5+GsHfeDPVBc8num3y4aY+MmfnizqzHaer1CYLZdZeKYuwUrqO4ZZq8AS/gGoj6nXviXHelgU3VEN9GlNu/Gq9/Haq3++rXIf0Sg8U8s+8+6073AZew6VvPDfBcdS3IeUD443rmTaPrsU7OjcvL6Si6Ci1/gY5LC9DXzvDcGQ2MYVHcLdoG8chNXy4vsW7lSlu4K0bIOa7izdVU0HsIZZ1T9tMX2SGpR7NK/P7rMNElIZQTdTrPnG2Cl55m40Kr++ubI3/Q4tUUkVDcmSpQykFYnpLCb3D2aIGxneqidXEYloiQ17YAl+HIC08BU5DMTzrYiuKfqncpE/3yt/g2wSjRXUelKY2FHPEb8I+gn1G59DUlImeg1UhwoJdQKYM/ArOgoKcDoyRTErqnsD6tVLtXutP2BNwTrpSSv0NUrI+/WF349/vr+hMUV+Gopw0Behf4RyQur3/DL37j+/wNOP1LaWfMI8zxxSBtFIX0wEIL33qkQHP96/FtEMa0ea3XkhRhHEkX/6JyiGsS1h90E7UhBCNRHrobzN3YbelFpbqjESv0xbOXWQC+0R564lCTPzixZukZEllr+Zl0tTDsvBruqBMfA/90ZJxnvbFDjRBEfwBo4Jdx/CUyBtWFGAryZ7dd7xOu4jf+puYH31VY+YAAAB42mzBRQHDQAAAsBwpGMNzzMxTV+GtgSYiUFcu2uwQRElHV0/fwNDI2MTUzNzC0sraxtbO3sHRydnF1c3dw9PL28fXzz/EkEIOpRl7aV6mgYGjAYh2dXNzgdKuUNoNAEGtETIAAAEAAf//AA8=",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Caligraphic-Bold.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Caligraphic-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Caligraphic-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Fraktur-Bold.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Fraktur-Bold.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Fraktur-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Fraktur-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Bold.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Bold.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-BoldItalic.woff": {
"text": "d09GRgABAAAAAGMIAA4AAAAArdAAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAABPUy8yAABXBAAAAFIAAABgRtJZqGNtYXAAAFdYAAABeQAAAlpAfqxiY3Z0IAAAXuAAAAAoAAAAOgKdD6JmcGdtAABY1AAABYsAAAuX2BTb8Gdhc3AAAGMAAAAACAAAAAgAAAAQZ2x5ZgAAAUQAAFLMAACRYvARY4doZWFkAABVQAAAADYAAAA2FWZ032hoZWEAAFbkAAAAHwAAACQIMgOjaG10eAAAVXgAAAFqAAACGFCAPttsb2NhAABUMAAAAQ4AAAEODMDn921heHAAAFQQAAAAIAAAACABuwxtbmFtZQAAXwgAAALRAAAHF9/GpkFwb3N0AABh3AAAASMAAAGdk10z3XByZXAAAF5gAAAAfgAAAIqSjPzKeNrNvQWAHNeZIPy+71FRU3VVM3MPTw/0kEYtHo3IYrRleWRZhihjtgOOkzjMyWL2luGWbi97+Z1lZjqGZabD7MFSdi3/9aq7ekbkZOGH6ZGmu9571VUf0/uKIOkQQn4eXUKJJNqrggHBqdGZ2EysOROrdr7iaqeD7mv/tQPfS5C8mxD4LP4cyZI2Of4qBSCwfugzE/ed66UJEEqAbhJC3EOEUrzIADGMh7O9BCFAniJA4anhgIOHz/dC+Vy9mmvn2+0oF4lR6CRcRwqUQlQrzcbcrN2dn+92VnFmnlYac3Oz3fkZNUXA4+Um4kcR9UQWxSzwZhoYpYD/JNsezyWLjR34c5WsMOTNt1OGyCWHd7NEXQIAvLbojUznY81sc5Eg+WE4gS/j50iKTJP53sxUEgnAOgck+LSkghICFxkARMjhTBpIq1kqpKcz05Gw5CQFKU04o1DxLnV+JuFfvBTqU9e71K6YudcAZAu1lZFqrddYCFlxDF/KJ/74jiPoVPKjCzjVPHR+rq0nNI5o9C7odz1ICJK3v76Tfsa7k8fIM+RIb30HMH4ZkQCuawJZWEeKjD4UMRBDJgJB2JDAeeSQBYTY5PDTmzcef3jjgfvPnj5+9PB6O7GYbnQac9GoyI8mKwr083M+UhQSZrrd2a0P3pD/6+NGhtFNdrwJwRHXOyJlckaNyaqUzkzwVggpqsGp/U8wW+3P6P50ntL3HDsqbFtcfnBk9M1vUu9eenkktCTyliaYZVOxd0fIXDp5eNWVTA9FNXTKkR333ee6V654CzZd950v98fUqb7Nfws/d/LkyKj37ycKmqR/C1SLu5IePw5UOt6bY+knrHmbYjSsC+vlJwHhY89UvY8hLUYbO7NPQSiW0MCb7v/9+LPemH+e/lu01NBxwsh3v/4L9Hvxf5BZcoDcT26Qh3sPTYwhxxIwE9cV1wgEsUGQcIl8g0gLiCbJRgg0YpiasUFMxsyzxDTZRd1bw44dXAPy2PUrl0/ct3b/wYu7et35kVYh79iRkCHJLMyGAzLs9qGeTMx0uvMDjAii4OwPEjKn3jebFdfxIR738CiFermOWuIth4BeAwx7r858cjDRddSp1bTjCzvb81e4lS84Bx5//ljYMEJRZK/NSKaHo5RCC2ots7G2aoAb3zuSuFbVjFQoYrsZQavLBofT09PlydOm7YgH4q3LBRMi5VrKjWbcsBlPdBICLu5fOntgIwbh+IGTYRGzdC5esynGQhoXMAeTqGGBG9M7lk/ENMjv4Wahvn7OrYL+5Erp5l/gnoX1A48nKLiPT+x4tqEDPLenkHz0ZCbsxCefLCiO+cjrn6cve9Lsg+Rr4UAvswyU7dXR4A8fRM144T4UJlt/DEw4eOgzhifilgllnFG+STgxNK7QRIRueojUiC41fUNxUuQQYQwvEkRPCkoJFwlAAg5nD33G9U6xIzjFF11qGPwi4Tx620km/qHX0VscrkbCKLKNNz5LsDYGh8+fP98rAvmqr/jYh5556sYT9188duTAvt7q3MzYiGOTD8IHQ8IJZLj3cpIJV73doqOu93dAUDPznYRPp64zIE5veLbZ8IlMBoTrL21I4dNdckB4c7PNpjoiBxJHLW82muq86rWK1UZTnQ+q/tmEHKycbzboWqqUt1w6JltW6Se+8ruOLmhuR6Mo3e7SUUqZTid369iIZVLFnCtDkVQtbaf1TrTSQn1prFikCJhElhl980/sr1pQ4bzGGiZPldLFTqWxqCPQyEE7PZMSYR0oy07dfA4pplIiBrqZjghuAeDncsnKTCrNxsDcecQqjz73wlrnSLJ0coduGhnLLZ1ZXNABphp6bDEVzifTLZ1yw6qmLzeMalmER+dNAMDz4Vx4OjP5ppk1LbkypzObpnIslU+VpqtZFgubi5NWpJgSURPRKpc6N/8XuNymmumxUZcBIUB+A07At3j6wiXNXs0BpfaI0npUjV4kvsoD4is6F1x2N0XXV2ZLSnVVasutJU91GVcKgeaabB46veQpqajcc1FX3/hNr0vsenKxTGK9MAECTxFCHh0ro6f7uaNw3ScUWxFIJxkQ0gD7sDcbQi13ysj/s7fF9UiUyqjbqFrPTDtL4r+GgZWf+I5dc98B1bOWRK7xcLw1GvvmL9x/8oOXNAKk9LqEV73vzpFkz7F9w2VglcCjOf8KYNYnyKRHUVJEsOpfSp/eGhBPh6W5IZbn7/9YOGwboQff3qh0NfwDk5lPfG944zcf+JaHdKCmNM/+wde+7/H3ngurk/8r6OD7ve+8j5zuxUqAcHS8iozgIaCEenZT0mPmJAFUcECKhG4SSp8kiCFlNcX7I0jQG6ZEmU6Pnu8ZBw+MjRRyUSbc0XilqS5xZgKbPgPNJws45/0ZSn7pvetrhKTPTQVMJvzba06g6wN2oBi6Uvx5mRfgZUgvpahWiNsWHWkgFcjLbiwJM1IrhpY5N+8xqRpXk94i4P31RhgmzLRJtXCiLKWEkSbkKisyloxHBH2Jc1drA9591g5u92cdRwLkq1//NL2AF0iNTPbGbABU9AkeMDjhHpEqKj1LAYBcYECAHK3XXKc+LURmlHtabWCcdNXt92FRHpgdDvoSBz609slPJG3xVV/dqKubqdWg5rqf/mo4hHrMjK9DDUF34xqFr/iEEYmG5Ec/5qt9xm/+Oo1GFX7PvL4KOfJNJEVm+pLZO0p8mRs+RABsJW6Hh5zBofOv7m9WqEiOdmc9vAzUcLXPS/Nz6t3Ti601Hj62aEket/bVIzmjUOu1ls4k3R1PK3bSZg5kpKyoK7hA/im8Ag6JkmIvF5U4IGsgZ0mfiQkca1YUdccDlhJCSiWQ30y1l17iepxpb3+JhsDiFJnOD2sMgFnq3E8TAmnv7kJkun93ljrxRQRCwuRwdttHh3i2vAlElyQEIepJCt/yHZChEJ8r1Kk+VylVcbVWMPXieK3Y6hIgVU8efLvHH3FS6GWpL4F8qaDO+SgQUydxiKvTJQfWS3ebRbM7HKOIa1S3QnHKX/sB1P46Gpac0+9gZiykc+Nvz6OmtPwTr38eX8EvIw4ZI/v6d+IQIBSBbhBEclGJw4S6ozsPx9SdRYA06+mkqRMHHO5dDldGa8BYgTRsKsPIP6hEhifJqlWfw6D6fRCarC7d96amfnAt2zp4/GcnqvU9EXekwHkxFY4ZC9XU5HjsszntLc9dWDwE1pkTy49907EL76yNdMrT3/ZiLetm6wL1idIP/V8KL28jBEP45WSGPNgzUgA4BoziwA3L9VlkIMHjhwRHStlFwliYHc6+wbDDvDsNeyMzpPN8rdZsSJEeVaJ4VgpFLwNh6L86boJSJxDQSmn7dnwfIq/R6xAZmbK5YEyPjE4cnY9JF9YOXuO6zuVI5vHGQ3ZSQ4Z8fjUM34AgopRzqVlRDWisuMuCCL35Ozd/G5EZjs0pANo8TxkgAXLSw+V1D5eb5D/2rCc2LlFdSwEydfcF7+4nCCNIGG4SgRwF3yRANB20DaIbQJhONigg8ovK8UnwwJ6aHa5C6v3eIIJyerfFhNKttX+PZTHu2VC9LJAH7j91YnWlOzc90awXspm0qZNN2DSF44n0RgDIbQpQSJns9K0YNeKP9Uf6SrNZ6cvyhlKg3dm5AFPKs/Lt9sDC7+szH5n9M4jPl8fqbvdws9DUvtyOluY+OD5dMFNubSNRTcfzlGqYynLGozOdBR4Kh5ud5XJMhiympxM6IhgLjc7eXPJIwYgfjInSLqeuYdRphkKRjKUnkIUT++HCaDl/JLs7a0H6w91GuTh7MFnJG8ikpZeiBkge7s1O6YB6qQ5aaDTEADlDRGzfX5+sRyNzq+4l9wDIsezUhAg5WEnnTZkWuq2H88VsfmyWEPC4/M/waY8yHiFf3zPiwMX4Nq6YVxat1LVNQjnjlCkcSR3kBhFE4eyqAYRoF4mmRYdmcwIVju+5UDOACI0MzxAsi+FhH8VXLnuO9LED+1ZXZjuNWi7jofgReMS8xU8bolgmt5m7itf6ck7hLJH0nblAqjQVvhWBeIdWsTtAr/LdfDvFQ3hwUihVWhOLj3EtfGlklKY2DFhwi++9WHFbXZ2NNVPFYlIgak6islhN2dFYvN5AiSZntUk7UzRNq3d2MWFE0oakmOWWpetZ+NpmaWZqJQr16s54+EZHQOPIxNjOg9zoVK346EI+kRnRECizzXA1dWgl78YFABNag6LQa6X2VKKzr1CMpcKmZWjcyUXibmhJYW/h9VUsezLtIPnPPbsEnE4D4/MgmACJbGAqjRDKqaf+GX+KMOZLsPChvrIVQl4iUiYOaX4wQ3F2xVvR2r6CADLYuOd8RSeNu8wXRBIhr/rrgtlfZKITTFQeUxLI7t5MZ3Ki1SjkImHLIAfhoO5rEd/y8rDm+ym+jFX8Gd+KpQiU0se/h1fvgOyogQIOqKaqYi1CwIlkNhpLNcrVfXHDCll2TvzH81ombQi3IKrf9pgtxpcdqGrViXy9kFJUjAKtWKdghajGteZPZl19Vw+1kAjLaFRq4Sj/NjhXKEjMuFrylZt/czEC4Ij3x9M552s1QKrTKDd5FBasYgycjMLfc4r7PPydJO/rQ3KKCC482FCgDDYJIAW8QTiRGlfMQyjT+mr2kh5o37/bEl8zp4GsrykPdHqyVsln4zHJyUk4YQygOzCDb/EeA5bZHmOc8WVrAPSBQdZUfLWFCXUM5J6jODGSKdfTWiRRbpZjViyRnMg6k0VNj9lMP42CYWTn+tphm3EpEQV98j11iuGIkKOTRx+Q8ZfOF+LRg7smFgvp3ISOICKJRMjOnr2QHJHRukFRWQynqeDZjNC44VIU9DpdWACk7vH1XPb0UzFOkLziwfspT9rNknXy46+GgQOs94MUNUWPhF0nlBBGyQbh3OeUqCL6oWDrM1S9Pxc2v9hkhdHqHZOBcAF8Y/vULz4rhj5XGAuV2sh4uyFFdpQMfP+BnnJ8mAfKbwtRCnfKiHV99MjGAIsqIBWgtgt/WnR5lFe09t543NXGZzo7EjkrVpFVo723u9rYi+Hq6Nc9FnmRTtiR1G5topyrJoxkLuEpF52F3RSD/z41oiPniPpiq34IRCtXbk+WxveO61VZSmfGnknbbz5z9cPGxq6k9tZGrr67cD2aqBZ0LX/h/rzihQ94NsoveLywl1zrhbMANAJIVkAgHWijIiGAQJThIADFDc6QEiAUFJAS4nD2jWfEhEf5xki+vlifjir4QaVRvYWkPa0fGAl94lavLYPVkR5kqT95tunHZURVoIwds8yji4041xNpKucWKEI6z7hhLcwmY0bIEpoz2UWTegcphL/ntBwvj4bQnPkuizGG0m2mqjpFqrPr14GCkK7MRvRCmutU201N5EwDtP/g5mcqozVmRauf+28xAEIoueHB6zGPlutkkRwih3rmgV1z9UJMUIQBwOIECFJAZUmRi2QgL+446osEY8fyWCubbir/eBDJ7AeIfPu1OXjjA2XA1x7peQAcCIlEMqmMeFAKte/6K53rTYM/K7Wl++Ub65ctROvwLi2pSRF/4exysWdBzB5r5ZcxQq8kGWfxkRnW1Io3f+bCwnEDGHenFzBam4ZN5+CoXTj35OKClbN2nSrrybwzm1h/2EqvcnOkVm4nv2PRANwX5ZqlRQu1mjV680MnlseZEdPD8VozlD1JCJKXPN5/woPXHFkjX/eqDSgC3q9KIAhAFPNzQRXTESTCgxAAu0QYS7CAm2v+VCSw+UZzv4RpMaZsWhfInl1LC1MT1XLCsQwyB3MqM9IdyE7fhPHZtyvFVpznFitXDIPUMOvx9q0x6X+dKrUFUhGNVfYnrJzUtLH5vc2KIcacPfXppbp8Ccxa84NnMsXFfdM2LOSThWkzlEl1UtHWRNz4bC41nqIUqWhX7LVsVrTKhVa8cG6tvGInIfXpgvHE+WvviYvp6d1JDZ7OFPOJ3PUH3REj8aYzY4Sg7wtfhh8mEZInx/oQTLIgThbtp7t4kO66cyTId8VjUSDpZDQfy5s6iUBEBCa/8qoG2ore4jv/ba7RTiTAoLnGypu2/GjINHJjxRiFar65fPOPt7nVBFVcYnC1bXK6f7VZvu2aEPseobo6mx7O3nXUGYye78WAtOrZjGPf+5plEMvw0TuMZXgI3X79n1ls7jaSO+ej0hJ2J5+xdZkt33Inv95aOp6pnVhbjupWZHR6QmJVZbbIm+hz8AFiEFfFgghhAEjgCkFK8TRBpOc5UKRHTJMQ0zXdWNiba8wIFSNTF1P2Yz986+0vJ10G63DQdV+bGb6FI/qI5ud2fjd4o6D5q4Tgw/hzHredIR/rGXuB8DTg0LeY0IBwyv3gHXKKNwgSJpENlakffxcXdRAirIT72B0LgHCiVGSwMJjuCOVLrB8EcuK+g2fWz/RWJ8ca1WLe0sgarBlbvoRCxO0BUzfpznSGbsYANYEWVegZRtsVAm/Lr0aSJT35tgM0Kna223Zy+oUccg24YSei3IinmJSpszx0JBOJ049AMioj8fbctBuV5UkMMrDww7lkJL37qg7F0upobbq+5lIejgAqx6+sIYuGZxOwPj6StPAcRPPRRCYcb0WSeqxUnry5d1uSliD5ltf/ir6MP0JOkofIV/SMdTC0eeDGln+n6YauGZsEOXCETSKJrkl9gxgCOBoebAnxqdpDBgC9SCiNKcL/0hdGti8834te9hKl8Wa1PdqsjFRMkR/1pVYAfqkElx9w873sIEPhwz7QPep4o+kPBnk7hbLBkK+v+xgKcm7zdOHpjXJrbUc8vbechN955yvRao1KkImT73rnkx+Pcwg7ncXvftSZNr91LnpkqjayUjXmFo9dMPIPrhy7WnESaOxE4LoH1e9xZ6vF8kwuv9TRW/ATD13XwmOh9N6Hdu7891MCWZSF062r59OV7sLJw6c2NHDfPTV7/z8vJ2lVlE4eqtSeX2mepYCG+JtISAOxfuPqXLH8jl6js9L8aoIerxDa9njmADlGnunFDoImwgC4o2gjIxAYQnmiEQQNrxAh3EOSU6oiikzHQYQxT4Qmngom3TLuDMz/Y4eXFqfG69VcIhaxTMnJAditGKOs4lFe+Lfjqe+qlB78/MhnH6B9XMz32SNu91nE8XS/vQ0B/lwRbwqVvO7C76UNozUCFPlvFIt8Pq1xrZo4dDCa5JIyZsQnMwLgB3+AmSWziFpU5qHdZoaGVGskr1QvF0OVkUNj58ZsrSCgmJqfneMxLGkUAACpwaJxTVBA6MI8syJw7Fv33/w5ZMhNnqeUASA8+tLivpf6FlOPELoTv4fMkb3kBHm5Z2hAYBIoC/ihRVAo53FTOaVMyCtD+R46pOnIGD1PKA0rDrj31Mi2qY6i+QTxdN/BtdXlxXkyR2bGR9PdqiGSKkpoz1YCAp8JDCtF2cmE+jhIBPpZlWAWeDarFM7MQBbRWYUnxTeqcuD7YP++cwqwQrJf/TXzk8w6NFsMMUqpZpyNcz273jkY+f3fM5maMA1/JU6fzBcPruun8mZ3TPxwuQznzpszu1cFpMBGH74JigDJTSsxvR4PASAmd0YiVi59fLJoIjDTn4FT+MILCBi/WE0t3/yK1Qh9z3sAgYavEfBk0OfpR/DHyGGy2bN2AmGHDi5QQQKY1weW0SZBIAg3fMHBYbvISSiA32PeHRLGmG+OtQ8p2zO7JVuC7Psq78x3hvGjQMIE0t33n5LqvSJ7v5zGH+/OU7Zj+VNnZ4sJLzp1cDoOFCgwFJyZKSb1wjdfttNPf1lC86A0980bqerSWpW1Woyabg+pkQpbgiIC/O6hM4/33nVq5tjxAzMrVKcCGCDTZPL0Oyz60Lni+PzUqfVjV8MsV+SFImKI3XydckTBwjoh6NPvMx79tsgucvpVHQgEln6KbREqF6iMJzKwqraGIsOhgVkVJWR6Yqztna+x3p2RAUlWA5rcCtML9es7SY25ARk2qxSdgQO5KpRWVNQ3Ns765PfL/1q7/rxV+N53pcf/xeYjFAYk9/vywN79B8ZOOx9djCUUCBBBT99OcNpbHp+af+X7kxyAA2ho+zQGcPNPb/4povtS/ZzRCGUsN2ZWkrsJAR8yNfx28hj5jl4kpiOBDiDfAEMLZOYOwgkSrsiHeb83iC6F1IXHvpoU2g3CiNSZ3PCTuOd9OJqgacYFYhhhwwPj8hdZDxQIB7IRnGi42jE8SNuPPXLlwXOnZw40Zzsj86ndlsh7eSw7qAZSMCwPVNvgSJAK8UOUSugq2nSCEq+uP17xxoUcyAJF21tCuBxgcOb7PwBj49RUEhUb4QyVETPBdJHOFy6vLEWXxiPcSGgRg+6mqCXSQnCZK3PMm6PmnjXt/Hip7dc/aYbmrXqUov7aLw7Ex/RuwBpgIGu5IX6L694nY0lvtnXj1yNQXY4JbrCbf0HVCifnJEIw+t2jCGDN1y8zkwq13NC/wBkbSJIBLl0Pl9fIV/ciUSCwCkI+cBz1IS7nb8eFkAoJmwr2QiFxixkM0DT9ItH1sK7C0vdaGGAvOMNwmaMrPrl29f4zc81uvXZovrHbvBV3t6FOYW6Cj6J0pPQRE0SW0Z/gzVeoqzalEBG8TWn2h4dYm+JC5wJTW0jTzLQzks+JLhQLyUa8YHMj6R3fhcKMVqnM1zJFGWEMlpb6KnSq8MzII/EEY0zQAF+c6TFbYoCuxJLuFtywRoHRF14APbzPFkqz3fwbrke5mXNTJryY3RWN0G1KNcasqI6oOO+bX/8z+mFPul8h7+1F88Cg3Ux4k/aDkAG6xghlklG5SaQGgkux4YehEZSNr1BziHA+jE+80fSImu6o6VuBi/CDDzSv19sj883DZcNDTd1nkO1CXUH5rlJ9LoghD1WEQlh3iyf98GY3kewbo1WnrzDwlYObnzzmGi3qPDr7NRekEW3LY+075D3Go9Mjae2l/Z1S4srp5o4wC1kLi31OnCw8umHnJPd+mKgWZ4FL0RtZOuxGVk+V4l+zuXBEAwh1blMCUXd+pJwH+9zqu8/OHD1WyWqdzhZWACiXcZtz+svIK4FU/K+evniLws18y9EYgWceObmfaRLXh5F7YkhqXBEM/ZpNDoptwiFTZ1JqFy3QtLB2OHv3iZFbJzqah48MId4XvvD0E1evXL504ewLneb7K53m+WpE5G+3eBTAO336F0EBY3cwvuoxhxqf2ZpA0bmDYQIp142rEkh6zwnbLaN45825jQk/lTo9nc+//M583h9iVDfd683GRjw5GNx3YGy8z0kjmccaV+Mx3pd8sOvld8KuW8ds5o/dpsowSMI++xx4v/7hsMaHh32Nto2zIv4qBojs5s07BxRWZwnB4x5Wl8iFnpXQkMBMHbdydikcSr/bs9hbQ5G7ZbCXyOL7O3MvVqVIB5gaJlX7qEg6t2DC+xjGauXWPPZnPEg/oKgcOIvPPpO/nuxDbXZ234HJKTaAc+KJVvOak/RnzQ5gNrhbRBzcrQ+cW0FG+1Jn0YvlfdaDwW7yRM8cyyNSHWBoUhZFEH1TgPRNw5AyEvklMshB32VGZDgjxlXICciO5ZmpdjWTCIfIbtgtg7hcP6/cSfogUQLGVpmRW0DVnR9Q4Sj6uSYpBhP+SaGRiyMFQC3pUg0L0yF8y8qOAbjchefP5rPqg9S92rBIKZY5vOvUxanc8k9V8qkpExCASuCdloQ/RNiCFwzey/+z9NIeWGuVRnL1iaWBBPhlD04ve96kvXEWifZkO0yBHFhGHLqTBUOXVOVxLRM1zaMaRinAVmDutgmRWyY42PdwvK9467NPP/7o+Zm553c0Hkk3Q8q3H5Yj+jBz7ERye/WDlI1B6rWreF70QwDSp60gAL2dyX3j9J7iQ7ierK421IS+9OjM0x8SJuVGbDpnNC+/8Clsl6hhgFFxLlzK1Hzpa9jV8PwcSDdaMzCZUizNmBZzHzm8xe2BWbtddgBnnelyBb/zu3kqGmFCMKrp8YtLPfweQ3LL0STm1h+Am9+AiEJLRigPBLSjsXe8DMCjoYxBL15WVA92TAto/m7igyK+7xX23vdSTTo+J/Qx63PACrnRi7ga9h3ZeeA0QGuJMEIJo5vbBIIUSCk/TzgPKza4c0pk2xRH8UGEELJCllLNTvPRqiYyd8rwO2X0IGXSz0aFcWCM3iGFnytsZlM+KHfu9GTDauPkpKEx17AkR7jE9JvCfAOZSrEvH+KtV4oUqeScvaYNzcg+hNg/8SC0SV7oxUbU8hIA7l9Cui2Swn32Z6AkhKEJP1CiS5MGkZSt8cht434kJQ9k48rZ00fW9612ZztTzXo6EQlrgmzCY5ZfztUPmMx34/bcbX6VHzhRoPIDW3dTcreTvz2HPoP0z4PNWUoTW6zii+FTUca4WZy1922RrFemZtJSleLXfZ2wX3wrhgbUGyg3FnGurj9gB9T+3p97fwul7iaYxKOwq2csJwRjTIZiJwv5ox6ROhI5OGDTLX+NmvgHf5v4m7/ULKDhbbgZSKWAtjEFN3/xP66ipMgFxRnwrMybr3EhGDiKA7bbLKe9aEGsE0Oke7MIeAhxC2tZwVChhfto00AhRQbRr1tGI9tHg9jX2v7dvcX56XallHbDpibIabjPuANb25CVSProazSV2Tg4tEX2ql7NwUEIH+6wUQYomYnvG5L+85fz7LceRG06ZVHh/VAtdrJYOBJ2NZRGYjf/KoWgdJ7d/O9v2Wv3bYyqe/xewGc398DbAClSLp0kDthDzXvtmymlyHH0ZsbaZkoQJN/gxWSu4I8Sl0yQg32gJghiEHendKu6b2sgsjUQ1Pe1Gumkoak6Zx5UZmyFau/YKjFIFQYCAr79xY8lWr/0ZfEx48H5faHM285d+Mn3pkJ7JqeLGU2GwyuNdPbKQjqdjr383MqhD/+gBdbczudn5970T8fHkX+KR7uLD1+aa9QmapWGXnp+5vLVQYyk68vFo+TpXlgDAg5oZA44C8inHBRFSF/qoW/x6gIZ4xcDyXiXOZFgTiAa44Ts3e0FFlbI8vNzI7F21dgSj9vzdFugUJt3thTcnW6fiqoIGcAniKmc44bvwf3MzxsHLlqAkfddCZ8+lRURxnwfkSkLtLkR+Hnw63JPbzTX3ZueTsftSDgWiE9m0LBy24DvXBBuRj/5ZAjhzenlcLjvFAq65dqpaMu3P8m0QnptKZEMxwj1aGanTzNz5Cx5iHxNL3L/uWMHmCZH7SiFYQh1RgemgeZXMgABSvwAqSakyusE+yo0rb+v4hAZ1AV98VWR4arYYJVvdjz4wMXzh9eXFsgc8apZ2s0RFVitB3spgiTBXBDou4M6peskBiRJtxIRfUpNDn33gSdJFbZcoXxC/DPNZEZk7IBuT7fQGquce3s2uY2Ys+9/UAcwU4n7LOHs25sKHV/K2LGqwKqg+p7WfDYcGq3aExz/tRxPjNB2aZTauqB2uXDJxqWlVkKjcv/qzM7tdP/M97QZAMOk3YjA76wd5c6Jxvjo+yYd53BdatWpjqtTq7a/9l0zzs0HZ3NuOoJ+1NDz0E94HPEAeZZ8bZ8jcsCNsyAx4IgOoVxwKjaJQTg1+Ia+ZTKYGgohLxIpw4Mc5yDHfe9FkW2LnOGiGFNZOUIee+Shy97F3H/m2NreXTu7c+Nj9Wq7aonEHbzjh8C3l/oNEtu3lnkGQRUVLpOOeGPuCvjPP3Ini/3YL7qobZbDxclLEaMbbxk8FWaCJTJMZIpjRvaHItGK1ZuDVRhy3rXGFSfgvH/Ld62UZIyxztF8tW3pHj6cO7iPAjh7csWZsV1FIWqr+itsDkNTIYZMSLfRDuOm1etZ43HB8C/h7pz5UqEXjaGmNWv5kUgoGXYIAXLeqwf5MS8Sc5m8u2dngUENKDsCnND1g8APDP19JphCmiBMkBvbIjFK0vGLgYd0z4mRYOLAUXKBnD29a3W0XSlm0vEouQyXNeF4PDioAmlsr9qcUYgbeEaVph/mrFb63LaF7CCU5lb8oLN3ju4q62O8PxMemT8xhh/E8YVI/ezsdOjClAY7UE/OIzficanR52f36WibIdx/IHI22nl/MRHaWNQhW+QhKYFlmSkEp6oix/vZXx4/s7xgQxWsk/P1kStTubY2DSH2q0zTBC+Ll1cmLS2bNLky00cfq3c+XpNjEhNpylBsxtqplAUoeCTuHM5GCJB/Tgi9jt9MTpBP98w2IJstIkUlH9tK+XCgCEhhU8CAMTyz3DdStMEOgYETO5xImACkDDdunfbGM5Sx4xdR7tm1sjQ91ajmMlFlmZ6Aw7pv6/hY8RhBcUK8KmSY+YDfikeXfI4bHuzHnKtV6gcuPUx5K/pG5y9kdC4OHABdr0b31aaTTtFJ6JagIp1g+jpyAS1oMaMGqNGEy3SgWmelsS9S03R4r+Bc3QbXZfaVzvTbY3GbU6jWPrQbbNBEyp1MlW0hkBvyN6lUBiX9DWU7ItNpfCE7mb35+R2fqFSp8qxoiAnsW5G/7tk3v+nJvJPkkZ61LxOmgFPAIFBSGcn7HqzCQUiVLvtA8+2dWwcjweDA5kkCOXRw78r8zEjLMx8dUyMn4eRWVeoq3ttBHRbmDEIGzYr3uos5KeRAd8GvPPE+ijPTd3c+5xYpPpHWPzTBr0DYLFTE0JLMH1YOoSjv5jPjemb9bOzKpWQk9Cs/eacD9Z/+xLYiZ8H5sA0vK9EitVsMSPz5H8ieW91PgPxHD57/zoPnPnLgVQ3ocPe6c2vYMMjVObcGCYepuVPXGq36sxk/NefYrlTeT6MPhfkBDIJ4QUUKosS+H8uldLAvaQhT2Xd44F/Nw949e/aysbQKpcrS0Wxmt+noTAt3XMbg5js4tbJ5alBotVrNkE6N6MRG+vKErktImG28IW5eEvD4E088TlHqMUdHtFV4XDL62tcq0gSmAyzBAkg9amsU0aNQX9GR//D659kXPJi8SDZ6kSNLY67kBGolNIZxqLQuOEWuAKFJRvtJJcNIqHzSHWMRfyzmZ4uef+6xR69cju1vN96fm3txWmWLOB1uI9/udvukpWqdk/420aY/6c7wqZD+HKHgHSjEZBCoCiI0iAHAZ9UX0f4HuNKt1lhfPUYnHkw9kEgyLlh7RNc0fXT2AYbojfthPanbF6uVy374VK/7E+jzO3aInRnGuFZPHN4fcqnJuB7dYdB/9qoWwVQadRa3/7b/juPTiNwY6DmMgmMzCo9ce+SRg+e+cXQUb4sFcgB/UPzSo9dFjIWjg7IAqQkrrhn05q/e/CVLV0d0+Pf/hvKbx/0PCne7CKHf4OHu4+TNvfjFGaTsQ48XKaEvPRmnQIbRAU1wqqKkEpSUNvU+URsAEIbD2VvHI7eMO6Dw+N53vf3ZG080Xxg7fb3dfKSs8FhPdma2h8BEQNidbqfbx2GA5+1YFnIEVQYikXSU2VLtc80q87Hc7fb3p/lYlGEqZfy2uIDCeV8Heyf0WC6MUkBrmmvS0pnWTJ05aWe4wbieWC4IyZ57dnaejo5RUxciOnYtcy3pULWH0dQk4hLUS7tBjCYVezDgmohfLJ2KZSKCg0gsFXWGI4sLjvPS2Kiyqjy2uVSvXYknfedWRgwQs2maHE+c2sOBhvvGDYCPd1eTnL7rXR/4kKk0ua2keUyarkL0VwO0qwcpUi0Q9ADC5E4YuEQK473VRPLmZ30rK24MJBjAe/fSTCd1PwHyrwmhv+Zh/CFVV7O+kqJIp2MIeD9sxRVyGmdUhQ78GJjnE/ra1Aj07a3DkeHwILKQFeTCWW9r9/59vYX5sXa96MYjIfKQeMj062qCeOcglOkhYUvXbsf0KPrVtL75VPFW+VO8sSG3BuIxgkI6/Si8kAJPOtebj6Qqg5zS3HSsNrN/bITrElHS2OQjCom6phWqY0ZScPh3Hk9LsDRk4djx3MFwkpqaYS8lmKAf/XAmAxd8ncMZDtNKBz76yV+iXGd5FD53Orb2jtzeaPQLgNgP+8h+IBpqUhP47d/66KPQ59ikH7F8gBBqexjYRR7vGTuBsxwABqKyRjhD5mdmgSEoc9NP8YWC4g6/6uae0yKDaYOKG31hudUYrag9rTC/FV8jMtlROdntSVk/nDbYPm3P+bwiFA9VBtiBAhd/9megaQA3U4zLqbXs+npe6GmXGacQ9b94DQEN/Ks/T2UiY3jfhQxy0EwrzcUe/DZOgUlaRPrarzAEEX7wnCuRm/2MOANGbYdBATIAIE4d4SHKOdPp7ykJde51AX+E/4MUSKc3aQKQNCgjkhACSGDDL+E865dwMr+EkxBvaqHaSHGRHE16Itz2d4MP3FxVp74Vl7oWgre8CFy8HKbcGhmtfareaDBDIhdf4AaHP4U/AE6lwS2Xw6de+/5PqUvOI1fC7fW/9a7rA8F1RcHHPcF1gsRvjUIIpf4mWv+6SHBdqWrDv66B/vJgvS3LOuORsK97jG3XQ011PS8PrvQLg6uBT+LBTwaXww1+M3UzD5wSABsRT+HnSIVEeyECZE1tkc9lwC/2FNLfINbXnUFEJrBs1Qj8JL7XOyuNgmDfSQUT9FlAoJG4xlC2zaTAU/ehwCIzBHtKUfVzlHJGRTgOrK67XGHsodd/Cp97/S9ISO0pRgBC4DT6IkKNHlPETKxZKtxR7m+XGYj5Ll4Ph/gXvkDNEDeEkfGN3JREgt4Z/wpfgJ8ms+Sgl8G1IyAwAUxkgLIOEMoGuzfrhAkqGN0kiP4OlEFFsgRKySWuoW+7+iVrIADFZjD/XlN9M3ffnqXu9GSjWio48ZCpmqVsmbkeBjtKIPWLpToD7eP2rdth4ewAwFLEG31Vt7WhyJsF2qGLncOvUMoMOltRIiU+kS3XEUKppJAxI82kEbJzDRnf1dQX3hSqiXipaKdjLlithVFhtLSzh0+dfNYCyDOlkDMJ+b6PWzwinPMJVSWbq+XbJo6JJmw0Q1C0V/edrNi83pWtbyQEyaMebEsevbTJHvJkL2wDoAYUisC2JVCUF0AGHoIvXaL92gMBA5AOp0TuMcVPJK6ujI9WStlE2CJtaMvtYFTu7VDKD+SSs9XII4ieCinFYNNOMtguDdahc5TNTzHQQoal+bIc6VeEbVooTo4cPS/LL87f383XRM6XwglNmNFkbiYUcxztzLrtpH71xwH6gpsBahF821vcM4eKxa9czsIDy2mWZYbRip0ZTaeioXAzW7/vmKLjhz24JeCnyBR5+NUmMD7cQ0EYB86uE7+Q5gbhPIAGIcEGJiW//Vmw+QbTlPxO1EcaFV9+d53EzDDcE4TxgjieOjYI8Q2DzL87NvHQLosLK2Ee+Koj10Ncpj9+Pby/VJqopoqNSj4/kgrbsRdHamzZCiWkMPesrsTsSKn4wIt6NtmudfKJdk5GnE5ubdeAB9/t0UmPnCaf6iWygDQGgGGQoG5/FQjjA3oZIVwyydkm2Uo6SzngMA2UqhJ6wIx3TI7cc7KftDh+bP+eHcudybGRWinpWgbpQc/YRkl9GCU7t5NU/7hDxd+dOe3krgtWfKQqIZXaTmTuzrWQTT/5d2PUXGpnCB125UpAc5xSFpGvfY7bXwLDIrnm4SHjycIqmVKahwAjDIgfnQLBNu5KSNW6p9krZeVudv2770d+tzo0bbGZcs+7gwDwTGcVf//4xgFFRC6AdeATRzpHk9WPXl06yu1DO58ooPknpXSl0M0nGksLxbceW7VCLtesPbmph5YWjzzQmYrEd2Rdc/QnE7lI5iEZqxN4/fOvdzHlVeKfIb/y6jRwEfDNFOGCCn7dCLYgCTEouNfAtzXBvykA/RLR9YwehIc6/XV00wgqGb74yjdYFLnHosHGe69/1r7V5VmvdrlRK+UTtqGTM3BGGbbJPgTdTtKvr0Xvv6GjGvQRuYVR+zD2Q+/q+Bb4twXs53emi2nJWNqQBdCjIgMLC1zXGfKlZZaIjF3eVUpMVNLFIhNxKWJUIO7q+RPYnr2pciRZGusa8cmdE+VcqpSWALXUp8XU2cInoN8dgQEF9+ni+VIiX5nJpap5tOPXwlVdGw6/89WVS2MrERxrVjsEydzr87jgUd802Uf+TGkLiUkQsj3IKlkeQkYJI1wJQMToNlYmQvgWql/kRi8RSjNU4VAp7jG1hDC++SWuUXifJAgSUG4Ga7/Ysi9lhbN9hdpmarabjVqrulTWRG60LrYQOhAzfcYZbDId7n+RMwPdFPT08KtUBwiHh0cmN5sxPVKsPLoDI926LEQT+aUlSLz77NdNh+xUyWWRQnsljObbCuncDostFGOGUSsV8q7rnih2ss3lic4qhzyPpZPaf/1DWNhxZC4hc8lCinq2/tgr2ULdFX8kYhmBpeIzpwgBckBZUJ70PkHe1wsra1UABQaMBzq+PRTDW7peykCU+FI4sJ/unBu511zfgFI7rLuzk+O1SlrJ6xNwQh+0ZJmbj99VSCsJHOyc3h4t3Op4EGx6mRuIKj+285ZEfuHsnQK6VKF0Zu+eo8wYdzXbzkS4dGSKSRo9vTC5K7ISTeyeTqPHNjXNu8A/hjO3SGb+rncyQGwsLJmphRcWIiE7M2MLZBrTF852WpHWN3TTyR1ljb2mx5IEyf7X/xo+4kE6RA6R/b09K8Cxk/fL0ghHilzt2mJI2Q2xlSXyyb6fGVL25tREoxaL9JvhyGCTVgAPIYe7m733HmyEbxfckgfqNwJQ23i9V6O6fQs0tFL5etoQ6eLs50ba4ys60olUSgLVprNMT6WZdEb2mvHpnQAwMaWOT+WYnlbgcrBTTORGJOZTpcmPVxoVTQvr+NadO92wTvnlMEemRVr3xej0KOoC4W1vA3/kgQj3wbWX4Ot/9HoXvsmDjkn2k6Ved8lXAISiQCoUOQVO7CAj4HOmn2LJ8MP18dZcrTbYz7DVuk0Guz2DkvE7m58M7t5fow7065HtechOltLFyXKyFONWYSHKIcxiHggS1cVGfaWejdvhaRY93c2U5pORiWq6QC2KQOmIQx9meL0wlU/npwu/FjaXKiFqINOMxmIpXk3Xw4f3L/6sPrHHksV4ujpVyCRtygDdZR0+mgr4cYcHhxvkn/WcgB8vM1TFwovAYFCL0CWM65zpm0SXXJc3iBRcihtbPBqo+4EI04yAR7/Iysi9Vvpa7trD9188fvTgAdWZr1FPqw25N+CGeS+uDax1Eeyi92Otg6Z8c4NNgp0graVs98Rt/KxQNKBbP3/ZHHScedFVHH2HXT81jTGnPlusN9fabZoqjpRAllv2SodZU+lxC3WQWsKTmVxzhIdOs7rTopacXeOFnE1dWzcNLaZlnCGvB5Y//4mfDMXqM06KnpjewXKpcltwLRdanFRtxppazFqQpi5K8ZYjkWlW6lKSYndSlGQlHIOTa2nToLrC7qnX/wru97C7RC73wuNAWRWALsL2jWtACVVm26D2NHSre3DHeOQWv+D7zo231mNclac6wXaezi0CYMAHd0cUFb9oaVosCojAqCF1D0a0fMAMV5cjoawb23UnxD8Je9N6vMcMxoH63PxinOaTTir22Jk9gNuh6NuyCgr7vT2Xv+bZCm8lh3prL1YRyLP3o+S4ToAIAiqjyr3fG4aG6t4YRV8Mci4vmjpKGZOHn37y+rWL51vNudFGe2GmouLG8UFDouotWYBkAYfZ8OSW6vjSlIcYGlx+64P+8QiqgtXB0a4nTP+lMvO1mJFiMh4brXgWcIFRZHRixUrsa4Rj4dr00m6lZGxEI52wzUDNxDw10wvUDKwwCW7LKZQr1E4cWxAFGTNkPe0WGg2AF0Tc9wG0yHhzUgc6LREEtizMG0U7me/roI5lgFVONYdaaKY51EL4f6wQljOOwA98ECLRdOKi/rb87hom8urYp78GIwPM4Gc9zFwgvd6OcwDkFAjm44UTUG1Bmfd7Q4JCC4WBchIXNfCbR7Q9fIwodOhfAjq+OBb+7tD+hB6q3wPIfweQPpMoL165OywX7go39H3+857PnyAjKrYV9I3w97wHTU4PNEcrTKRG49ubRAQqaG42OYCDL+YGeuuDzVfOteW7kyhT730omvr2LL71yKF2PiO6LKkXu1HvHYzvOQnxN1uZSvHic2F8xcpEl19c2zH2tmJqIQK19NjbSgRJ7PVVvOThdc3D7NneqX3ASQhAc4EiXSeCa1xomzqosIxU8j66rZZoWP9t08OqkuHw+t49C3MdL2FeTNsxyyBrcEBpgGF3X/+WhNgq86s0giKTZeyusrn520ljsLvT+4/6CmAABgUFCT908Fw1We5dCNujbahlc365X2G9s2I6ujBjqRU9HjElbCOLRMlxuL2rpi/s/uf2TKPgu9qhRnYxKuCn6alDuw+NV3aGMAWf2Kq/tGO2/j/rR5cns8BtJyCM2VSYIR0RzZtfO18K/O1yQiicb3gw3efBtEMOKIslDAJGBvacEP1KEN9l6W/EOcRhILFtdrhVa55LOt2h7xDwR7IzEFHObTs5tm0t9grq4327ZhCNUCs9zoCNyZFj56j9yiMsPt1MphuWMPcdGIBLKzmftNNcUsbNTEukzobn81OhWIuG6kUV/ioWQu6Zo4573csrYT7MTcPwylP/eGt7RbCZ2OOpK/BYp5lpfl09LstpllWbogaSY9yDxg6yo7eUBILLTQTSBcGoEh+MAFNRVe/3Bt8uPvqCo96otWeUAXeH2Aj8KR8sdzjJYZTbZcO/CmVSQxt+qWoYiy1Nm1wZ3xvWJmqp4pRTSTlukpbrXLZcJ5AClwc8vryuYVUT9XrRduuzudR0ygmHqux9n4o4mSGzAznt6fA/9u50lTzWM4qAdAcQjsMgH1JO8TrhhHJyg1AaOJKEBDVeKsjnz+KbbzBNBfkak/X6ZNkP8vmI9ngiKBi6M2YwqB0NJIuC39C0/b5C+1KaopbB86wyWph609G6PVJKpPPJ3LKjGdKpdt1Vc+rrS9WJzkQtXWCLoRU9wWMmxNGcbYxOHmjF86WxbDyXN9pRwzI0qZlWbWc69xZpTFbqnUKmTQiQsx5svhPfTdbISm8xDgQWgHHs994knDLK2aYESvvdPQfao08D+1rdVKtebymeiA8cFb8F/FYQytkWDVGYd/uRz62Od9sKGlW4UzwmLcFBTI/bNuWNZCoXs08wXefIJsZprQworGhoLBdY95iZ2J1JzR6oVttWEt5uaH7y9OAhFs9lEuLg/kHjSEDr4+9hlhYxUSZ26sLwDkeb+12tOxL68AcRBtzwFR6NnPO8amMREE4DGfaSbA3DDZKBZDf8xBz3w0oCGCOXtGEMdOz2qZxHg0TeHdP9KOjJ42v7el7N4Gi7kIuFdUnOwTkj6Cw6ELy3id5OwFpb6tibaKsxn3jQ9Y7eYZb7zd7DCLNf3XW0VMq2Boxn8ND4frRoe8nESMkyp9JpM3sAlW2TzME65sKWEfCo07BzmR1VPZka0d4994m5cKiYbPvcaCQi7hEDakUtHG+dGpvI7IhpBU4hJ0JYzqbg5r8FS0/n0+0B7x5s2KGqbodDgRXThp8hK2SqN74InPqeJEfKN4f9V317MlDNrdZcc8T2k6Gz84GBOCicnL8r1AKSHJovcx5bwiend9ei0sisj6YL/9SpxmV8W1DB7TbGJnrhsrscDS2NMlrNwNP5udlWrVcurF+Lm6/U91/qxMytKMLaSH6q7VbOrVf2iey3x6x8KhZYz653dw+Qn+mZF1dQsBiAwEGQrUwYFZSJTd8GkdxX5so+g0sI6mZVmCzs58SCiVJGD/mzOdxtcuZLO+uXeEIVO7NGRkabc81aSxmKA5fd2dZ19N5wv4NS5xRVBvhwnW27VAOk4EvThWo9lso2Z1PlPSOOPrnwGafiaJWMKbmMSxWcj5/otDxyDTdMtquZBcR5FOi23PwiYroYi5oRM5EUdCoET+fmZ07svDJ/OGOlTGvyA+X95z2qnXUTA6rtrCuSdStXZ133wEI6r5u/Kj2KTTtS/KkMJWolzYokx89nzFIxPKDUC56c2CD39y5UAOgM+PJDOwU6YesEgYOvJkzg5g1iamBqN4hGQCM3BCg5wHy5IYEQ/ZIBuh7TD1++/9yZk8fnWq1atTU34tfUdLd6FM43G3dAVSWKhj3vg8TbVs7jDqc8yIz4cwNe+LQTysTNQpYi6GYm4SzEmWZrKSaZ/eBS+PyYbM6O7xyvpzz4pAw1VzMT5UR6rhXRgonRs10rddybOTOx00hPpooTy9Fy9G3XHM22pWnkdvihJAzPH9HGRHNxotHNpWJ2KsSj5VD93LujiVg3nh7M6RyyUE2ayjjjudRk2of2PJ73oH2e/NuesQAIh0GTOEwzIDBAphr1aJq8HkjlIHLpt4ZUZrG4RITIiCBEPb19HZHa5hdf2Ju7Y82WXL/3uvO+gO8/GmF+Vgl4O2Jo5DycH6a57sozdwr4nbiKgx6WAreKWgeIlH18qxCNNwRzB493B+wykPDxg+2BgA9XTHNqrKJVNceU9XQqB9do8YF9ex7KyfFqqpjUYrGVSTeMQGlB27V7/uO3MMv0XgF9drnU8iT8mPGx8tEWJvLZlLz5hH6qW+uG7ep0PllKavxAcYIKROj7qQse3+Q9TN5PDvT2aiBJC1AZ3pqkUvPbX0mqWnwiQ842fSMcgJBBDzIBvoG1+0P1RsOZHC377mrQztC3OG7veaX2sQaFGFKIfs1lwEhBY7IBg8mKqAZRRz/A4g393FjbNt1awxGarXM9kWeUGtm5x+Yy3djL2uSEjqJjoJZ4qJuKRsu5sB4yZT7FNW8iivxie9dcZo5RU+yqzHFmRbkSBG4Uvq1ARSqNRiqiUcEY5W5p97nx5DQ1MJamAnXNXTiMgidszqhRl1SgYUwvtufHk1MIwEFJZqrFKkkCYJL/hO+Ac6RFZnxmwKcIAwKMbKr/4KwfhKUcVakGEC/LVXDjsQhpQUuoavbKNnMisdX1dCjXA3hBQzq5sUw0DBZPl2vh/JgppEtxplzUIk7MiBci2fHQf3I0mRm1NAbUzbT1uMYZn8yaqLNwomn2s500hr9ANsjj5F/0Yj1Aug46eI+yQUasIJy2GKTziIGABijjUwM0KG4QIBYBa2MrKUh0nVw0Q8NA6e1rCSOCM7Fx5zluWXm+VwbyyNX7L54+eezI/r07VuZm2s1yIemGLcnJBmyE/XDp3JziTEVu/k66QfWh4jr18olx2wNM5ofUFuzeCHKC0gd2QLN9WKvWklKoFaoz9/cdF8cXS1FGRxaYNIDVStCkyfldZyOrzSptucl0sZ4RwDHaXS2G8g6MjIGuI5sZd1yMi+XJdmFCo0Yyzxh1j0+O5Zay7aKxMy7SOTOkdURzmlLz02FAJmkDBeNfrh1szvJ2bfVYJpxNZiYFQIJ1VtJPngQE1HmN8SPHtRERiTSzJuPCOjiaMLWQKeo9tCgQhDCW8Dn8PmKSpHqqBoXggQAROFyr1fw4yvZQf3fbewh3a+nmQiNT/ZcLtUxtvpGp4aXqQjlb61YzlQX/HyEID2IJj+LPkhhpk32v2rDVFdQmlKioO0H0nXfX7xJECEFKPKRTAHp24LJROHb+c/OXWmX/ira1AxpI39kJPuihOmwnC29p7ilr5RINHZw5GBevAqWCa8Jk0ZSpR92MNmZZ+LVtEIV8SK+NtMxoiVPBKAKA4CU7rMUShHgk9voqfAuJkwZp9Ko5gtg3c+lTfd4FIJf628Ca7uyYury6I9xtHtRAdatecM3KttbwcJVTuewJ+e6j7XCc5t+cDgm9lQ4hRzY5wQykDtDIVDzejFncyjPIR0IxGmPzyAGREyQHCWFT+D3kFfIx8u960QZQtj6FXPPiU1ulw3uJRjnVlHEjKZc3iGXohqXSEsTQyY0YyAgYljQ2ogIpZef9WHjYRJsRApdCQYXx3+M0kW2nCQqRR4F89CPve8873/G2tzzj7dF/8NKFc/cdPrB/5+pid3y0Vs6kHDskyCvwStzfuXPn5invFY8HKtdvBpn0U4+3NiuSt+3PSlJ/m08jCPv12XvYY39bt6KB+TvjKW7VdQ5mK9J/4MZMFw6kdS6r7uHsHsthzMzsMPA7vz2Z4LpOBYUcZEOQA2o4GUG5m8kd65TKicVELsIlcxNMh71ayLIsjXMtGpvK5vMw3dtTzrrZvI4iPehpdDMaptzMj0I+/+ED+w14MAGLR2L6c6XV6OBRCqoWXQBqCqBxyvWoLShyg/0OVSlhGeqJesXS3/UuwLC9w2aIXLv5eU2T8UooIeWzzwKG3Hi5dSCBIuh4JExuJiR9/il45hmO04Bw6aVs5F2Ees/7+QH6DvwLMk/2ktPkUs/jPYQTe3dTigYQStenAdZiQAZ7utzbdu4GjXTc2zbuDlv9ru1fWhhpDVr9DgshB9moht8+odtUYvaWpP8AZ6qARgbSWjjJeYDEth2r6jR0/ITT+cCTH7hvbyzkxpDyhMYoZstAgXHcA9b+ua9/sJ5fOxyJFwXjLEE5/+bGnvO7erVSTOjvB/vmexqHU5WnFlOprKDXrl3DV9beunP3d19cL7jhjIvckrqo1JEyhrueLOnPP3HiWpgX7EST0gjTtUer8zNPXHmwtlL6Vlh/7Q8aWvaF2Y0n5ksJ461vfZuqYv1zz1b+LP4oeYF8Y8+cGEMB+0CKwFheIAKkFNeJwQkxrhNNC1oAEAA8H1Rr9JuFqKDWVoXNkloKQm6qtdwgm1/64vM95/lnn9p86PLa/l07lxf3eJrLNkV6NOlIH7zx7ZU1M328dJcwsc36lcJjOtXnvi+jt4zerUeADZAa4Ksvvf0XDgtw/FVvr4ZCIT0ylYLuIjV1Lp6jupa4eg5RiBhfu2qiNHbsVJRej4WjHDH85rWpHbViPFOKxSwZj3GNRsuGNhYTkRirZyOtaMSIhVlt2nIy1dVsujmvKvJOtTNOrLYK4hWgftE/p26ncGSdA4yOWXoyFDt3PspFpBWPFeJGw1w6O1svjabsSiIiYquONKNavLyYL+Sm4uHcrngsVRDMiO6L/MLNv5w42VrOmpNrnTyh5IRnUe3ybOoFsp+cJed7Z6KgwX2ga91pJIyuB/E7ohPKdXqVaFoQ5Tb8ajwpTOozkKrs2LtnZWluZmqi1axXVf/8SIgswIIV9M8P4OuzzRA/QlbDOPA3B5oq8DObAnzPJpCg3JeTyk8dYAe0+66xXWtfnpnXQZbdQnjProl9IWGl33qtA4JNjB5bjRqxmLnv5V1dZtejKZyC795ZrHUS4ex7GvZrf7DcmktFTDtKR3aPd2OatrRz376D80aU8lgyn68UI3YmM9NAs5zWRydXw7E414y9FZGzQ0W41olm56scWsm/qtRKS2OHdrjVsYW9FUIoWXv9A/g8znpwXfPg+tGeLYDiHmC0COCBNQngSan2oJMykv6DTIPCj9se0JceTEG/VGRj+4Re4fYxAHZ2MIN5Zorfbvm+oytLk+OxiEKGGD5UT96SjJJbiWLfOBhIuGCv/kxSfdgScK7b8fDZxSAb4XMNntcS42PGGIQ++UTUbjctuKjEmB8J1VuMRaZkxdx1KmqPV1EgwhkQ70/nK7nlfNICxOc+9nnbC9kUx0bjMZy1NbEwb46diMs3fU0IQww2qcWZYPgEGK31YvrEqlnRZwwMAQK8CcR3xML5U3sPagUN8FMP3fx1ipoMJ08c6dVjBPp1OCpToaokJ4CSWgQRcJ30G+FvEkACeCNI38XwcHeuUkolykobxP9BNTYf+7sW1sA3/b2qacir3s67b/Ok9+PkFfLve9FVsMSDII3rBULN4Z72Y0SF8Q0VXNY1qav20iZBc5OY4P3eIDohqJMNYll+oY3flcCP1vtbTvxiuPAhEgoNN7z/Xc8YGZ4xMjyjo864lQJJvP2tT97wnKlLZ04dbHVGKgvLc52wCho2GtWgReBdmjsOm74H6lkN+a2VtqwyRaYTdBSFVL69mnVbF0I66xt4QVuOrbAi/W4s1LJTZbWPHXatZfa2C61tPSAfFqD9689MTO/Lv/RlPLZj+TNP1Irzk6Pf+hNc4sAQY8gi7nS9JZcS9bHphFOMcHNs2KZQ/M7YpIjiqZ1rldiOkmc82pHJMc6gmArBOwt7YjEKPNRp3D/sD6n9H5NyABD6y2+xk7nHLp1/VJMSgDJtYH+lSvlcw9HxgY8BNeIrMYlM73cwFGYJdtTTEDpw4n37dj41nq6Pln/iM5kSoV5l93+nu+BfkUmyj5yC0Z7hgKEj8KEZsEKUcSK4anDAhME2iKYNM3u6Pnyr8sqmH86685mjS3c9BxmcIgiI3esMHVULdccZJAEJl9/4RMSPwwUrkWg6ahu3neHu61QIOw/k+NH1tZ07ZjrtVqNWLibdeFQTZBIm+zquuZWKmh849NuDSlXhbtdydSe5ZSAOJWt9UIYik75cxfsenqVM23vl0BmMPHU2nQw/OHL4iYfywqq+o9aZsDyneqDibhqdVtk27UQcQu1mKeWkNB0TpYxr31dkydBaK1vXdlDUli8cijtXv9yVsHdxV8TOptZDDBYLxwPtdmWWl+1k/eyxqsNHK9k9x6bG/lsqxxMPhSCsNQh4VXvz8A5fls71OukoApkHynAr40vIli6jF/vls52psXara3OR9NsPC7mtRm8Yy3ecsBxmYbbMNMXsXmYKDJW5G80HmTuIlKbGpzrriuS5pofkNIs/slOGRpcmKqlSko6l6VWK8Lyfslv1S/IMmV8oFebHKOe6bjulynz2Z/W5Axq06sWZXCKXj+yT8PGw9f+HnVNQgP+Jl/B9pELSvYQOSGAdBtoeHx2vz1IfloM8qCKlIBAhw1QGD/+CQp4zhmxpEnftEgZHQ4TDrbGmIQVl4gWkeEbWKRZT2ZykNaoIz006nCM9Rf26rT/HCr4VN0iUeDkrE259JOR5VAeOEOINR+eo8pz6oastqQw0bAr+vd/LDA25wIrUzTJF5CYr9/fOwXPw5/gg/ghJkNZnjcB9+xwAIa7Hr/6biHLQ/Ec7QQKHFowQtz3+A8eS+QL/aJgzFDykIb5bJvBHislanB2RFKkTElTQt4oIARiDP4f/4n1rnvRl0quk/33qr/q6z1ZAuP14k/IdHO8Lw9SvPaj2ATs2kXcjWqMIAJTqlOkCVOjwaWbhM+mpiLFrGYACYxw1SjUOCHiOhQlACf4W3+5RVlk9KRf9tgGD2BMy9L8cSC4TjxmSlKHM/dv17/b2HRrbsjR4Nc3tKyvSvb8aQqpHwzosNXZPpUym4+dsGumesGAtU7b1uK4ZNMaLzZFEISQIkL/GBHydF3WLkEwvieA/qRMgAoeB6BqJQITeWXMsYEexPlGjeqk5VtAwUS7Up02oFSodHQlBJFjC//H/QZQNM/8oUTYwcQ7f4WGoRUZ7ra2AeD8Orq49gofvjIbDP240HOdUOHwkdK9wOEFIwRfwnfjjJE6aZHcfwCEKQOBp9LknUJbbDkaUCjR9PD9FAeDR8+c/12ptAfmWDvA+U915DK4kKolKXHuEUSYLlAPsefWOI/iNxUIyFdcuAcQ51+nz8rbPg26Nf4XfTvYQ8dmZMEy9cfNvP7WrXv5Dd51AwgRb+e/S2Ru+MGwSjQw0z9feMQ8fgGf88ig79eyo1wbafuO23dRpp0uRnGTA4LXfhNt79/nPxyGEPog/R1KkRLRXi5kwxalRmOkMkku29KBXLvnZIuLFK3Daf/4mPs55WOaoFPgdYODNV26+hzKk8BfcXHp4/6lP//Kv4M8CgsZeO4uSA1ADOX690F/7Cfj0/Zu/AsSPiH2evgN/jCTJDHmIGN93cLadM4FO9TG5VVg5fFA6Dzae3PYQdH9MCRmVi5gLgpBD2He7HsjFVsNWNQH+w95TF95RyDojf/Itj34waXB3/SR8endPWKsrxyZjZQErrc6hPel0O50JiZs/W6GfAmSMZ2yht1C4ui1CAm455uC3Zvaszq3t3P2pHz13rDrlWbUvPfjmLz94WkJ9+s0jL03q+autTMcuzh5aO1k34kJnINGinHKDfjM3qRYTtx7p6238vIed3R6NLQqYUoHc7WQz2Hrdweas8LOgAdC2BtULfNrysJlMujP4G45EGolfqlUeclzKrfYIZOHJhQWjk2ZMhqL3za6accaMVNHWGVwXOstlDUyaRnU1xvJobDVw+BDFn7m6waN+q8dBPBUYUHxWUDTYzZ/82q+lhJJzhNBD+M2kSU6Slz1MXzy13EpHFaY9fM1VhwaVFLd1KgoYV/Z3g1aDNMDAblAz/AbTg/pUX2UnO4P4c8k/lxobpJncmcSW5zU/g7+vs9Bv/YLGJLVQY2NMn1Fcl4Y0M+wIFyzhhj9o0fe+jzLBnXSoTYUedaKI3hGupxJUwzby9OVkF1G/+fs3f5+ZNeRaSPcb7oNw/9NPxTjXDaBAKdN0CX9sjHCNAkVb1C2OyEz+XUwwZU6cOehSFL9Jw978UIxRFqLfygQ3qOZ9tgVyjX0rlVCz6Q9SplbQfyE1JoVbiRoUKBhOiVreBAqDfpv/xZNMFz2qad5VMgVNn5UsuncDbn/ZvSfdIbHwv5oDeQTq4J1dtv2Bl995x+hdxVjQ9zUQXXf2067BvQaJr+oIfUL1N1LymXhQgO2udJDf8MksjK5vp2+vzNsiIl/0KdmtRukDw9wEA+wslW+cXl9H+rVfTZ98Mbc+OlKQnCeskIZnVQ7i12/+GjNRg13ywnETfBvye4YZBjeSXpkR7IMfEJzRl94KCExrNw87FDWGxn9nPp45k0C0b/8KF+t9i5P8ASH0Oz25ecq7r91FmNp6Ikp1q/60zw9Jd3vhV3USh7U0YZT3VkLxefjV1uR+ixksk5ahxJkJJoy82IhQfXq1t2d2n8mNZJpREOHJnVNjGTDjejXQSy9MjD+bsH1071w9HIJ/t7xUMxkCl1ZufJcEsN8HlYR9ebrTmy+HBAJlZliEdy5dY2OHSx+5XUfB1A5CyXcTQhc9XF4im+S9ngR567MP3X80Tz156KvVoRF9l2ZdM9u7dfnJZ/+11bzTdW4hbSmD1Z4lNK/YxoNcoHCSqsaoWfFPtK3sGx7JaMbRjRAPF77qfgtC1tLioC2s232p9GQ65feeX91pqfticrZ6v41o7BvbuyGtcB9w2mTh+eeyrT4D7XwYCgVAoILOdkJayK7/oW+DAxQiYeDR6YmQ9FTVdUDQV8Zi4Uxx5YgOYnbizh71s1ZWSgAeLu6VLTmy36mYs9MMtpdJD/js6158CyBAaGa2lMpHvNpyCoy/uLTIQjPdXNKx0gTIrxFCv87Dwwse7XUVT5W3CQu/0dGgpGeAEJ+TBrCbm7dn3wg1Mz44b+0EOVALEdyGJV+7gR6QGIRC4Te3bF2GbJ1HJpZpAwxrVoOHnmHbURA0pZ5OZoBxi91XoiI9JTxE6YIndCdcOb1nwwiwYaeeO/fmgZyhnQ7a67XxUiPvpJBZjs4BtIVMfSG3nqYI8IE7m7D9zMXHEASOvxiJnTcQmWFFJGrO/Fu7kxZswV+iL6meIYRe8Dj6mgfVaUtxdEW5BbdugfJIMYBLnxQ7CsoBxG9JGKkSiq5vGt1mw9+WKMJ9J54YpWEm+eXDKc4N0JmbFhyRTjcskcoZ+pmnf+DJj0TZbP7IdKRaGtco01ydK83YbFBk/XUsvt5O5g2KH3rwUw/FrzoXFm2GwLgpvoVrHIX3pilspICyFu+utkr1x848fvxINLF+ZOL4rN2mAFyzJhyKPCTOnOMc1GqJ9t4PH5nZtxLJPKE6sXi+3nMw9iV0YoF7dWKBse2tWAiQd5K/Zt/2pZ8z0AZd9lXeOV+7+dprdz3rT8JJ+BB+jmRIq1d3gWDaf4wBBTUIQwfMjmqSZCDDgohAYP4EKVlfR8/DWKE2VtRK2vTyyIIlZIhS40ohAf+nUqjM6oj2nkOnl1pGRGqa3HNRJ0B+A07At3jf7yoP3QECsE6Q4NPDJ+j4PnokLDlxwWVDB3km2A1T8UvDBCwVaisjldpyaylkxdWXolPJjy7gZNP7yraeiPpfSJB8Hk7iZ71vLJKdal/JQgoJro4WTKbuW1JBCQN16xz8ey+XgMzNtJqlneWdblyTpAhF7VYoNGdvBYQPivIXGYcLA1CNM+g1BsDC8KV8AsL3HAngGF1CDQ+dn28qUHJEo3dBf4MhguSH4QS+7N11ikyT+d7MVBIVqDkoWKubVtBm4IM7kwbi3W8hPZ2Z9gGfgpR2F8Crm/JhP3OvAcgqpFRr3l14SPFv4Y/vODLA01Tz0Pk5D0/BNd/1oMIfIYS+Qq4SSqTnA3KFtqnR6bkZt+z9u4o/fFX99OfB2N3mzah5/R9F5Ornqj9PfBYI9Oeosf8bnWKL4AABAAAAhgCeAAQAAAAAAAIAJgA2AHcAAACTC5cAAAAAAAAAFgAWABYAFgB/AOQBqQJ9A/wENQRzBK0FTAWdBd4GDAY9BnAG2AdWCGMJQgoiCtoLqAxADNgNkg3pDlEOkA9SECoQ2RGUEjkSxhOsFIMVURY8Fr4XUhg/GNAZqxpeGssbeBxUHUgeDB7OH3YgACDeIdcimCMvI3sjxyQIJDEk3yV7JfMmtycXJ/Yo0imHKhYqmiuJLAws2S11LcUuby72L2sv+TB9MTwxqzKRM0g0LTTXNS41LjYdNk82rzb8OC043jnXOoM7Szu9POA98T5kPqU+6D8ZP1Q/kT/YQAJAYkC2QRZBeUG2QkhCq0NjQ+ZEUkTMRZBGO0bNRvdHIkdiR5tIFkh7SI9Io0ixAAAAAQAAAAEAAFsdrCtfDzz1AAsD6AAAAADYspj/AAAAANiymP//5f8GBPoC9gADAAgAAgAAAAAAAHjaLZADqN9xFEc/93t/abZt27Zt23aYbeTZcTbDlJu9OHthen7nj+p0TaWqgSRZbwldtkZrw2zd9LNaDid9ibbbJL2xVzoK5UJfPcC3B98QO6gRyIX4KsIsWAYDk3ozWALrYDPMgRWxfBhCj+XYL5HHfYK6eX2185bos9Qu/IEX2Ct1zFepnb1UozBRzbHbhetqF8VyV8FQHfaCSTmS2BYN91I64/P1mp7Po016hmwPD8M9jWHuMJuUlR76WgFmT4hTSNNhclKfYruyfiEbY3clvwv5H7C7WnUN4h9dmNGF2GTIj3+i/SXnkwbbag3lb13wdXEh60MDYt/EHywnv5VP1hT0PF7YxpE31bPUnfuPhj1Zf7h9AD27xWZGi3UJe4KtZja7Qhlif5BLoBaUIy/FLwXFekOx2F/Yb0isn1fln9Kw2I/oMxbfO+RJ5Cvkotit0UGtpsdteMPev+Cmr5OslqRJUjZ5EnN5AAB42mNgZGBg+vafjSGKZd7/p/8rWH4BRVBBGwC15wfbAHjaY2BifMy0h4GVgYGpC0gzMPRAaMYHDIaMTAxIoIGB4b0Aw5u3MH5AmmsKgyKDwvv/zAr/LRiimL4x/FJgYOiPYwbqPsy0AqhEgYERAGVWEwoAAHjarIwzYGVBFIb/mYu17ed2bdbbLard2OiL2Khj27b6uIvtpH1lNDcndtLlmB8AaccfgwEA+wsJbKuX2QcAP/EDCi7CiM/4iv+why8CkYVcFKEKTWjDECaxgCX2kv3h73k77+YjfFyKk5KkVClDypJypQKpWHdb90D3xEpEAHQwb7C+wxaOp7J+b7DaeCcf4mNS7AFWvlSku6W7r3ts1YholmZomqZokiZolFqoieqonAoomaIplPzJm17TrbUXWo6WrcVrcWJSjIkRMSQGRb/oE71TXlPuU67XI8FwhsJU7AEZB8CPHgCSrKg4d/7CxUuXr1y9dv3GzVu379y9d//Bw0ePn+Apnun0BqPJbFmfuoamlraOrp6+gaGRsYmpmbmFpZU1g42tHYO9g6OTs4urm7uHp5e3j6+ff0BgUHBIaFh4BNCCSMKOjGWIBpKJIGYMQ3wCilxNUjKCEwXEqWm1dY1N9Q0EzEzJKGDIzcuPK2TIBACBNoVkAAAAeNqsVeWa60YMHYeW4TK4IN+52W7jsS8z23HSy4vfZxftpd/l9hn8NHLK//poPXKyTKWFaEajkY6OpAkrQ6yW4yghevm7mpx/yY3Fj2O+afNskm5QvhxzpZn9MayG1eqqXrEdh1XCKtTtnrJUmAYeW4Yp3fC4YmiN+M85rs183Ju1RsNoNVr4JHa0Y+cx8dxc7PDTxCa+K6u7SUJF3yhb41moBjviq3J+FZZwFhNA5Bnx6FycQkNyNiqr27K6ndppkiQ2W26SaFZz8XqSeFw1BD+1ZgZA9XAu5roOuKEDwE/YSj2uGQ1ctFbUVwKSk35w+cR5tMrVlgN9SDnl8F1crTeR1nycztnZQhLrBKdPF2Mc2ZLUILLHdcNDodtTlT41DWx1oEGxDjKurGywtQr/XG95PGRIQI6Fq7/X1AqJB36aJmKStkuQw6Y3NKbCKGg5W2SPmN3kj/a9WK6GHhmnFOU6o7UBU8oWNplsgNxEydWmztr9EGOHXOfLuKVw66BL46ZMqDc2Wo1ix9ZO0nI8njBFpRLxWtb2eNLAkIjHwxdyHQsdJDwhuwXsJrDzeApupktKCAysIi5PhinlKfEkSPN42rxciovaWju5zBPr+kePT5iX8/HLxb7SdqA/VepPmkJNhctxMTWF+mUBT7nSpGjdoBiXjwl8sHVWE/KYiwshD9kGeU5l2JajcW1zbffPcQX/pSZBJl3g70K7u1SHFLBQ6pQGWyGrxz3LsspanTKqUJVoKeYpHVDEYzrgUQROA0oR/pfpaUtNqiDI0+Jkw+XvXPsSaDqN3E65Hp8xhSXyLHgWec4UVZHnTVETecEUdZEXTdEQaZtiSOQ7phgW+a4pRkR+aMhn6zOPW+XiK4/dcvG1x+8ZxRPuv8D4PjC+B98EjCIdYBR5CRhFamAUeRkYRTaBUeQMMIr8ABhFzgKjSGPoYdlqnkHY6ZRCgRBKOSDZSL/5hj2XPUzSFUPUpUMqobO7Wp6xIy3QSh5f3SqPdZavtIq6dSaKryZlgtdKZg49vm7oVon3BuysaH8QTBiCH6xXZ39W8tN+rO8W160zyOgm8gfgg/GyCrO7Ht8y/rmHHt8+zhRNuArzOyiJOtskn7oyvKDyeZ53dRfTHq8gf7Yw0bct68xpxL9rgAoDgr/ShEdCdz33NdHDHL7ubR+T3/fBNR2IFXEq8/50Pv6pQlWyf6rMVC8mgbyBwyEGrLTWHUwfqrkHLYGN/mNfCdM1zdUwW5uLsclsrFN5g/beyTQh9IzuoIYaETrIC6KMktJBQbRE0ThJIbmOhqrv8wqPklGzBIHPuf4rtx0LJb8vHBA09ZkBB/ohqHkgauSqA5x1dFeCSbUeir5MYMCoWop9eqgdG5pNJZxtU95oYvd857dvv1AHdfCgMlra+NEAQbhZmlS+nvemuFnKx0aTL6x18DA/TPzCt05jAJ9sqed2qp/utj7Q5pnhu+6BTgPD99wcgaVZgHa/Dcrisw/TcKvDwO5WC2q0uq/vDty18WjgDf8Xrdj9v7pP4Gd3AUvjCdlRbycZYIyEjM38O5K/owcE6Lu7U+4i5TP94ewpmcNTPt/ELH50iP65KZR1+hTfwvqF4TsQL4W1CLxSJweKQdhXRtqRX2L52vTwzmDxBgtLFm9Nzyo1f/VY12YOA0AUhI+hj4sEDRxzLDOzZWYuS9Cgd1aQzfP3JxY7EvpLBvMnkcUQOQyRxxAFzJdEEUOUMEQZQ1QwPxJVDFHDEHUM0cD8SzQxRAtDtDFEB/Mt0cUQPQzRxxCu5T2nh3nA8N6lhlofUiO9nmR8yhhb3kuqJwzVU0r1jFI6t7zXlC4YSpeU0hWldG15byndMJRutaA7LejeCh9vrpKPp2/Te3C96yfnlLxT7DMrcU1jAHjaY/DewXAiKGIjI2Nf5AbGnRwMHAzJBRsZ2Jw2STAyaIEYm7k5GDkgLFE2MIvdaRczAwMjAyeQzeG0i8EBwmZmcNmowtgRGLHBoSNiI3OKy0Y1EG8XRwMDI4tDR3JIBEhJJBBs5uVg5NHawfi/dQNL70YmoD7WFBcAd1kkywAAeNpjwATVQGjCYMK0joGBaRvjkf8//tsxiQLZ+/+/h/LNQXwAA9AOR3jaTMwhCMJQFIXh/967N3CyscEcuG4xir3YbMtiE3vvzd5FMNox2oM92XuyGTzhnfRxwg8M1mD8N4FsVEyyM+cgByvOcsGCi5youcpl9te24SE3jPaR26zfUdmXwIoZcPOQjd7vsjP4Uw72/pYL1rGUE2Ps5DL7az/FUW7YppfcZv2OPv1apYrlxoEg2md9Rd+W5DEshxkN4eSWmsgTaxJZUklj+vC973MrzFSCabceNPjfYpKOMtsJHX8OvnCtUvlbqlWqFV4yue3EvBtYEwfG5/U4UN5dcPXHGPyHN0Md83yg26Y72tR75ui4oW28kERtXnc6ssFKEruVJOsYrqkKT/A1qDRGFaAHkwcmy20Sc0VV1I9HivUfrJY/Tw0GA9XVLjzTQwX3mS8v6uCCeI6ULjIF2fcG1oW8Y3KT9U2bx01xU3fNjXaU5+2FNi++7SanbqAzw0igFRPnYPXitsnYhYZ31+vcSk1cgOsFwOfLjquqqiB2zWXd1zbSJ5FhKUTzyvw2azfhhc6lE+VyHmQ2dbnKbTSuuNxaqT/+5XrEtEgJpTSijCx1KCRHTJ8poC84a1TB9ZdKElXxMC2RoVywMX7tAmmRiXEa8pFZl1iR96xylX5cKf9BbhMYLarzQGlqQ7EL/ibiPcRHdEwNxBaYBWhH1BY/RxqxBWcF2ZicnBk8jTgpqXuC+EGl0pXWDaVXIA+AysYTEW+Gl5Lnxysn6798tqIzRQO5FGakyUH/DOeQ1EXvM/TlA3dw2/H8AqVvYm45++QBaaUuph0gcplTnwzwfLUppiZpsM0j21HQ8ZAN8Su/xdtFdIpoAGQmfEEUW5Gp5RdePcRtcWepxwh7neo4W5QK9qZy/ZaCT3xvx1VS8khlD/oyaepLDxHeJ3gjd2MiWhznaVtiRxPkIe9wpYjLuHIKZGspOcRK3KOrGZepBX79LZyH/sX/AZelY7kAAAB42mzBg3UkABQAwPlr42zbt2fbttXKWVEDwWOe43QSs5KkgcxIAAvfNCzlJ0JCUkpaRk5eQVFJWUVVTd0yy62w0iqrrbHWOuttsNEmW2yz3Q477bLbHnvts98BBx1y2BFHNRxz3AknnXLaGWedc94FF112xVXX3XDTLbfdcdc99z3w0COPPfHUM8+98NIrr73x1jvvffAlEn5o9s2MVm3+6NRlVI/eSBozH6lIR8akKdPGzUZWd+QiH4UoRinKUYmqDn36DRk2YDBqUY/FCbGX5mUaGDgagGkjY3MI7WwJpR2htBOre2JubiJrSEZqSSKbT2JuUkoiU0QmU0Ama3Bmem4ie2hBcWZOfh5zQEYmc0BxJkibq5ubC5R2hdJuAK3RR8UAAAEAAf//AA8=",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-BoldItalic.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Italic.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Italic.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Math-BoldItalic.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Math-BoldItalic.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Math-Italic.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Math-Italic.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Bold.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Bold.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Italic.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Italic.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Script-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Script-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size1-Regular.woff": {
"text": "d09GRgABAAAAABooAA4AAAAAMnQAAQAAAAAAAAAAAAAAAAAAAAAAAAAAAABPUy8yAAAPSAAAAE4AAABgQ2JZAWNtYXAAAA+YAAAA7QAAAhobTaO1Y3Z0IAAAFnwAAAAKAAAADAAAAABmcGdtAAAQiAAABYwAAAuX1RTb8Gdhc3AAABogAAAACAAAAAgAAAAQZ2x5ZgAAAUQAAAyXAAAYrO5FPkxoZWFkAAAOZAAAADYAAAA2E2h062hoZWEAAA8oAAAAHwAAACQGkwLaaG10eAAADpwAAACMAAAAzHYWCaVsb2NhAAAN/AAAAGgAAABoij+Qfm1heHAAAA3cAAAAIAAAACAA0Ax7bmFtZQAAFogAAALBAAAG50jJ4LVwb3N0AAAZTAAAANMAAAGcu8W4hHByZXAAABYUAAAAaAAAAH/i0Eg6eNrtWAV420q21jkzGskiW7IlOYljx3ZiO3HQWAxs4ZZxy11Ibm4vM0O/9rvpMjNT+xiWmZmZmZmZo74Z2c7zMvN6PkU0+c//n3PmjGYklCqSJL0RXYlIiqQ+j1GQcLJctat2sWrnK49erFTQXf5KBZ4lgfTY4Bz8G9knxSX2nBiByXKz5jSrnptQmB3zxTlfwMfefm2Kac98psZS197+k9fB1DwjVH99cO/gfm/UKWHzMCVxrDzHmuJYLseyBdZQApV8rlBvNBt2rFgo1hrVqufA1G3XmaaK2tOfrqFqmhzyDcE756ki66+FW+DW1+qySuaDd3DEZnAONY4Y5YgR4IjQqFY8l0kKC3El+JSqR0wIjlFm8jP8K9lsmBr5yemIONHTHOPNnNX9OYbCMUDiGHG76ub58eZXPparp88o/eRppZ+zpaGwleBGigWpWOBGfU9CjZtgNDgGwC8UCv8avFgzjQg9TdSoEfnJaeEDLzgnvb9tjYCwVudO54f3ylc+loyUfrK3RO/B+13KWX2M99vD+02EyhRhjLdirc5P/OCtUK81mqLVxFGv1cMb7sVKo1Kt+iJKTGHixJvie6K5Cd8TJ/gYM62hhcGoCkAhPp3RDEoNLTMd57egRotXOYBIGVpMHd2hy8AyhR1G9FjOkUHWd4yqwX8yvX/cyT4tWbxMA5jIWpQyw5RlamU5Zf0As4rJs+nEVJoBsxw0xlPWU5/ASplCD2UD430p9oSnWqlxA50uvbu43lLol2ZFeJU3P+EKvoJ0S5CQk3ATrsJE43EW6sPWvmqGjuLP+d9xzPOLq2RZNlfEGttGVXV0m9ESOrgwmGJA3Ol0ggHITu5Y1Dg+KFQm7k4VBgrZC1yonT3bEppZZRLbIeaqTEfk07LOeL/OLBMpS6QTPezxT2CpvvEBRjOTLZmVDCBBOyrB+R9iEWv4RcnjSs0wj3I0L8hWmw1O3aM+11gsDILPgh9BRIW4szX7IAIeizAKcnAen3STE0PQtJKzCx9DHVMGcp3ILcADOILvlooc2Q19GCamaNVKsyncx7MgTFrR8jlFEXa50blpUx6cWjskR9Zu0Ay9z7Tuss72ekprB2Wt9cTCp1SB+b0UahGuk3iaVpUVp+ueM1h+KxYl9bfQFnzld9S2/D48cD7o1ga/pbZA/6OIQwBJwggebVdNuVU1s3Y1bADlZ5fx6PK/iUPE4hreu4yHpQTnq4d8myKVXTGA7bwthiMfrLBFVnbcWzYWdpplc+eCIS/h7oh+0ySO0qFtRqDCD41tQ3QUR38O0RCIQ9yuSHZXgAncOrcAq9pAezrI94QfBmob5o4VcMFxNUd8Fx6ShkU9bnEUDhU0C2GlUXgd5GcmDLRIMyZoc+InVUr3PGU4Y5JhbfOcBmVjYEyWU0WjrG3YpA0TMzP8FLwgpkTOHUvqchlsOVdXn/98eJSRm2PqSNkILocr1EZWtqEs68ljLT7A+RwO+aQ6CjmdcEjzguwLyw2hVxASDIVoTzCGLgKgzW1uM9jTxXLThq/CFcHlHfvwqOc/X63nOvbPdVPNNkS9P8/ILfh1aZCzSVPORvb8qputVwWZrB3vuuM88vWsm+feIY6xwwpebW2OkeBKWLkmsc0WmbNKw8oTqrRUomUxg+0O9nRuykqZX2FPn9RleUjMuaHlX2GL0/h50z99RcccTAtzAI/o3AjbP2+Oc9hNFlaMg7SK2/4Ct91cqcONbNZPo8+HcejxbM71JN9TIFGttMtulRuXB8RwC0d5bQJr6wn8J/zHsOZrmEh48zPNE+ngW/clsBEYDR75vo9FL5u5ZrVmlqKrreBwcJgwAi88fvzFLwL8Kl7VN93PYM2hRFxBIMEGjLBgLwB1Bo7GsuX/GgFEhVD88JVXwvnzekx47OrgLPkpnz1SIpMVkTkVnhZSU9QIFxgyXhmKvCqE5yYocOJigAAVg0fpS2ueuAGUmMHhYk4Wenf86OtfB5rVdIctv+jW4KUP5N2Xf2pYvb1ruaWHnf+W9FK8XkpyS0rLP7wMxcLKU1+HhXW0UavVH04HZADi2AQAF6NRXH4qRqNaJFturtkwvWFNs5yNSBIKNHh8iDbFa8pArwL4KxCbv8nMx1vXtvNrTGq/ion4unk7LpAhaRPXNZPmurxKe7ZVEq0a2+hqlUbVExU3txJzUXJF704TRPnL/SOpJKiU6DTmx82kYUetWC/t2dKTxqg8MZ0KXxMatb3RhGFqViyhmX7/VG96S9p4eH8ibQABBA2NiFWYfMfL73yL+9CJGAOyftxLtV4jyKpR67/o3i9d+p/aBWPTSXPa7fMkFIrIy0JFD+C+vdfiTBr/WKoG/+K+gZvbb3Vqe471K/6ZkmjM/+M6NvWHBEUiIipysh2Vd0naC97yXyIy5I8YmX/GF27+C4261F8qschK/Toh3Szdh+fV0h3XX3LPyV+VV832ZBauF6axyY9fG1v+z62lE7+0UFEs+v9hjtdEjzxjLjdQFyg1/lnihongVjjKz+dBLEpkasIGX1V798tRmwJoKvzy6MQ11e/qiDozolo7Ut8DAIKj6yeHAOU3jA2ogCxGWKxQ//kYjr12GIkMua3JXDa5P4uUgiZvn5Z/uXdHH3YCI7xvNtfpax3Kz5QTbWdjHQCMnbXxjXFGUxv7yhfEKKN9Wx7kyoq1rfX9opAIfl1Ki1W5WFH7LX+25mPP8YUnW5/qSCSFzcd9QJhFvccz8eyePZh0+MXgpQ8KvszMH/XYjKjkkcR1aFD9wuc1aicdcgIm4WE//ZjidNsT30s9KObkWmgIGo6IazXMAIVhZ3lAxrFYaPqOZxHoWX/5qpEYmp6TxD17zqLlWrpCjUcN7R8GxNc6yTj96YefDpWn3TZKnKRNtc9/IahSW5W1FPzPpd+8EbVuBuOcgQVCcWjKjvHwcwKCTXuxYrfm8BrW28sUkZnkAdG+TATBeMEpOQIRQx0bW9h8UAOMZNI6orXqwM0bplfv1BE+NNDPYB3MqktPNwFA791y5FY1eGXwWtY/cAU8/XJz35oDmdRFc2bwsm5eY5xXbmVF6Ntx3+sMhmbNqYuHITPRQhe1uG/WYv06AYyevrsTv/nAKgvRTAmi2sHtF5YWi4vbBUmCn9X65OBlwcvNI7eZeGDNflNQyfSz4LXBK5XbjmxPalpy+5HbFJiFdcxq77m8iuyT5J/dc3nzD97R2nC5tbVTAmbYp3unxPZ+UAq3Seh9fgWO2LkphTg/ubX0S3FsjlP/XOkHwVvoffh+iwSwSpLgQrG26+CIdR1fSnVWc/PB2XCPKNHJaKj4CULijhvGGbHmOM0G3BjHU6dPn0LbZHjnqVN3okL2OUrwqeCTvH1KcQwF8pBWIQ15xRC4OznuTW1cox0fHhjHSSC28iQeJ7gT44bcAmSmHZogsw7oSvCx4NNq8OngY4rhKJCBAd4ykkTEVzxN87hn+JpqI6+Gd1lXGYwD4bzbqeh1ylm7jLVrYQNqK1nJEivLa5HEQ2JMtWoj3HBkV1Qdy934ynrBgFzh4fOH77lwYP4RhVyu8Ah8wQeeECl7pfu80gGwj97tQQPDzdGb/ttlQaKcHhwrbyzjW/KgJB5y2e6768XFu84/vJDPc4i7Li4cnH94cOA/PuuA7L/+YdpG7X4XXppkevpZdwwMD4wO53MjI5Ikd2kbkWrSeq4vOmvMzTTqE+PD2TjIv1mkH1Zs3pRsvJAv2tmwKNhZ0d3zoZm1eadfK/LrQPCSo4/yAG640lBluAG8R+57S/BAsLbWHrof3grBA+VHvunXCX3Di0Gbu/L5MlxDROfnX7nmp++HG+Rbj83u0eAqmUPZ7/hZtWPSGmmbdFio3b9jw/rKb6tWbs9gYh1dLECjWSgq7ehCscbCN2Lyq/GXxfYuYVgYfq0HYJMI8kW7raUzZ5aso0H6zNLSmcgmE8DchI+N5GdynXsr+O6ZdVt4n+sTk4nrj1q/zismyPY6TUBpG5YvFdDmkRt5Jtx4BL6ngwDtPLkheNrS2i1ntI0morlRk7Cr1h3k3/vbmznA37XexWvNamsLsSp86VZbDs3nxV/uVOHSuvDtb1kYz2VukRWVOT2afCtLetpsE4gfs3wCzVnN+x3LZtDotVdPa7rMSFybXk2NvqTOVq8hVjxukTWrmd5V7/vErpOYCWWmZDnrWKhJiLazdqx9SSK6u3x1UkEkmhYTE80IRjJZBT/UJ6suI09lFtPSLNgSbIEXwgtZP7+eEKtW/oNRafFnd8Km6lW3yo/F1u+37weS+C3ytlJ9RR/x7v8AozlAwgAAAQAAADMA0gAFAAAAAAACAAAAEAB3AAAAIAuXAAAAAAAAABYAFgAWABYAPABlAIcAmwC+ANIBSgG/Ab8B7AItAloCmwKyAtsDBANMA5MD0AQOBGkEngTFBQoFcwY+B2oIMAhoCKkI9QlBCVMJZQl3CYkJmQnFCfEKXwrWC2sL7QwgDDQMSAxWAAEAAAABAAC6weBlXw889QALA+gAAAAA2LKZCQAAAADYspkJ/cz+ogUYA1IAAAAIAAIAAAAAAAB42lXKIQjCQBSH8e+9La2zLFgvadgZtZgumwWLSXvPwpqwZC/2ng6rYE/2JAdD3w0nGH78Hx+PxAxAApBAImcz1RWNXIjfrXXNPiOBuvdLHQL9Dfp7UYloxzErKhaDK01WTvDFk4MEWnW08rA+t36iGe7ln9yiqcfVTrzt1oRygx/9/h1k7OADRvspE3jaY2BkYGAO+reIIYql7++Z/2WsEkARVGAMAJ6LBnIAeNpjYGJqYZzAwMrAwNTFtIeBgaEHQjM+YDBkZGJAAg0MDO8FGN68hfED0lxTGBwYFN7/Z1b4b8EQxRzEGKfAwNAfxwzXosDACAASxQ/yAAB42mNgYGCGYhkGRgYgYBQB8hjBfBaGH0DaikEByJICkpoM+gyxDNUMtQwLmI4x3WFmVhBTnKg4WfGi4mUlQSUpJWUlVSU9pcPK3MoX1F9qMWmxaLG9////PwMDUK8GUG80kl4mJL38UL3aSgeUOYB6X2gxgPX+BWp+/P/O/+v/1/zv/9/3P+ev+1+jv7z3f99rvNdwz/me0z32u//vfr/77e7Huwl3Ze5E3HC8pn1N65qmgDHQBxQARjYghrGZgAQTugJQkEEACyuYYiPBeHYOTrzyXAzcZDtdQoxBBsaWhFA8JGjn5YOxANbHSYoAAAB42qxV5ZrjyA4th5phGHxBnpr07ZuUPcxsx8nwNH6fa9Fu+r28+wx+GjnL//bR9shJc/dyQ1SlUklHR1KFlSFWq0lsiV79pKYXX3Fj+b2Eb7o8b9MtylcTrjSzn0fVqFpf12uu57GyrCLd6StHRWnos2OY0i2fK4Y2iH9Z4Nrce/15ZzyK1+Ol9xNPe26eEC8sJB4/tS7xXVndtZaKgVG2wfNQDXfEV+X8KizhLCGAyDPi8YUkhYbkbFxWt2V1O3VTa63LTttazWoh2bTW56oh+Kk1MwCqRwsJ13XIDR0CvmUn9blmNHDRRlFfC0lOBsHlk1Uar3O15UEfUU45fBdX602ktZikC262ZBNtcfp0OcGRi6S2I/tcNzwStfuqMqCmga0ONbHSYcaVtS121hGf6y2fRwwJyIlo/aeaWiPxwE9TKyZppwQ5avojEyqKw5a3Q/aY2U/+eOkFODT0yDilONcZbQyZUq6wyeQC5DZKrjZ11hmEmDjmOl/GLYVbR12aNGVC/Ynxapx4rvZsy/N5yhSVSswbWcfnaQNDIp6MXsp1LHRoeUp2S9hNYefzDNzMlpQQGFhHXJ6OUspT4mmQ5vOsebWSFLWNjr3MU5v6G59PmFeLyavlgdL1oD9V6k+aQs1Eq0kxMxOxk4U805YmReuGxaR8TOGDnbOakMdCUgh5yDbMcyrDtjyNa9trd3COK/gvNRaZ9IC/B+3+Uh1TwEKpUxpsRawe9x3HKWt1yqhCVeKVhGd0SDFP6JDHwW8aUorw38/OOmpahWGeFicbbf6y7V4CTaeR26m2z2dM4Yg8C55FnjNFVeR5U9REXjBFXeRFUzREuqYYEfkvU4yK/LcpxkT+31DAzoc+t8rFpz63y8VnPv/HKJ5q/wWM/wXG/8A3AaNIDxhFXgJGkRoYRV4GRpFNYBQ5B4wi/weMIueBUaQx9LBsNd8g7GxKkcY2knJAspF+Cwz7bfYxSVcMUY+OqYTO7mp5xn7TAq3k89Wd8jhn+UqrqDtn4uSqLRO8VjJz7PF1Q7dKvDdg58SHg2DCEPxovTr7nZKfzmN9t7junEFGN5E/9Y7ByyrK7vp8ywTnHvp8+/dM2YnWYX4HJVFnmxRQj5WWzn6R5z3d0xkla8ifHUz0bcc5cxrx7xqgwoDgrzThsai9mQea6GEOX/d2jykY+OCaDsWKOJV5f7qYfFuhKrnfVuaqF20ob+BoRLkurXUX04dqHkBLYGPw2FeidENzNco2FhJsMhfrVN6gg3cyTQg9p7uooUaELvKCKKOkdFQQLVE0TlJIrqOh6oe8wqNk1CxB4HNh8MrtxkLJ7wsHBE19bsiBfghqHogaueoQZ13dk2BSrYeiLxMYMqpWkoAeas+FZlsJZ7uUN5rYvdj77Tso1FEdPKyMljZ+NEQQbZcmla/ngylul/Kx0RQIa108zA9tUATOaQzgkx31wl710/3WR9o8M3y3faTT0PC9do7A0ixAe9gGZQk4gGm002Fgd6cFNVo90HeH7jp4NPCG/4VW7P1T3Sfws7uApfGE7Km3Z4cYYyFjO/+u5O/pIQH67v6Ue0j5zGA4+0rm8FTANzGLz4/RvzCFck6f4ltYvzR8B+KVsBaDV+rmQPFrj/WAHEEABFA0xj1STOcCsb22OWv7WIMLbv9R8XXVH9Pf7L9wO5p/yojY+p5RRBWHICb24QGIKw5BguZDkaQBKRqQpgEZmhdFlgbkaECeBhRo3hRFGlCiAWUaUKH5VFRpQI0G1GlAg+ZV0aQBLRrQpgGGmLfhae4wmI+qrqsnVY8zw/CsQ1/Mu7AeMLj1ELn1CLnpWMz7MJ0wuOkUuekMuelczIcwXTC46RK56Qq56Vqcy5Oj4Ofp9dq8MMzjq/gu+Kbc7AERbE1geNpj8N7BcCIoYiMjY1/kBsadHAwcDMkFGxnYnDYyMGhBaA4UeicDAwMnMouZwWWjCmNHYMQGh46IjcwpLhvVQLxdHA0MjCwOHckhESAlkUCwkYFHawfj/9YNLL0bmYC6WFNcAJhIJBt42mNAAgAADAABAAB42kzMtYFCYRAE4PntDncPsQYgxiF/ORFWChWgIW4VUAp1kOODbPStDYCI8EDgVRZACzhh0RL/aNIKKbRpjSD6tIEbU/rvZ+4WOaxpDxLiRPt+8v3PfAWh7QDm4kwLROSQlvDIA63QkEdaIyuvtEFCZei/n7lb9lSR9iBvVrTvJ9//yK/eWqOi1tSZIHqe8yvmsUJcE/GhlVKQrwiiVFpL6VvZrlOzbYwhu5q2v/6brl4xpXK9l8uy2cPJmXNmZ1flR2UXmacz06Jukly0u0ma0DU7uyhoZiwXhmMaFUZF38Vp70t8TuNMFzQwes7Lj7G+58enmf3k9I4X61xXw1Xhh6tqwdRVCfXpQNHeSX6gHrhydlVQohLVO9Jl/GObdHZZ17Vaap+96nclyVetk1rfFb4JpbfMtjiOauszumPH1Ybn9HUhutFLPryKiqL7zLrtz9nqxde6YhIit4YLJ2XrYs4V+YxpNprQtORiK55sBTH9unKqxE3M9rWkN9rm+jlnCp1oGg5uSft+lHlf9jsdZypbeqeczb9a7kyHk+N/8B9WKPGBChYLZPAgnMGgJWcXiawLtANKZROuwXBBW4AwgxHMgo18Y2FGAStEv3VO0ds7nws3Fo0OrgMYQXMwllI/Fnwv+BFPkmfxKTjFHVhc18ihJWEoWQV8OCvhOWSo0HEfdMSj3XQ5UfUAlq+DDZkkGSrs3h/OMj59msHnEnVYCktoePF/lfMdanfnK7T+4dSbiW87lT7UNJJjRKK0oS8KE3NhThuw6Gn/QoQbaKnmo6+ixCkSPhPGNSpngl4E1eEdxGGnyOU0YW5ul7YWPA/5FDriUD3CRM4pyqA9dJ40HGLQ91cOfcludNbMJWhsZFvhNZ7lK9zBTHRIHOA2YI8+IuG9rFJwR5aDCe9WwgtWIT3fT7mDqdRP/qbmf7W5WNkAAAB42mzBQQEBUQAA0Zm/AAAAgAicoQh0UQJcdaIMcN73CPy9j8yJswAkEJGnQI0VazbsOBh48DQyYdKUaTNmuZjjzN28BW4WLVm2YtWadRs2bdm2Y9eefQcOHTl24tTZp2a5NmAgimEA2oWHMQS74znCzDj+JyvVM0jtz/VAlFNSSbrvw3m9Wd0uy3gR4nF78Xzefp97N7m+/a49m5bnz33wH+M9NYTJFIUjWMIK1mZJkKFA6ytN4QzmsDArSk7qKbRcTgQZChzCcbRumgrWsPFEcFtwAAABAAH//wAP",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size1-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size2-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size2-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size3-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size3-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size4-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size4-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/fonts/KaTeX_Typewriter-Regular.woff": {
"text": "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",
"title": "$:/plugins/tiddlywiki/katex/fonts/KaTeX_Typewriter-Regular.woff",
"type": "application/font-woff"
},
"$:/plugins/tiddlywiki/katex/katex-logo": {
"title": "$:/plugins/tiddlywiki/katex/katex-logo",
"text": "$$\\KaTeX$$\n"
},
"$:/plugins/tiddlywiki/katex/latex-parser.js": {
"title": "$:/plugins/tiddlywiki/katex/latex-parser.js",
"text": "/*\\\ntitle: $:/plugins/tiddlywiki/katex/latex-parser.js\ntype: application/javascript\nmodule-type: wikirule\n\nWiki text inline rule for LaTeX. For example:\n\n```\n\t$$latex-goes-here$$\n```\n\nThis wikiparser can be modified using the rules eg:\n\n```\n\\rules except latex-parser \n\\rules only latex-parser \n```\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nexports.name = \"latex-parser\";\nexports.types = {inline: true};\n\nexports.init = function(parser) {\n\tthis.parser = parser;\n\t// Regexp to match\n\tthis.matchRegExp = /\\$\\$(?!\\$)/mg;\n};\n\nexports.parse = function() {\n\t// Move past the match\n\tthis.parser.pos = this.matchRegExp.lastIndex;\n\tvar reEnd = /\\$\\$/mg;\n\t// Look for the end marker\n\treEnd.lastIndex = this.parser.pos;\n\tvar match = reEnd.exec(this.parser.source),\n\t\ttext,\n\t\tdisplayMode;\n\t// Process the text\n\tif(match) {\n\t\ttext = this.parser.source.substring(this.parser.pos,match.index);\n\t\tdisplayMode = text.indexOf('\\n') != -1;\n\t\tthis.parser.pos = match.index + match[0].length;\n\t} else {\n\t\ttext = this.parser.source.substr(this.parser.pos);\n\t\tdisplayMode = false;\n\t\tthis.parser.pos = this.parser.sourceLength;\n\t}\n\treturn [{\n\t\ttype: \"latex\",\n\t\tattributes: {\n\t\t\ttext: {\n\t\t\t\ttype: \"text\",\n\t\t\t\tvalue: text\n\t\t\t},\n\t\t\tdisplayMode: {\n\t\t\t\ttype: \"text\",\n\t\t\t\tvalue: displayMode ? \"true\" : \"false\"\n\t\t\t}\n\t\t}\n\t}];\n};\n\n})();\n",
"type": "application/javascript",
"module-type": "wikirule"
},
"$:/plugins/tiddlywiki/katex/readme": {
"title": "$:/plugins/tiddlywiki/katex/readme",
"text": "This is a TiddlyWiki plugin for mathematical and chemical typesetting based on [ext[KaTeX from Khan Academy|http://khan.github.io/KaTeX/]] (v0.10.2) and [ext[mhchem|https://github.com/mhchem/MathJax-mhchem]] through a [ext[Katex extension|https://github.com/KaTeX/KaTeX/tree/master/contrib/mhchem]].\n\nIt is completely self-contained, and doesn't need an Internet connection in order to work. It works both in the browser and under Node.js.\n\n[[Source code|https://github.com/Jermolene/TiddlyWiki5/blob/master/plugins/tiddlywiki/katex]]\n"
},
"$:/plugins/tiddlywiki/katex/snippets/logo": {
"title": "$:/plugins/tiddlywiki/katex/snippets/logo",
"tags": "$:/tags/KaTeX/Snippet",
"text": "$$\\KaTeX$$\n"
},
"$:/plugins/tiddlywiki/katex/styles": {
"title": "$:/plugins/tiddlywiki/katex/styles",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline\n\n/* KaTeX styles */\n\n{{$:/plugins/tiddlywiki/katex/katex.min.css}}\n\n/* Force text-rendering (see https://github.com/Jermolene/TiddlyWiki5/issues/2500) */\n\n.katex {\n text-rendering: auto;\n}\n\n/* Avoid TW5's max-width: 100% */\n\n.katex svg {\n max-width: initial;\n}\n\n/* Override font URLs */\n\n@font-face {\n\tfont-family: KaTeX_AMS;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_AMS-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Caligraphic;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Caligraphic-Bold.woff'>>) format('woff');\n\tfont-weight: 700;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Caligraphic;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Caligraphic-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Fraktur;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Fraktur-Bold.woff'>>) format('woff');\n\tfont-weight: 700;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Fraktur;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Fraktur-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Main;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Bold.woff'>>) format('woff');\n\tfont-weight: 700;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Main;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-BoldItalic.woff'>>) format('woff');\n\tfont-weight: 700;\n\tfont-style: italic;\n}\n\n@font-face {\n\tfont-family: KaTeX_Main;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Italic.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: italic;\n}\n\n@font-face {\n\tfont-family: KaTeX_Main;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Main-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Math;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Math-Italic.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: italic;\n}\n\n@font-face {\n\tfont-family: KaTeX_SansSerif;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Bold.woff'>>) format('woff');\n\tfont-weight: 700;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_SansSerif;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Italic.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: italic;\n}\n\n@font-face {\n\tfont-family: KaTeX_SansSerif;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_SansSerif-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Script;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Script-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Size1;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size1-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Size2;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size2-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Size3;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size3-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Size4;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Size4-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n@font-face {\n\tfont-family: KaTeX_Typewriter;\n\tsrc: url(<<datauri '$:/plugins/tiddlywiki/katex/fonts/KaTeX_Typewriter-Regular.woff'>>) format('woff');\n\tfont-weight: 400;\n\tfont-style: normal;\n}\n\n"
},
"$:/plugins/tiddlywiki/katex/ui/EditorToolbar/katex-dropdown": {
"title": "$:/plugins/tiddlywiki/katex/ui/EditorToolbar/katex-dropdown",
"text": "\\define toolbar-button-stamp-inner()\n<$button tag=\"a\">\n\n<$action-sendmessage\n\t$message=\"tm-edit-text-operation\"\n\t$param=\"replace-selection\"\n\ttext={{$(snippetTitle)$}}\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<$view tiddler=<<snippetTitle>> field=\"caption\" mode=\"inline\">\n\n<$transclude tiddler=<<snippetTitle>> mode=\"inline\"/>\n\n</$view>\n\n</$button>\n\\end\n\n<$list filter=\"[all[shadows+tiddlers]tag[$:/tags/KaTeX/Snippet]!has[draft.of]sort[caption]]\" variable=\"snippetTitle\">\n\n<<toolbar-button-stamp-inner>>\n\n</$list>\n\n----\n\n<$button tag=\"a\">\n\n<$action-sendmessage\n\t$message=\"tm-new-tiddler\"\n\ttags=\"$:/tags/KaTeX/Snippet\"\n\ttext=\"\"\"$$snippet$$\"\"\"\n\tcaption=\"description shown in dropdown\"\n/>\n\n<$action-deletetiddler\n\t$tiddler=<<dropdown-state>>\n/>\n\n<em>\n\n<$text text={{$:/language/Buttons/Stamp/Caption/New}}/>\n\n</em>\n\n</$button>\n\n[ext[KaTeX functions catalogue|https://khan.github.io/KaTeX/function-support.html]]\n\n[ext[Chemical equations reference|https://mhchem.github.io/MathJax-mhchem/]]\n"
},
"$:/plugins/tiddlywiki/katex/ui/EditorToolbar/katex": {
"title": "$:/plugins/tiddlywiki/katex/ui/EditorToolbar/katex",
"tags": "$:/tags/EditorToolbar",
"icon": "$:/plugins/tiddlywiki/katex/katex-logo",
"caption": "katex",
"description": "create and insert preconfigured KaTeX snippets",
"condition": "[<targetTiddler>!is[image]]",
"dropdown": "$:/plugins/tiddlywiki/katex/ui/EditorToolbar/katex-dropdown",
"text": ""
},
"$:/plugins/tiddlywiki/katex/usage": {
"title": "$:/plugins/tiddlywiki/katex/usage",
"text": "!! Reference:\n\n# Mathematical typesetting: [ext[https://katex.org/docs/supported.html]]\n# Chemical typesetting: [ext[https://mhchem.github.io/MathJax-mhchem/]]\n\n<hr>\n\nThe usual way to include ~LaTeX is to use `$$`. For example:\n\n```\n$$\\displaystyle f(x) = \\int_{-\\infty}^\\infty\\hat f(\\xi)\\,e^{2 \\pi i \\xi x}\\,d\\xi$$\n```\n\nSingle line equations will render in inline mode. If there are newlines between the `$$` delimiters, the equations will be rendered in display mode.\n\nThe underlying widget can also be used directly, giving more flexibility:\n\n```\n<$latex text=\"f(x) = \\int_{-\\infty}^\\infty\\hat f(\\xi)\\,e^{2 \\pi i \\xi x}\\,d\\xi\" displayMode=\"true\"></$latex>\n```\n\nThe KaTeX widget is provided under the name `<$latex>` and is also available under the alias `<$katex>`. It's better to use the generic `<$latex>` name unless you are running multiple ~LaTeX plugins and wish to specifically target KaTeX.\n"
},
"$:/plugins/tiddlywiki/katex/wrapper.js": {
"title": "$:/plugins/tiddlywiki/katex/wrapper.js",
"text": "/*\\\ntitle: $:/plugins/tiddlywiki/katex/wrapper.js\ntype: application/javascript\nmodule-type: widget\n\nWrapper for `katex.min.js` that provides a `<$latex>` widget. It is also available under the alias `<$katex>`\n\n\\*/\n(function(){\n\n/*jslint node: true, browser: true */\n/*global $tw: false */\n\"use strict\";\n\nvar katex = require(\"$:/plugins/tiddlywiki/katex/katex.min.js\"),\n chemParse = require(\"$:/plugins/tiddlywiki/katex/mhchem.min.js\"),\n\tWidget = require(\"$:/core/modules/widgets/widget.js\").widget;\n// Add \\ce, \\pu, and \\tripledash to the KaTeX macros.\nkatex.__defineMacro(\"\\\\ce\", function(context) {\n return chemParse(context.consumeArgs(1)[0], \"ce\")\n});\nkatex.__defineMacro(\"\\\\pu\", function(context) {\n return chemParse(context.consumeArgs(1)[0], \"pu\");\n});\n// Needed for \\bond for the ~ forms\n// Raise by 2.56mu, not 2mu. We're raising a hyphen-minus, U+002D, not \n// a mathematical minus, U+2212. So we need that extra 0.56.\nkatex.__defineMacro(\"\\\\tripledash\", \"{\\\\vphantom{-}\\\\raisebox{2.56mu}{$\\\\mkern2mu\"\n+ \"\\\\tiny\\\\text{-}\\\\mkern1mu\\\\text{-}\\\\mkern1mu\\\\text{-}\\\\mkern2mu$}}\");\n\nvar KaTeXWidget = function(parseTreeNode,options) {\n\tthis.initialise(parseTreeNode,options);\n};\n\n/*\nInherit from the base widget class\n*/\nKaTeXWidget.prototype = new Widget();\n\n/*\nRender this widget into the DOM\n*/\nKaTeXWidget.prototype.render = function(parent,nextSibling) {\n\t// Housekeeping\n\tthis.parentDomNode = parent;\n\tthis.computeAttributes();\n\tthis.execute();\n\t// Get the source text\n\tvar text = this.getAttribute(\"text\",this.parseTreeNode.text || \"\");\n\tvar displayMode = this.getAttribute(\"displayMode\",this.parseTreeNode.displayMode || \"false\") === \"true\";\n\t// Render it into a span\n\tvar span = this.document.createElement(\"span\"),\n\t\toptions = {throwOnError: false, displayMode: displayMode};\n\ttry {\n\t\tif(!this.document.isTiddlyWikiFakeDom) {\n\t\t\tkatex.render(text,span,options);\n\t\t} else {\n\t\t\tspan.innerHTML = katex.renderToString(text,options);\n\t\t}\n\t} catch(ex) {\n\t\tspan.className = \"tc-error\";\n\t\tspan.textContent = ex;\n\t}\n\t// Insert it into the DOM\n\tparent.insertBefore(span,nextSibling);\n\tthis.domNodes.push(span);\n};\n\n/*\nCompute the internal state of the widget\n*/\nKaTeXWidget.prototype.execute = function() {\n\t// Nothing to do for a katex widget\n};\n\n/*\nSelectively refreshes the widget if needed. Returns true if the widget or any of its children needed re-rendering\n*/\nKaTeXWidget.prototype.refresh = function(changedTiddlers) {\n\tvar changedAttributes = this.computeAttributes();\n\tif(changedAttributes.text) {\n\t\tthis.refreshSelf();\n\t\treturn true;\n\t} else {\n\t\treturn false;\t\n\t}\n};\n\nexports.latex = KaTeXWidget;\nexports.katex = KaTeXWidget;\n\n})();\n\n",
"type": "application/javascript",
"module-type": "widget"
}
}
}
$:/config/OfficialPluginLibrary
$:/temp/commander/logs/tid-1
$:/plugins/danielo515/encryptTiddler/control-panel/batch-encrypt
$:/core/ui/SideBar/Recent
$:/core/ui/ControlPanel/Plugins/Add/Plugins
$:/themes/nico/notebook/themetweaks
$:/core/ui/ControlPanel/Basics
$:/core/ui/ControlPanel/Plugins/Add/Languages
$:/core/ui/ControlPanel/Plugins
$:/core/ui/ControlPanel/Saving/General
$:/plugins/kookma/commander/tag/uicomp/replace-tags
$:/plugins/kookma/commander/tag/ui
$:/core/ui/MoreSideBar/Orphans
$:/core/ui/ControlPanel/Toolbars/ViewToolbar
{
"tiddlers": {
"$:/themes/nico/notebook/LICENSE": {
"title": "$:/themes/nico/notebook/LICENSE",
"created": "20200419141443144",
"modified": "20210118213330307",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "\nMIT License Copyright (c) 2020 [[Nicolas Petton|https://nicolas.petton.fr]] nicolas@petton.fr\n\nPermission is hereby granted, free of charge, to any person obtaining a copy\nof this software and associated documentation files (the \"Software\"), to deal\nin the Software without restriction, including without limitation the rights\nto use, copy, modify, merge, publish, distribute, sublicense, and/or sell\ncopies of the Software, and to permit persons to whom the Software is furnished\nto do so, subject to the following conditions:\n\nThe above copyright notice and this permission notice (including the next\nparagraph) shall be included in all copies or substantial portions of the\nSoftware.\n\nTHE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\nIMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\nFOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS\nOR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY,\nWHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF\nOR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\n"
},
"$:/themes/nico/notebook/themetweaks": {
"title": "$:/themes/nico/notebook/themetweaks",
"created": "20201217172915960",
"modified": "20210123211851680",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ThemeTweaks/ThemeTweaks}}",
"text": "\\define lingo-base() $:/language/ThemeTweaks/\n\nYou can tweak certain aspects of the ''Notebook'' theme.\n\n! <<lingo Options>>\n\n|<$link to=\"$:/themes/nico/notebook/options/stickytitles\"><<lingo Options/StickyTitles>></$link><br>//<<lingo Options/StickyTitles/Hint>>// |<$select tiddler=\"$:/themes/nico/notebook/options/stickytitles\"><option value=\"no\">{{$:/language/No}}</option><option value=\"yes\">{{$:/language/Yes}}</option></$select> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/codewrapping\"><<lingo Options/CodeWrapping>></$link> |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/codewrapping\"><option value=\"pre\">{{$:/language/No}}</option><option value=\"pre-wrap\">{{$:/language/Yes}}</option></$select> |\n|<$link to=\"$:/themes/nico/notebook/options/reveal-tiddler-controls-on-hover\">Reveal tiddler controls on mouseover</$link> |<$select tiddler=\"$:/themes/nico/notebook/options/reveal-tiddler-controls-on-hover\"><option value=\"no\">{{$:/language/No}}</option><option value=\"yes\">{{$:/language/Yes}}</option></$select> |\n\n! <<lingo Settings>>\n\n|<$link to=\"$:/themes/nico/notebook/settings/fontfamily\"><<lingo Settings/FontFamily>></$link> |<$edit-text tiddler=\"$:/themes/nico/notebook/settings/fontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/nico/notebook/settings/codefontfamily\"><<lingo Settings/CodeFontFamily>></$link> |<$edit-text tiddler=\"$:/themes/nico/notebook/settings/codefontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/nico/notebook/settings/editorfontfamily\"><<lingo Settings/EditorFontFamily>></$link> |<$edit-text tiddler=\"$:/themes/nico/notebook/settings/editorfontfamily\" default=\"\" tag=\"input\"/> | |\n\n! <<lingo Metrics>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/fontsize\"><<lingo Metrics/FontSize>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/fontsize\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/lineheight\"><<lingo Metrics/LineHeight>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/lineheight\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize\"><<lingo Metrics/BodyFontSize>></$link> |<$edit-text tiddler=\"$:/themes/nico/notebook/metrics/bodyfontsize\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight\"><<lingo Metrics/BodyLineHeight>></$link> |<$edit-text tiddler=\"$:/themes/nico/notebook/metrics/bodylineheight\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint\"><<lingo Metrics/SidebarBreakpoint>></$link><br>//<<lingo Metrics/SidebarBreakpoint/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/nico/notebook/metrics/sidebar-width\"><<lingo Metrics/SidebarWidth>></$link><br>//<<lingo Metrics/SidebarWidth/Hint>>// |^<$edit-text tiddler=\"$:/themes/nico/notebook/metrics/sidebar-width\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/nico/notebook/metrics/story-width\"><<lingo Metrics/StoryWidth>></$link><br>//<<lingo Metrics/StoryWidth/Hint>>// |^<$edit-text tiddler=\"$:/themes/nico/notebook/metrics/story-width\" default=\"\" tag=\"input\"/> |\n\n"
},
"$:/themes/nico/notebook/base": {
"title": "$:/themes/nico/notebook/base",
"created": "20200419141443144",
"modified": "20210120224227503",
"tags": "$:/tags/Stylesheet",
"type": "text/vnd.tiddlywiki",
"text": "\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline\n\n\\define if-sidebar(text)\n <$reveal state=\"$:/state/notebook-sidebar\" type=\"match\" text=\"yes\">\n $text$\n </$reveal>\n\\end\n\n\\define if-reveal-tiddler-controls-on-hover(text)\n <$reveal state=\"$:/themes/nico/notebook/options/reveal-tiddler-controls-on-hover\" type=\"match\" text=\"yes\">\n $text$\n </$reveal>\n\\end\n \n/* Top and bottom bars */\n\n/* Hide the top-right bar */\n.tc-topbar.tc-topbar-right {\n display: none;\n}\n\ndiv.tc-sidebar-header {\n padding: 0;\n min-height: 0;\n}\n\n.tc-story-river {\n padding: 6px 0 !important;\n width: 100% !important;\n max-width: {{$:/themes/nico/notebook/metrics/story-width}} !important;\n margin: 0 auto !important;\n margin-top: 34px !important;\n}\n\ndiv.tc-tiddler-frame {\n width: 100%;\n margin: 20px 0;\n background: <<colour tiddler-background>>;\n box-shadow: 0 5px 20px rgba(0,0,0, 0.12);\n border-radius: 6px;\n padding: 42px 60px 60px 60px;\n}\n\nh1.tc-site-title {\n margin-top: 14px;\n font-size: 1.5em !important;\n}\n\n.nc-bar {\n padding: 10px;\n height: {{$:/themes/nico/notebook/metrics/topbar-height}};\n background: <<colour page-background>>;\n display: flex;\n justify-content: space-between;\n}\n\n.nc-topbar-wrapper {\n position: fixed;\n top: 0;\n left: 0;\n right: 0;\n /* The z-index needs to be above the z-index used in tiddlers in zoomin view */\n z-index: 501;\n}\n\n.nc-bar.nc-topbar {\n top: 0;\n background: <<colour page-background>>ee;\n max-width: calc({{$:/themes/nico/notebook/metrics/story-width}} + 40px);\n padding: 10px 20px;\n margin: 0 auto;\n}\n\n.nc-bar.nc-bottombar {\n position: fixed;\n bottom: 0;\n left: 0;\n right: 0;\n /* The z-index needs to be above the z-index used in tiddlers in zoomin view */\n z-index: 501;\n}\n\n.nc-bar .left svg {\n fill: <<colour sidebar-controls-foreground>>;\n}\n\n.nc-bar input[type=\"search\"] {\n width: 200px;\n padding: .6em 1em;\n margin-top: -.2em;\n background: <<colour sidebar-button-foreground>>44;\n color: <<colour foreground>>cc;\n transition: all ease-in .2s;\n border: 1px solid transparent;\n outline: 0;\n}\n\n.nc-bar input[type=\"search\"]:focus {\n width: 300px;\n background: <<colour tiddler-background>>;\n color: <<colour foreground>>;\n border: 1px solid <<colour primary>>;\n box-shadow: 0 0 .2rem 0 <<colour primary>>;\n}\n\ninput[type=\"search\"]::-webkit-search-cancel-button {\n -webkit-appearance: auto;\n}\n\n.nc-bar .tc-block-dropdown.tc-search-drop-down {\n margin-left: 0;\n width: 400px;\n border: 0;\n box-shadow: 0 0 6px 0 rgba(0,0,0,.2);\n border-radius: 6px;\n padding: 20px 0;\n}\n\n.nc-bar p {\n margin: 0;\n}\n\n.nc-bar .tc-page-controls {\n margin-top: 0;\n}\n\n.nc-bar .tc-page-controls button {\n margin-right: .8em;\n}\n\n.nc-bar .tc-page-controls button .tc-btn-text {\n font-size: 14px;\n}\n\n.nc-bar .tc-block-dropdown {\n max-height: 70vh;\n overflow: auto;\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .nc-topbar {\n display: none;\n }\n\n .tc-story-river {\n padding: 0 !important;\n margin-top: 0 !important;\n margin-bottom: 60px !important;\n }\n\n div.tc-tiddler-frame {\n margin: 0;\n box-shadow: none;\n border-radius: 0;\n border-top: 0;\n }\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .nc-bottombar {\n display: none;\n }\n}\n\n@media(max-width: 1100px) {\n .nc-bar input[type=\"search\"] {\n width: 200px;\n }\n}\n\n/* Sidebar */\n\n@keyframes sidebar-appear {\n 0% {\n left: -{{$:/themes/nico/notebook/metrics/sidebar-width}};\n }\n 100% {\n left: 0;\n }\n}\n\n<<if-sidebar \"\"\"\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .nc-sidebar {\n animation: sidebar-appear .14s;\n padding-top: 10px;\n }\n}\n\"\"\">>\n\n.nc-sidebar {\n background: <<colour tiddler-background>>;\n border-right: 1px solid <<colour tiddler-border>>;\n width: {{$:/themes/nico/notebook/metrics/sidebar-width}};\n overflow-y: auto;\n overflow-x: hidden;\n z-index: 500;\n}\n\n.nc-sidebar .segment {\n border-bottom: 1px solid rgba(0,0,0,.1);\n}\n\n.nc-sidebar ol {\n margin: 0;\n padding: 0;\n list-style: none;\n line-height: 1.8em;\n}\n\n.nc-sidebar ol ol {\n padding-left: 18px;\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .mobile-only {\n display: none;\n }\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .desktop-only {\n display: none;\n }\n}\n\n.nc-sidebar h1.tc-site-title {\n margin: 0;\n}\n\n.nc-sidebar p {\n margin: 6px 0;\n}\n\n.nc-sidebar .tc-site-subtitle {\n color: <<colour site-title-foreground>>;\n}\n\n.nc-sidebar .section .label {\n padding: 2px 0;\n color: <<colour site-title-foreground>>;\n fill: <<colour site-title-foreground>>;\n font-weight: bold;\n line-height: 1.6em;\n display: block;\n width: 100%;\n text-align: left;\n padding: 8px 15px;\n border-radius: 0;\n}\n\n.nc-sidebar .section:not(.open) .label:hover {\n background: rgba(0,0,0,.06);\n}\n\n.nc-sidebar .section.open .label {\n color: <<colour tiddler-background>>;\n fill: <<colour tiddler-background>>;\n background: <<colour primary>>;\n border-bottom: 1px solid rgba(0,0,0,.1);\n}\n\n.nc-sidebar .section .label .caret {\n display: inline-block;\n width: 15px;\n float: right;\n}\n\n.nc-sidebar .content {\n padding: 6px 15px;\n font-size: 1em;\n}\n\n.nc-sidebar .tc-tiddlylink {\n color: <<colour primary>>;\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .nc-sidebar {\n position: fixed;\n left: 0;\n top: 0;\n bottom: 0;\n }\n}\n\n<<if-sidebar \"\"\"\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n @keyframes sidebar-slide {\n 0% {\n left: -100vw;\n }\n 100% {\n left: 0;\n }\n }\n .nc-sidebar {\n overflow: auto;\n position: fixed;\n width: 100%;\n left: 0;\n top: 0;\n bottom: 48px;\n z-index: 3000;\n animation: sidebar-slide ease-in .2s;\n animation-fill-mode: forwards;\n }\n}\n\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .tc-page-container {\n margin-left: {{$:/themes/nico/notebook/metrics/sidebar-width}} !important;\n }\n\n .nc-topbar-wrapper {\n left: {{$:/themes/nico/notebook/metrics/sidebar-width}};\n }\n}\n\"\"\">>\n\n/* Animate the hamburger button */\n\n@keyframes menu-bars-1 {\n 0% {}\n 100% {\n transform: rotate(-45deg) translateY(-10px) translateX(-6px);\n fill: <<colour foreground>>;\n }\n}\n\n@keyframes menu-bars-2 {\n 0% {}\n 100% { opacity: 0; }\n}\n\n@keyframes menu-bars-3 {\n 0% {}\n 100% {\n transform: rotate(45deg) translateY(6px) translateX(2px);\n fill: <<colour foreground>>;\n }\n}\n\n.sidebar-toggle {\n /* position: fixed; */\n /* top: 6px; */\n /* left: 6px; */\n /* z-index: 600; */\n /* padding: 4px; */\n /* border-radius: 8px; */\n margin-right: 10px;\n transition: all ease-in-out .2s;\n fill: <<colour sidebar-controls-foreground>>;\n}\n\n.sidebar-toggle:hover,\n.sidebar-toggle.open {\n fill: <<colour sidebar-controls-foreground-hover>>;\n}\n\n/* @media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) { */\n/* .sidebar-toggle { */\n/* top: auto; */\n/* bottom: 10px; */\n/* left: 10px; */\n/* } */\n/* } */\n\n.sidebar-toggle .bars .bar {\n transform: rotate(0) translateY(0) translateX(0);\n opacity: 1;\n transform-origin: 20px 10px;\n transition: transform 0.4s ease-in-out, opacity 0.2s ease-in-out, fill .4s ease-in-out;\n}\n\n.sidebar-toggle .bars .bar:nth-of-type(3) {\n transform-origin: 20px 20px;\n}\n\n.sidebar-toggle.open .bars .bar:nth-of-type(1) {\n animation: menu-bars-1 .6s;\n animation-fill-mode: forwards;\n}\n.sidebar-toggle.open .bars .bar:nth-of-type(2) {\n animation: menu-bars-2 .6s;\n animation-fill-mode: forwards;\n}\n.sidebar-toggle.open .bars .bar:nth-of-type(3) {\n animation: menu-bars-3 .6s;\n animation-fill-mode: forwards;\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n div.tc-tiddler-frame {\n padding: 14px;\n }\n}\n\n/* Inputs */\n\ninput, textarea {\n transition: border .14s ease-in-out;\n background: <<colour tiddler-editor-background>>;\n border: 1px solid <<colour tiddler-editor-border>>;\n padding: .5em;\n border-radius: 4px;\n}\n\ninput:focus, textarea:focus {\n box-shadow: 0 0 0.2rem 0 <<colour primary>>;\n outline: 0;\n border-color: <<colour primary>>;\n}\n\nbutton {\n border-radius: 1.5em;\n border: 1px solid #ccc;\n background: <<colour tiddler-background>>;\n padding: .3em 1em;\n cursor: pointer;\n transition: box-shadow ease-in .1s;\n color: <<color foreground>>;\n}\n\nbutton:focus, button:active {\n outline: 0 none;\n}\n\nbutton.tc-btn-invisible {\n border-radius: 0;\n}\n\n.tc-editor-toolbar button,\n.tc-editor-toolbar button.tc-btn-invisible {\n border-radius: 3px;\n background: <<colour tiddler-editor-background>>;\n color: <<colour foreground>>;\n fill: <<colour foreground>>;\n border: 1px solid <<colour tiddler-editor-border>>;\n}\n\n.tc-editor-toolbar button:hover,\n.tc-editor-toolbar button:active {\n border-color: <<colour primary>>;\n background: <<colour primary>>;\n color: <<colour background>>;\n fill: <<colour background>>;\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor,\n.tc-tiddler-frame textarea.tc-edit-texteditor,\n.tc-tiddler-frame iframe.tc-edit-texteditor {\n transition: border .14s ease-in-out;\n border: 1px solid <<colour tiddler-editor-border>>;\n background: <<colour tiddler-editor-background>>;\n padding: 4px;\n border-radius: 4px;\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor:focus,\n.tc-tiddler-frame textarea.tc-edit-texteditor:focus,\n.tc-tiddler-frame iframe.tc-edit-texteditor:focus {\n box-shadow: 0 0 0.2rem 0 <<colour primary>>;\n outline: 0;\n border-color: <<colour primary>>;\n}\n\n.tc-tiddler-controls .tc-btn-text {\n font-size: 16px;\n}\n\n<<if-reveal-tiddler-controls-on-hover \"\"\"\n@media (min-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .tc-tiddler-frame .tc-tiddler-controls svg {\n opacity: 0;\n transition: all .2s ease-in;\n }\n\n .tc-tiddler-controls svg.tc-image-close-button,\n .tc-tiddler-controls .py-toggle-todo-button svg,\n .tc-tiddler-controls svg.tc-image-done-button,\n .tc-tiddler-frame .tc-tiddler-controls:hover svg {\n opacity: 1;\n }\n\n .tc-tiddler-controls .py-toggle-todo-button .done svg {\n fill: #2da562;\n }\n}\n\"\"\">>\n\nbutton.tc-tag-label, span.tc-tag-label {\n padding: 0.3em 1em !important;\n}\n\n/* Fonts */\n\nhtml, body {\n font-family: {{$:/themes/nico/notebook/settings/fontfamily}};\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor,\n.tc-tiddler-frame textarea.tc-edit-texteditor,\n.tc-tiddler-frame iframe.tc-edit-texteditor {\n font-family: {{$:/themes/nico/notebook/settings/editorfontfamily}};\n}\n\npre, code {\n font-family: {{$:/themes/nico/notebook/settings/codefontfamily}};\n}\n\n.tc-titlebar, .tc-site-title {\n font-size: 28px !important;\n line-height: 34px !important;\n font-weight: 600 !important;\n letter-spacing: -0.5px !important;\n}\n\nh1, h2, h3, h4, h5, h6 {\n font-weight: 600;\n}\n\n.tc-tiddler-body h1,\n.tc-tiddler-body h2,\n.tc-tiddler-preview-preview h1,\n.tc-tiddler-preview-preview h2 {\n font-weight: bold;\n}\n\ndiv.tc-tiddler-frame .tc-tiddler-body {\n font-size: {{$:/themes/nico/notebook/metrics/bodyfontsize}};\n line-height: {{$:/themes/nico/notebook/metrics/bodylineheight}};\n}\n\n/* Tabs */\n\ndiv.tc-tab-buttons {\n margin-bottom: -4px;\n}\n\ndiv.tc-tab-buttons button {\n font-weight: bold;\n font-size: 1.2em;\n line-height: 1em;\n padding: .6em .8em .4em .8em;\n border: 0;\n border-radius: 0;\n background: transparent;\n cursor: pointer;\n transition: background ease-in .2s;\n}\n\ndiv.tc-tab-buttons button:hover {\n background: rgba(0,0,0,.03);\n}\n\ndiv.tc-tab-buttons button.tc-tab-selected {\n border: 0;\n background: transparent;\n border-bottom: 4px solid <<colour primary>>;\n}\n\n/* Dropdowns */\n\n@keyframes pop {\n 0% {\n transform: scale(0.8);\n opacity: 0;\n }\n\n 80% {\n transform: scale(1.03);\n opacity: 1;\n }\n\n 100% {\n transform: scale(1);\n opacity: 1;\n }\n}\n\n.tc-drop-down {\n box-shadow: 0 0 10px rgba(0,0,0,.2);\n border-radius: 6px;\n padding: 10px 0 !important;\n animation: pop .15s ease-in forwards;\n}\n\n.tc-drop-down a, .tc-drop-down button {\n padding: 3px 15px !important;\n}\n\n.tc-search-results {\n line-height: 2em;\n}\n\n.tc-search-results em {\n font-weight: bold;\n font-style: normal;\n}\n\n/* Draft list */\n\n.tc-drafts-list {\n font-size: .9em;\n left: auto;\n right: 0;\n}\n\n.tc-drafts-list a {\n padding: 6px 12px;\n font-weight: bold;\n border-top-left-radius: 6px;\n border-top-right-radius: 6px;\n display: inline-block;\n}\n\n.nc-refs {\n color: #888;\n font-size: .9em;\n}\n\n.nc-refs h4 {\n margin-bottom: 4px;\n}\n\n.nc-post-created {\n color: #acacac;\n font-size: .8em;\n}\n"
},
"$:/themes/nico/notebook/changelog": {
"title": "$:/themes/nico/notebook/changelog",
"caption": "ChangeLog",
"created": "20201217180707912",
"modified": "20210202214001915",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "! 1.4.1\n\n!! Fixes\n\n* Fix the transclusion mode of sidebar sections\n* Fix section title rendering for tiddlers without a caption field\n* Fix the colour of links in the sidebar when using Notebook palettes with Vanilla\n\n! 1.4.0\n\n!! Features\n\n* New redesigned topbar layout\n* Add a configuration setting for the story width\n* Add support for keyboard navigation in the search dropdown\n\n! 1.3.6\n\n!! Improvements\n\n* Improve the style of tabs\n* New animation for drop-downs\n* Use a lighter page background colour in the beige palette\n\n!! Fixes\n\n* Fix the default ctrl+shift+F shortcut for focusing the search input\n\n! 1.3.5\n\n!! Features\n\n* Add an option to reveal tiddler controls on mouseover\n\n! 1.3.4\n\n!! Improvements\n\n* Add a keyboard shortcut (alt+shift+s) to toggle Notebook sidebar\n* Add missing colours to tiddler editor fields in the dark palette\n\n!! Fixes\n\n* Fix the size of toolbar button labels when the $:/config/Toolbar/Text is set to yes\n\n! 1.3.3\n\n!! Improvements\n\n* Make the sidebar more generic by using the default sidebar sections\n\n! 1.3.2\n\n!! Improvements\n\n* Add colours for messages in the dark palette\n* Add colours for notification in the dark palette\n* Set colours for messages in the beige palette\n\n! 1.3.1\n\n!! Features\n\n* New font family settings distinct from the Vanilla theme\n\n!! Improvements\n\n* Use a slightly lighter colour as the search input background\n* Improve contrast of sidebar buttons in the dark palette\n\n!! Fixes\n\n* Fix tiddler control button colours in all three palettes\n* Fix tab colours in palette-dark\n\n! 1.3.0\n\n!! Improvements\n\n* New dark colour palette\n* Use a darker color for tiddler subtitles\n* Add back the WebKit search cancel button in search inputs\n\n!! Fixes\n\n* Fix the z-index of the topbar for the zoomin story view\n* Fix the font weight of tiddler titles in edit mode\n\n! 1.2.0\n\n!! Improvements\n\n* Better support for dark colour palettes\n\n!! Fixes\n\n* Fix rendering of overflowing/wrapping text in the sidebar\n\n! 1.1.0\n\n!! Features\n\n* New theme tweaks tab dedicated to Notebook in the control panel\n* Inputs in the edit template are now styled consistently with other inputs\n\n!! Fixes\n\n* Fixes the position of sticky tiddler titles when the option is turned on\n"
},
"$:/config/ShortcutInfo/notebook-focus-search": {
"title": "$:/config/ShortcutInfo/notebook-focus-search",
"text": "Focus on the topbar search field"
},
"$:/config/shortcuts/notebook-focus-search": {
"title": "$:/config/shortcuts/notebook-focus-search",
"text": "ctrl+shift+F"
},
"$:/config/Search/AutoFocus": {
"title": "$:/config/Search/AutoFocus",
"text": "false"
},
"$:/config/shortcuts/sidebar-search": {
"title": "$:/config/shortcuts/sidebar-search",
"text": ""
},
"$:/themes/nico/notebook/images/bars": {
"title": "$:/themes/nico/notebook/images/bars",
"created": "20200428212322206",
"modified": "20201210210231235",
"type": "text/vnd.tiddlywiki",
"text": "<svg class=\"bars\" height=\"21pt\" viewBox=\"0 0 42 42\" enable-background=\"new 0 0 32 22.5\" version=\"1.1\" xmlns=\"http://www.w3.org/2000/svg\">\n <g class=\"svg-menu-toggle\" sketch:type=\"MSLayerGroup\">\n <rect class=\"bar\" x=\"8\" y=\"28\" width=\"26\" height=\"4\"></rect>\n <rect class=\"bar\" x=\"8\" y=\"19\" width=\"26\" height=\"4\"></rect>\n <rect class=\"bar\" x=\"8\" y=\"10\" width=\"26\" height=\"4\"></rect>\n </g>\n</svg>\n"
},
"$:/themes/nico/notebook/images/caret-down": {
"title": "$:/themes/nico/notebook/images/caret-down",
"created": "20200429194348688",
"modified": "20201210210230919",
"type": "text/vnd.tiddlywiki",
"text": "<svg width=\"6pt\" xmlns=\"http://www.w3.org/2000/svg\" viewBox=\"0 0 320 512\"><path d=\"M31.3 192h257.3c17.8 0 26.7 21.5 14.1 34.1L174.1 354.8c-7.8 7.8-20.5 7.8-28.3 0L17.2 226.1C4.6 213.5 13.5 192 31.3 192z\"/></svg>\n"
},
"$:/themes/nico/notebook/images/caret-right": {
"title": "$:/themes/nico/notebook/images/caret-right",
"created": "20200429194305719",
"modified": "20201210210230909",
"type": "text/vnd.tiddlywiki",
"text": "<svg width=\"4pt\" xmlns=\"http://www.w3.org/2000/svg\" viewBox=\"0 0 192 512\"><path d=\"M0 384.662V127.338c0-17.818 21.543-26.741 34.142-14.142l128.662 128.662c7.81 7.81 7.81 20.474 0 28.284L34.142 398.804C21.543 411.404 0 402.48 0 384.662z\"/></svg>\n"
},
"$:/themes/nico/notebook/images/color-switch": {
"title": "$:/themes/nico/notebook/images/color-switch",
"created": "20201210170859810",
"creator": "nico",
"modified": "20201210205606403",
"modifier": "nico",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "<svg width=\"20px\" height=\"20px\" viewBox=\"0 0 16 16\" class=\"bi bi-circle-half\" fill=\"currentColor\" xmlns=\"http://www.w3.org/2000/svg\">\n <path fill-rule=\"evenodd\" d=\"M8 15V1a7 7 0 1 1 0 14zm0 1A8 8 0 1 1 8 0a8 8 0 0 1 0 16z\"/>\n</svg\n"
},
"$:/themes/nico/notebook/metrics/bodyfontsize": {
"title": "$:/themes/nico/notebook/metrics/bodyfontsize",
"created": "20200428203454207",
"modified": "20201210205606363",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "15px\n"
},
"$:/themes/nico/notebook/metrics/bodylineheight": {
"title": "$:/themes/nico/notebook/metrics/bodylineheight",
"created": "20200428203454207",
"modified": "20201210205606363",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "22px"
},
"$:/themes/nico/notebook/metrics/sidebar-width": {
"title": "$:/themes/nico/notebook/metrics/sidebar-width",
"created": "20200429144554294",
"modified": "20201210210231246",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "350px\n"
},
"$:/themes/nico/notebook/metrics/story-width": {
"title": "$:/themes/nico/notebook/metrics/story-width",
"created": "20210123210054185",
"modified": "20210123211911688",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "800px\n"
},
"$:/themes/nico/notebook/metrics/topbar-height": {
"title": "$:/themes/nico/notebook/metrics/topbar-height",
"created": "20200428203454207",
"modified": "20201210205606363",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "52px\n"
},
"$:/themes/nico/notebook/options/stickytitles": {
"title": "$:/themes/nico/notebook/options/stickytitles",
"text": "no"
},
"$:/themes/nico/notebook/options/codewrapping": {
"title": "$:/themes/nico/notebook/options/codewrapping",
"text": "pre-wrap"
},
"$:/themes/nico/notebook/options/reveal-tiddler-controls-on-hover": {
"title": "$:/themes/nico/notebook/options/reveal-tiddler-controls-on-hover",
"text": "no"
},
"$:/core/ui/PageTemplate/sidebar": {
"title": "$:/core/ui/PageTemplate/sidebar",
"created": "20200430072116835",
"modified": "20201217174129501",
"type": "text/vnd.tiddlywiki",
"text": "\\whitespace trim\n\\define config-title()\n$:/config/SideBarSegments/Visibility/$(listItem)$\n\\end\n\nOverwritten by $:/themes/nico/notebook so that the default sidebar does not get rendered.\n"
},
"$:/themes/tiddlywiki/vanilla/themetweaks": {
"title": "$:/themes/tiddlywiki/vanilla/themetweaks",
"caption": "{{$:/language/ThemeTweaks/ThemeTweaks}}",
"created": "20201217163834291",
"modified": "20201217163914434",
"type": "text/vnd.tiddlywiki",
"text": "Overwritten by $:/themes/nico/notebook so that the Vanilla theme tweaks do not appear in the control panel. "
},
"$:/themes/nico/notebook/palettes/palette-beige": {
"title": "$:/themes/nico/notebook/palettes/palette-beige",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #c63636\ndownload-background: #66cccc\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: #ddd\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: #da8548\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #3F3B3B\nmessage-background: #e6f5e8\nmessage-border: #2b5532\nmessage-foreground: #2b5532\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #f5f5ee\npre-background: #f6f6f6\npre-border: #cccccc\nprimary: #7f4bca\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: #a6a69c\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: <<colour sidebar-button-foreground>>\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #ffffff\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour tab-foreground-selected>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour primary>>\nsidebar-tiddler-link-foreground: <<colour tab-foreground>>\nsite-title-foreground: #353748\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #eeeeee\ntab-border-selected: #cccccc\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour foreground>>\ntab-foreground: #888888\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ffeedd\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: #dbdbc7;\ntiddler-controls-foreground-hover: #888888;\ntiddler-controls-foreground-selected: #888888;\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: rgba(0,0,0,.2)\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #aaaaaa\ntiddler-title-foreground: #333\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n",
"type": "application/x-tiddler-dictionary",
"description": "A beige colour palette for Notebook",
"name": "Notebook Beige",
"tags": "$:/tags/Palette $:/tags/notebook/Palette"
},
"$:/themes/nico/notebook/palettes/palette-dark": {
"title": "$:/themes/nico/notebook/palettes/palette-dark",
"text": "alert-background: #643b43\nalert-border: #3f181f\nalert-highlight: #881122\nalert-muted-foreground: #bc8b94\nbackground: #383e49\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-border:\nbutton-foreground:\ncode-background: #2c323b\ncode-border: #111\ncode-foreground: #dd1144\ndirty-indicator: #c63636\ndownload-background: #98be65\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: #111\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: #da8548\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #61afef\nexternal-link-foreground: #c678dd\nforeground: #c8ced8\nmessage-background: #2c323e\nmessage-border: #111\nmessage-foreground: #d5e2f1\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #3a5e39\nnotification-border: #192c19\npage-background: #262b33\npre-background: <<colour page-background>>\npre-border: <<colour tiddler-border>>\nprimary: #bf93ff\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: #5e646f\nsidebar-controls-foreground-hover: #cad2e5\nsidebar-controls-foreground: <<colour sidebar-button-foreground>>\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #cad2e5\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: <<colour tab-background-selected>>\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour tab-foreground-selected>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour primary>>\nsidebar-tiddler-link-foreground: <<colour tab-foreground>>\nsite-title-foreground: <<colour foreground>>\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: <<colour background>>\ntab-background: <<colour page-background>>\ntab-border-selected: <<colour foreground>>\ntab-border: #cad2e5\ntab-divider: #cad2e5\ntab-foreground-selected: #ecf2ff\ntab-foreground: #cad2e5\ntable-border: #aaaaaa\ntable-footer-background: #a8a8a8\ntable-header-background: #262b33\ntag-background: #fcb671\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: #111\ntiddler-controls-foreground-hover: #cad2e5\ntiddler-controls-foreground-selected: #cad2e5\ntiddler-controls-foreground: #5e646f\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: rgba(255, 255, 255, 0.3)\ntiddler-editor-fields-even: <<colour background>>\ntiddler-editor-fields-odd: #2c323b\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #aaaaaa\ntiddler-title-foreground: <<colour foreground>>\ntoolbar-cancel-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-done-button:\ntoolbar-edit-button:\ntoolbar-info-button:\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n",
"type": "application/x-tiddler-dictionary",
"description": "A dark colour palette for Notebook",
"name": "Notebook Dark",
"tags": "$:/tags/Palette $:/tags/notebook/Palette"
},
"$:/themes/nico/notebook/palettes/palette-grey": {
"title": "$:/themes/nico/notebook/palettes/palette-grey",
"text": "alert-background: #ffe476\nalert-border: #b99e2f\nalert-highlight: #881122\nalert-muted-foreground: #b99e2f\nbackground: #ffffff\nblockquote-bar: <<colour muted-foreground>>\nbutton-background:\nbutton-foreground:\nbutton-border:\ncode-background: #f7f7f9\ncode-border: #e1e1e8\ncode-foreground: #dd1144\ndirty-indicator: #c63636\ndownload-background: #66cccc\ndownload-foreground: <<colour background>>\ndragger-background: <<colour foreground>>\ndragger-foreground: <<colour background>>\ndropdown-background: <<colour background>>\ndropdown-border: #ddd\ndropdown-tab-background-selected: #fff\ndropdown-tab-background: #ececec\ndropzone-background: #da8548\nexternal-link-background-hover: inherit\nexternal-link-background-visited: inherit\nexternal-link-background: inherit\nexternal-link-foreground-hover: inherit\nexternal-link-foreground-visited: #0000aa\nexternal-link-foreground: #0000ee\nforeground: #283c46\nmessage-background: #ecf2ff\nmessage-border: #cfd6e6\nmessage-foreground: #547599\nmodal-backdrop: <<colour foreground>>\nmodal-background: <<colour background>>\nmodal-border: #999999\nmodal-footer-background: #f5f5f5\nmodal-footer-border: #dddddd\nmodal-header-border: #eeeeee\nmuted-foreground: #999999\nnotification-background: #ffffdd\nnotification-border: #999999\npage-background: #f4f4f4\npre-background: #f6f6f6\npre-border: #cccccc\nprimary: #127edd\nselect-tag-background:\nselect-tag-foreground:\nsidebar-button-foreground: #a6a69c\nsidebar-controls-foreground-hover: #000000\nsidebar-controls-foreground: <<colour sidebar-button-foreground>>\nsidebar-foreground-shadow: rgba(255,255,255, 0.8)\nsidebar-foreground: #acacac\nsidebar-muted-foreground-hover: #444444\nsidebar-muted-foreground: #c0c0c0\nsidebar-tab-background-selected: #ffffff\nsidebar-tab-background: <<colour tab-background>>\nsidebar-tab-border-selected: <<colour tab-border-selected>>\nsidebar-tab-border: <<colour tab-border>>\nsidebar-tab-divider: <<colour tab-divider>>\nsidebar-tab-foreground-selected: <<colour tab-foreground-selected>>\nsidebar-tab-foreground: <<colour tab-foreground>>\nsidebar-tiddler-link-foreground-hover: <<colour primary>>\nsidebar-tiddler-link-foreground: <<colour tab-foreground>>\nsite-title-foreground: #353748\nstatic-alert-foreground: #aaaaaa\ntab-background-selected: #ffffff\ntab-background: #eeeeee\ntab-border-selected: #cccccc\ntab-border: #cccccc\ntab-divider: #d8d8d8\ntab-foreground-selected: <<colour foreground>>\ntab-foreground: #888888\ntable-border: #dddddd\ntable-footer-background: #a8a8a8\ntable-header-background: #f0f0f0\ntag-background: #ffeedd\ntag-foreground: #000\ntiddler-background: <<colour background>>\ntiddler-border: #ddd\ntiddler-controls-foreground-hover: #888888;\ntiddler-controls-foreground-selected: #888888;\ntiddler-controls-foreground: #cccccc\ntiddler-editor-background: <<colour background>>\ntiddler-editor-border-image: #ffffff\ntiddler-editor-border: rgba(0,0,0,.2)\ntiddler-editor-fields-even: #e0e8e0\ntiddler-editor-fields-odd: #f0f4f0\ntiddler-info-background: #f8f8f8\ntiddler-info-border: #dddddd\ntiddler-info-tab-background: #f8f8f8\ntiddler-link-background: <<colour background>>\ntiddler-link-foreground: <<colour primary>>\ntiddler-subtitle-foreground: #aaaaaa\ntiddler-title-foreground: #333\ntoolbar-new-button:\ntoolbar-options-button:\ntoolbar-save-button:\ntoolbar-info-button:\ntoolbar-edit-button:\ntoolbar-close-button:\ntoolbar-delete-button:\ntoolbar-cancel-button:\ntoolbar-done-button:\nuntagged-background: #999999\nvery-muted-foreground: #888888\n",
"type": "application/x-tiddler-dictionary",
"description": "A grey color palette for Notebook",
"name": "Notebook Grey",
"tags": "$:/tags/Palette $:/tags/notebook/Palette"
},
"$:/themes/nico/notebook/settings/codefontfamily": {
"title": "$:/themes/nico/notebook/settings/codefontfamily",
"created": "20210101213404232",
"modified": "20210101214210227",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "\"Fira Mono\",\"Liberation Mono\",Menlo,Courier,monospace\n"
},
"$:/themes/nico/notebook/settings/fontfamily": {
"title": "$:/themes/nico/notebook/settings/fontfamily",
"created": "20210101213404232",
"modified": "20210101213411800",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "\"Segoe UI\",Helvetica,Arial,sans-serif,\"Apple Color Emoji\",\"Segoe UI Emoji\",\"Segoe UI Symbol\"\n"
},
"$:/themes/nico/notebook/shortcuts/notebook-focus-search": {
"title": "$:/themes/nico/notebook/shortcuts/notebook-focus-search",
"created": "20201210122048919",
"key": "((notebook-focus-search))",
"modified": "20210115130024907",
"tags": "$:/tags/KeyboardShortcut",
"type": "text/vnd.tiddlywiki",
"text": "<$action-sendmessage $message=\"tm-focus-selector\" $param=\".nc-topbar input\"/>\n"
},
"$:/themes/nico/notebook/shortcuts/toggle-sidebar": {
"title": "$:/themes/nico/notebook/shortcuts/toggle-sidebar",
"created": "20210115130000707",
"key": "((toggle-sidebar))",
"modified": "20210115130021883",
"tags": "$:/tags/KeyboardShortcut",
"type": "text/vnd.tiddlywiki",
"text": "<$list\n filter=\"[[$:/state/notebook-sidebar]is[missing]] [{$:/state/notebook-sidebar}removeprefix[yes]]\"\n emptyMessage=\"\"\"<$action-setfield $tiddler=\"$:/state/notebook-sidebar\" text=\"yes\"/>\"\"\"\n>\n <$action-setfield $tiddler=\"$:/state/notebook-sidebar\" text=\"no\"/>\n</$list>\n"
},
"$:/themes/nico/notebook/stickytitles": {
"title": "$:/themes/nico/notebook/stickytitles",
"created": "20201217172915960",
"modified": "20201217180034682",
"tags": "$:/tags/Stylesheet",
"text": "<$reveal state=\"$:/themes/nico/notebook/options/stickytitles\" type=\"match\" text=\"yes\">\n\n.tc-tiddler-title {\n position: -webkit-sticky;\n position: -moz-sticky;\n position: -o-sticky;\n position: -ms-sticky;\n position: sticky;\n top: {{$:/themes/nico/notebook/metrics/topbar-height}};\n background: <<colour tiddler-background>>;\n z-index: 500;\n}\n\n@media (max-width: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}) {\n .tc-tiddler-title {\n top: 0;\n }\n}\n\n<$list filter=\"[range[100]]\">\n`.tc-story-river .tc-tiddler-frame:nth-child(100n+`<$text text=<<currentTiddler>>/>`) {\nz-index: `<$text text={{{ [[200]subtract<currentTiddler>] }}}/>`;\n}\n`\n</$list>\n</$reveal>\n"
},
"$:/themes/nico/notebook/tags/Sidebar": {
"title": "$:/themes/nico/notebook/tags/Sidebar",
"created": "20200429164516951",
"list": "$:/themes/nico/notebook/ui/Buttons/menu $:/themes/nico/notebook/ui/Sidebar/Headings $:/themes/nico/notebook/ui/Sidebar/Search $:/themes/nico/notebook/Sidebar/Sections",
"modified": "20201210205606504",
"type": "text/vnd.tiddlywiki"
},
"$:/themes/nico/notebook/tags/SidebarSection": {
"title": "$:/themes/nico/notebook/tags/SidebarSection",
"created": "20200429201017275",
"list": "$:/themes/nico/notebook/ui/Sidebar/Open $:/themes/nico/notebook/ui/Sidebar/Recent $:/themes/nico/notebook/ui/Sidebar/Tools $:/themes/nico/notebook/ui/Sidebar/More",
"modified": "20201210215658901",
"type": "text/vnd.tiddlywiki"
},
"$:/themes/nico/notebook/ui/Bottombar": {
"title": "$:/themes/nico/notebook/ui/Bottombar",
"created": "20200429113453340",
"modified": "20201210210230886",
"tags": "$:/tags/PageTemplate",
"type": "text/vnd.tiddlywiki",
"text": "<$reveal state=\"$:/state/notebook-bottombar\" type=\"match\" text=\"yes\" default=\"yes\" retain=\"yes\" animate=\"yes\">\n <div class=\"nc-bar nc-bottombar\">\n <$list filter=\"[all[shadows+tiddlers]tag[$:/tags/NotebookTopbar]!has[draft.of]]\" variable=\"listItem\">\n <$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\" tag=\"div\">\n <$transclude tiddler=<<listItem>> mode=\"block\"/>\n </$reveal>\n </$list>\n <div class=\"left\">\n {{$:/themes/nico/notebook/ui/Buttons/menu}}\n </div>\n <div class=\"right\">\n {{$:/core/ui/SideBarSegments/page-controls}}\n </div>\n </div>\n</$reveal>\n\n"
},
"$:/themes/nico/notebook/ui/Buttons/SwitchPalette": {
"title": "$:/themes/nico/notebook/ui/Buttons/SwitchPalette",
"created": "20201210171047824",
"description": "Toggle between grey/beige colour palette",
"modified": "20210118213335643",
"tags": "$:/tags/PageControls",
"type": "text/vnd.tiddlywiki",
"text": "<span class=\"desktop-only\">\n <$vars\n palettes=\"[all[tiddlers+shadows]tag[$:/tags/notebook/Palette]]\"\n popupTiddler=<<qualify \"$:/state/notebook/palette-dropdown\">>\n >\n <$button\n popup=<<popupTiddler>>\n tooltip=\"Switch colours\"\n aria-label=\"Switch colours\"\n class=<<tv-config-toolbar-class>>\n >\n <$list filter=\"[<tv-config-toolbar-icons>match[yes]]\">\n\t{{$:/themes/nico/notebook/images/color-switch}}\n </$list>\n\n <$list filter=\"[<tv-config-toolbar-text>match[yes]]\">\n\t<span class=\"tc-btn-text\">Switch colours</span>\n </$list>\n\n <$reveal state=<<popupTiddler>> type=\"popup\" position=\"belowleft\" class=\"tc-drop-down\">\n\t<$list filter=<<palettes>>>\n\t <$button class=\"tc-btn-invisible\">\n\t {{!!name}}\n\t <$action-setfield $tiddler=\"$:/palette\" text={{!!title}}/>\n\t </$button>\n\t</$list>\n </$reveal>\n\n </$button>\n </$vars>\n</span>\n"
},
"$:/themes/nico/notebook/ui/Buttons/menu": {
"title": "$:/themes/nico/notebook/ui/Buttons/menu",
"created": "20200429115248943",
"modified": "20210124211756417",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "<$reveal state=\"$:/state/notebook-sidebar\" type=\"match\" text=\"yes\" default=\"no\" retain=\"yes\" animate=\"no\">\n <$button set=\"$:/state/notebook-sidebar\" setTo=\"no\" tooltip=\"Toggle menu\" class=\"tc-btn-invisible sidebar-toggle open\">\n {{$:/themes/nico/notebook/images/bars}}\n </$button>\n</$reveal>\n\n<$reveal type=\"nomatch\" state=\"$:/state/notebook-sidebar\" text=\"yes\">\n <$button set=\"$:/state/notebook-sidebar\" setTo=\"yes\" tooltip=\"Toggle menu\" class=\"tc-btn-invisible sidebar-toggle\">\n {{$:/themes/nico/notebook/images/bars}}\n </$button>\n</$reveal>\n"
},
"$:/themes/nico/notebook/ui/Search": {
"title": "$:/themes/nico/notebook/ui/Search",
"created": "20200429191943257",
"modified": "20210126170723413",
"type": "text/vnd.tiddlywiki",
"text": "\\define advanced-search-actions()\n<$action-setfield $tiddler=\"$:/temp/advancedsearch/input\" text={{$:/temp/notebook-search}}/>\n<$action-setfield $tiddler=\"$:/temp/advancedsearch/refresh\" text=\"yes\"/>\n<$action-navigate $to=\"$:/AdvancedSearch\"/>\n\\end\n\n\\define input-accept-actions()\n<$list filter=\"[<__tiddler__>get[text]!is[missing]] ~[<__tiddler__>get[text]is[shadow]]\">\n <$action-navigate $to={{{ [<__tiddler__>get[text]] }}}/>\n <$action-deletetiddler $filter=\"[[$:/temp/search]] [<searchTiddler>] [<searchListState>]\"/>\n</$list>\n\\end\n\n\\define cancel-search-actions()\n<$list filter=\"[<searchTiddler>get[text]!match{$:/temp/search}]\" emptyMessage=\"\"\"<$action-deletetiddler $filter=\"[[$:/temp/search]] [<searchTiddler>] [<searchListState>]\"/>\"\"\">\n <$action-setfield $tiddler=\"$:/temp/search\" text={{{ [<searchTiddler>get[text]] }}}/>\n <$action-setfield $tiddler=\"$:/temp/search/refresh\" text=\"yes\"/></$list>\n\\end\n\n<$vars editTiddler=\"$:/temp/search\"\n searchTiddler=\"$:/temp/search/input\"\n searchListState=<<qualify \"$:/state/search-list/selected-item\">>>\n <$macrocall $name=\"keyboard-driven-input\"\n\t tiddler=<<editTiddler>>\n\t storeTitle=<<searchTiddler>>\n\t selectionStateTitle=<<searchListState>>\n\t refreshTitle=\"$:/temp/search/refresh\"\n\t type=\"search\"\n\t tag=\"input\"\n\t focus={{$:/config/Search/AutoFocus}}\n\t focusPopup=\"$:/state/popup/notebook-search\"\n\t class=\"tc-popup-handle\"\n\t filterMinLength={{$:/config/Search/MinLength}}\n\t placeholder=\"Search...\"\n\t inputAcceptActions=<<input-accept-actions>>\n\t inputCancelActions=<<cancel-search-actions>>\n\t cancelPopups=\"yes\"\n\t configTiddlerFilter=\"[[$:/state/search/currentTab]!is[missing]get[text]] ~[{$:/config/SearchResults/Default}]\"\n\t />\n <$button\n tooltip={{$:/language/Buttons/AdvancedSearch/Hint}}\n aria-label={{$:/language/Buttons/AdvancedSearch/Caption}}\n class=\"tc-btn-invisible tc-page-controls\"\n >\n {{$:/core/images/advanced-search-button}}\n <<advanced-search-actions>>\n </$button>\n <$reveal tag=\"div\" class=\"tc-block-dropdown-wrapper\" state=\"$:/state/popup/notebook-search\" type=\"nomatch\" text=\"\" default=\"\">\n <$list filter=\"[<searchTiddler>get[text]minlength{$:/config/Search/MinLength}limit[1]]\" emptyMessage=\"\" variable=\"listItem\">\n <div class=\"tc-block-dropdown tc-search-drop-down\">\n <$tiddler tiddler=<<configTiddler>>>\n {{$:/themes/nico/notebook/ui/Sidebar/SearchResults}}\n </$tiddler>\n </div>\n </$list>\n </$reveal>\n</$vars>\n"
},
"$:/themes/nico/notebook/ui/Sidebar/Headings": {
"title": "$:/themes/nico/notebook/ui/Sidebar/Headings",
"created": "20200429160014174",
"modified": "20201210210231267",
"tags": "$:/themes/nico/notebook/tags/Sidebar",
"type": "text/vnd.tiddlywiki",
"text": "<div class=\"segment\">\n <div class=\"content\">\n <h1 class=\"tc-site-title\">\n {{$:/SiteTitle}}\n </h1>\n <div class=\"tc-site-subtitle\">\n {{$:/SiteSubtitle}}\n </div>\n </div>\n</div>\n"
},
"$:/themes/nico/notebook/ui/Sidebar/Search": {
"title": "$:/themes/nico/notebook/ui/Sidebar/Search",
"created": "20200429191943257",
"modified": "20210124220152702",
"tags": "$:/themes/nico/notebook/tags/Sidebar",
"type": "text/vnd.tiddlywiki",
"text": "<div class=\"mobile-only\">\n <div class=\"segment\">\n <div class=\"content search\">\n {{$:/themes/nico/notebook/ui/Search}}\n </div>\n </div>\n</div>\n"
},
"$:/themes/nico/notebook/ui/Sidebar/SearchResults": {
"title": "$:/themes/nico/notebook/ui/Sidebar/SearchResults",
"created": "20200429191943257",
"modified": "20210126164631418",
"tags": "",
"type": "text/vnd.tiddlywiki",
"text": "\\define searchResultList()\n <small>{{$:/language/Search/Matches/Title}}</small>\n\n <$list filter=\"[!is[system]search:title{$(searchTiddler)$}sort[title]limit[250]]\">\n <span class={{{[<currentTiddler>addsuffix[-primaryList]] -[<searchListState>get[text]] +[then[]else[tc-list-item-selected]] }}}>\n <$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n </span>\n </$list>\n\n <small>{{$:/language/Search/Matches/All}}</small>\n\n <$list filter=\"[!is[system]search{$(searchTiddler)$}sort[title]limit[250]]\">\n <span class={{{[<currentTiddler>addsuffix[-secondaryList]] -[<searchListState>get[text]] +[then[]else[tc-list-item-selected]] }}}>\n <$transclude tiddler=\"$:/core/ui/ListItemTemplate\"/>\n </span>\n </$list>\n\\end\n\n<div class=\"tc-search-results\">\n <<searchResultList>>\n</div>\n"
},
"$:/themes/nico/notebook/ui/Sidebar/SectionTemplate": {
"title": "$:/themes/nico/notebook/ui/Sidebar/SectionTemplate",
"created": "20200429161226897",
"modified": "20210202213859460",
"type": "text/vnd.tiddlywiki",
"text": "\\define sidebarHeading()\n<$vars tv-wikilinks=\"no\">\n <$transclude field=\"caption\">\n <$view field=\"title\"/>\n </$transclude>\n</$vars>\n\\end\n\n<$reveal state=\"$:/state/notebook-sidebar-section\" type=\"match\" text=<<currentTiddler>> default=\"no\" animate=\"no\">\n <div class=\"segment section open\">\n <$button set=\"$:/state/notebook-sidebar-section\" setTo=\"\" class=\"tc-btn-invisible label\">\n <<sidebarHeading>>\n <span class=\"caret\">{{$:/themes/nico/notebook/images/caret-down}}</span>\n </$button>\n <div class=\"content\">\n <$transclude $tiddler=<<currentTiddler>> mode=\"block\"/>\n </div>\n </div>\n</$reveal>\n<$reveal state=\"$:/state/notebook-sidebar-section\" type=\"nomatch\" text=<<currentTiddler>> default=\"yes\" animate=\"no\">\n <div class=\"segment section\">\n <$button set=\"$:/state/notebook-sidebar-section\" setTo=<<currentTiddler>> class=\"tc-btn-invisible label\">\n <<sidebarHeading>>\n <span class=\"caret\">{{$:/themes/nico/notebook/images/caret-right}}</span>\n </$button>\n </div>\n</$reveal>\n"
},
"$:/themes/nico/notebook/ui/Sidebar/Sections": {
"title": "$:/themes/nico/notebook/ui/Sidebar/Sections",
"created": "20200429163239707",
"modified": "20210112213620486",
"tags": "$:/themes/nico/notebook/tags/Sidebar",
"type": "text/vnd.tiddlywiki",
"text": "<$list filter=\"[all[shadows+tiddlers]!has[draft.of]tag[$:/tags/SideBar]]\">\n {{||$:/themes/nico/notebook/ui/Sidebar/SectionTemplate}}\n</$list>\n"
},
"$:/themes/nico/notebook/ui/Sidebar": {
"title": "$:/themes/nico/notebook/ui/Sidebar",
"created": "20200428201218885",
"modified": "20210112213605486",
"tags": "$:/tags/PageTemplate",
"type": "text/vnd.tiddlywiki",
"text": "\\whitespace trim\n\\define config-title()\n$:/config/SideBarSegments/Visibility/$(listItem)$\n\\end\n\n<$reveal state=\"$:/state/notebook-sidebar\" type=\"match\" text=\"yes\" default=\"no\" retain=\"yes\" animate=\"no\">\n <$scrollable fallthrough=\"no\">\n <div class=\"nc-sidebar\">\n <$list filter=\"[all[shadows+tiddlers]tag[$:/themes/nico/notebook/tags/Sidebar]!has[draft.of]]\" variable=\"listItem\">\n <$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\" tag=\"div\">\n <$transclude tiddler=<<listItem>> mode=\"inline\"/>\n </$reveal>\n </$list>\n </div>\n </$scrollable>\n</$reveal>\n\n"
},
"$:/themes/nico/notebook/ui/Topbar": {
"title": "$:/themes/nico/notebook/ui/Topbar",
"created": "20200428203101797",
"modified": "20210124213834458",
"tags": "$:/tags/PageTemplate",
"type": "text/vnd.tiddlywiki",
"text": "<$reveal state=\"$:/state/notebook-topbar\" type=\"match\" text=\"yes\" default=\"yes\" retain=\"yes\" animate=\"yes\">\n <div class=\"nc-topbar-wrapper\">\n <div class=\"nc-bar nc-topbar tc-adjust-top-of-scroll\">\n <$list filter=\"[all[shadows+tiddlers]tag[$:/tags/NotebookTopbar]!has[draft.of]]\" variable=\"listItem\">\n <$reveal type=\"nomatch\" state=<<config-title>> text=\"hide\" tag=\"div\">\n <$transclude tiddler=<<listItem>> mode=\"block\"/>\n </$reveal>\n </$list>\n <div class=\"left\">\n\t{{$:/themes/nico/notebook/ui/Buttons/menu}}\n {{$:/themes/nico/notebook/ui/Search}}\n </div>\n <div class=\"right\">\n {{$:/core/ui/SideBarSegments/page-controls}}\n </div>\n </div>\n </div>\n</$reveal>\n\n"
}
}
}
background: #282a36
untagged-background: #6272a4
foreground: #f8f8f2
tiddler-border: #44475a
page-background: #282a36
primary: #8be9fd
tag-background: #bd93f9
muted-foreground: rgba(255,255,255,0.5)
alert-background: <<colour tag-background>>
alert-border: <<colour tag-background>>
alert-highlight: <<colour primary>>
alert-muted-foreground: <<colour muted-foreground>>
blockquote-bar: <<colour muted-foreground>>
button-background: <<colour tag-background>>
button-foreground: <<colour foreground>>
button-border: <<colour tag-background>>
code-background: <<colour page-background>>
code-border: <<colour page-background>>
code-foreground: <<colour muted-foreground>>
dirty-indicator: <<colour primary>>
download-background: <<colour tag-background>>
download-foreground: <<colour background>>
dragger-background: <<colour foreground>>
dragger-foreground: <<colour background>>
dropdown-background: <<colour background>>
dropdown-border: <<colour background>>
dropdown-tab-background-selected: <<colour background>>
dropdown-tab-background: <<colour background>>
dropzone-background: <<colour primary>>
external-link-background-hover: inherit
external-link-background-visited: inherit
external-link-background: inherit
external-link-foreground-hover: inherit
external-link-foreground-visited: <<colour tag-background>>
external-link-foreground: <<color primary>>
message-background: <<colour tag-background>>
message-border: <<colour tag-background>>
message-foreground: <<colour foreground>>
modal-backdrop: <<colour page-background>>
modal-background: <<colour background>>
modal-border: <<colour background>>
modal-footer-background: <<colour background>>
modal-footer-border: <<colour background>>
modal-header-border: <<colour muted-foreground>>
notification-background: <<colour tag-background>>
notification-border: <<colour tag-background>>
pre-background: <<colour page-background>>
pre-border: <<colour page-background>>
sidebar-button-foreground: <<colour foreground>>
sidebar-controls-foreground-hover: <<colour primary>>
sidebar-controls-foreground: <<colour muted-foreground>>
sidebar-foreground-shadow: transparent
sidebar-foreground: <<colour foreground>>
sidebar-muted-foreground-hover: <<colour primary>>
sidebar-muted-foreground: <<colour muted-foreground>>
sidebar-tab-background-selected: <<colour page-background>>
sidebar-tab-background: transparent
sidebar-tab-border-selected: <<colour tiddler-border>>
sidebar-tab-border: <<colour tiddler-border>>
sidebar-tab-divider: <<colour tiddler-border>>
sidebar-tab-foreground-selected: <<colour primary>>
sidebar-tab-foreground: <<colour foreground>>
sidebar-tiddler-link-foreground-hover: <<colour primary>>
sidebar-tiddler-link-foreground: <<colour primary>>
site-title-foreground: <<colour foreground>>
static-alert-foreground: <<colour foreground>>
tab-background-selected: <<colour background>>
tab-background: transparent
tab-border-selected: <<colour tiddler-border>>
tab-border: <<colour tiddler-border>>
tab-divider: <<colour tiddler-border>>
tab-foreground-selected: <<colour primary>>
tab-foreground: <<colour muted-foreground>>
table-border: <<colour page-background>>
table-footer-background: rgba(0, 0, 0, 0.1)
table-header-background: rgba(0, 0, 0, 0.2)
tag-foreground: <<colour foreground>>
tiddler-background: <<colour background>>
tiddler-controls-foreground-hover: inherit
tiddler-controls-foreground-selected: <<colour foreground>>
tiddler-controls-foreground: <<colour forground>>
tiddler-editor-background: <<colour background>>
tiddler-editor-border-image: <<colour background>>
tiddler-editor-border: rgba(255,255,255,0.1)
tiddler-editor-fields-even: <<colour tiddler-border>>
tiddler-editor-fields-odd: <<colour page-background>>
tiddler-info-background: <<colour background>>
tiddler-info-border: <<colour page-background>>
tiddler-info-tab-background: <<colour background>>
tiddler-link-background: <<colour background>>
tiddler-link-foreground: <<colour primary>>
tiddler-subtitle-foreground: <<colour muted-foreground>>
tiddler-title-foreground: <<colour primary>>
very-muted-foreground: rgba(255,255,255,0.2)
{
"tiddlers": {
"$:/themes/tiddlywiki/snowwhite/base": {
"title": "$:/themes/tiddlywiki/snowwhite/base",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\define sidebarbreakpoint-minus-one()\n<$text text={{{ [{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}removesuffix[px]subtract[1]addsuffix[px]] ~[{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}] }}}/>\n\\end\n\n\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline\n\n.tc-sidebar-header {\n\ttext-shadow: 0 1px 0 <<colour sidebar-foreground-shadow>>;\n}\n\n.tc-tiddler-info {\n\t<<box-shadow \"inset 1px 2px 3px rgba(0,0,0,0.1)\">>\n}\n\n@media screen {\n\t.tc-tiddler-frame {\n\t\t<<box-shadow \"1px 1px 5px rgba(0, 0, 0, 0.3)\">>\n\t}\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\t.tc-tiddler-frame {\n\t\t<<box-shadow none>>\n\t}\n}\n\n.tc-page-controls button svg, .tc-tiddler-controls button svg, .tc-topbar button svg {\n\t<<transition \"fill 150ms ease-in-out\">>\n}\n\n.tc-tiddler-controls button.tc-selected,\n.tc-page-controls button.tc-selected {\n\t<<filter \"drop-shadow(0px -1px 2px rgba(0,0,0,0.25))\">>\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor {\n\t<<box-shadow \"inset 0 1px 8px rgba(0, 0, 0, 0.15)\">>\n}\n\n.tc-edit-tags {\n\t<<box-shadow \"inset 0 1px 8px rgba(0, 0, 0, 0.15)\">>\n}\n\n.tc-tiddler-frame .tc-edit-tags input.tc-edit-texteditor {\n\t<<box-shadow \"none\">>\n\tborder: none;\n\toutline: none;\n}\n\ntextarea.tc-edit-texteditor {\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/editorfontfamily}};\n}\n\ncanvas.tc-edit-bitmapeditor {\n\t<<box-shadow \"2px 2px 5px rgba(0, 0, 0, 0.5)\">>\n}\n\n.tc-drop-down {\n\tborder-radius: 4px;\n\t<<box-shadow \"2px 2px 10px rgba(0, 0, 0, 0.5)\">>\n}\n\n.tc-block-dropdown {\n\tborder-radius: 4px;\n\t<<box-shadow \"2px 2px 10px rgba(0, 0, 0, 0.5)\">>\n}\n\n.tc-modal {\n\tborder-radius: 6px;\n\t<<box-shadow \"0 3px 7px rgba(0,0,0,0.3)\">>\n}\n\n.tc-modal-footer {\n\tborder-radius: 0 0 6px 6px;\n\t<<box-shadow \"inset 0 1px 0 #fff\">>;\n}\n\n\n.tc-alert {\n\tborder-radius: 6px;\n\t<<box-shadow \"0 3px 7px rgba(0,0,0,0.6)\">>\n}\n\n.tc-notification {\n\tborder-radius: 6px;\n\t<<box-shadow \"0 3px 7px rgba(0,0,0,0.3)\">>\n\ttext-shadow: 0 1px 0 rgba(255,255,255, 0.8);\n}\n\n.tc-sidebar-lists .tc-tab-set .tc-tab-divider {\n\tborder-top: none;\n\theight: 1px;\n\t<<background-linear-gradient \"left, rgba(0,0,0,0.15) 0%, rgba(0,0,0,0.0) 100%\">>\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button {\n\t<<background-linear-gradient \"left, rgba(0,0,0,0.01) 0%, rgba(0,0,0,0.1) 100%\">>\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button.tc-tab-selected {\n\t<<background-linear-gradient \"left, rgba(0,0,0,0.05) 0%, rgba(255,255,255,0.05) 100%\">>\n}\n\n.tc-message-box img {\n\t<<box-shadow \"1px 1px 3px rgba(0,0,0,0.5)\">>\n}\n\n.tc-plugin-info {\n\t<<box-shadow \"1px 1px 3px rgba(0,0,0,0.5)\">>\n}\n"
}
}
}
{
"tiddlers": {
"$:/themes/tiddlywiki/vanilla/themetweaks": {
"title": "$:/themes/tiddlywiki/vanilla/themetweaks",
"tags": "$:/tags/ControlPanel/Appearance",
"caption": "{{$:/language/ThemeTweaks/ThemeTweaks}}",
"text": "\\define lingo-base() $:/language/ThemeTweaks/\n\n\\define replacement-text()\n[img[$(imageTitle)$]]\n\\end\n\n\\define backgroundimage-dropdown()\n<div class=\"tc-drop-down-wrapper\">\n<$button popup=<<qualify \"$:/state/popup/themetweaks/backgroundimage\">> class=\"tc-btn-invisible tc-btn-dropdown\">{{$:/core/images/down-arrow}}</$button>\n<$reveal state=<<qualify \"$:/state/popup/themetweaks/backgroundimage\">> type=\"popup\" position=\"belowleft\" text=\"\" default=\"\">\n<div class=\"tc-drop-down\">\n<$macrocall $name=\"image-picker\" actions=\"\"\"\n\n<$action-setfield\n\t$tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\"\n\t$value=<<imageTitle>>\n/>\n\n\"\"\"/>\n</div>\n</$reveal>\n</div>\n\\end\n\n\\define backgroundimageattachment-dropdown()\n<$select tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment\" default=\"scroll\">\n<option value=\"scroll\"><<lingo Settings/BackgroundImageAttachment/Scroll>></option>\n<option value=\"fixed\"><<lingo Settings/BackgroundImageAttachment/Fixed>></option>\n</$select>\n\\end\n\n\\define backgroundimagesize-dropdown()\n<$select tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize\" default=\"scroll\">\n<option value=\"auto\"><<lingo Settings/BackgroundImageSize/Auto>></option>\n<option value=\"cover\"><<lingo Settings/BackgroundImageSize/Cover>></option>\n<option value=\"contain\"><<lingo Settings/BackgroundImageSize/Contain>></option>\n</$select>\n\\end\n\n<<lingo ThemeTweaks/Hint>>\n\n! <<lingo Options>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\"><<lingo Options/SidebarLayout>></$link> |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\"><option value=\"fixed-fluid\"><<lingo Options/SidebarLayout/Fixed-Fluid>></option><option value=\"fluid-fixed\"><<lingo Options/SidebarLayout/Fluid-Fixed>></option></$select> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\"><<lingo Options/StickyTitles>></$link><br>//<<lingo Options/StickyTitles/Hint>>// |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\"><option value=\"no\">{{$:/language/No}}</option><option value=\"yes\">{{$:/language/Yes}}</option></$select> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/options/codewrapping\"><<lingo Options/CodeWrapping>></$link> |<$select tiddler=\"$:/themes/tiddlywiki/vanilla/options/codewrapping\"><option value=\"pre\">{{$:/language/No}}</option><option value=\"pre-wrap\">{{$:/language/Yes}}</option></$select> |\n\n! <<lingo Settings>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/fontfamily\"><<lingo Settings/FontFamily>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/fontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/codefontfamily\"><<lingo Settings/CodeFontFamily>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/codefontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/editorfontfamily\"><<lingo Settings/EditorFontFamily>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/editorfontfamily\" default=\"\" tag=\"input\"/> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\"><<lingo Settings/BackgroundImage>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimage\" default=\"\" tag=\"input\"/> |<<backgroundimage-dropdown>> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment\"><<lingo Settings/BackgroundImageAttachment>></$link> |<<backgroundimageattachment-dropdown>> | |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize\"><<lingo Settings/BackgroundImageSize>></$link> |<<backgroundimagesize-dropdown>> | |\n\n! <<lingo Metrics>>\n\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/fontsize\"><<lingo Metrics/FontSize>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/fontsize\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/lineheight\"><<lingo Metrics/LineHeight>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/lineheight\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize\"><<lingo Metrics/BodyFontSize>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight\"><<lingo Metrics/BodyLineHeight>></$link> |<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storyleft\"><<lingo Metrics/StoryLeft>></$link><br>//<<lingo Metrics/StoryLeft/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storyleft\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storytop\"><<lingo Metrics/StoryTop>></$link><br>//<<lingo Metrics/StoryTop/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storytop\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storyright\"><<lingo Metrics/StoryRight>></$link><br>//<<lingo Metrics/StoryRight/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storyright\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/storywidth\"><<lingo Metrics/StoryWidth>></$link><br>//<<lingo Metrics/StoryWidth/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/storywidth\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\"><<lingo Metrics/TiddlerWidth>></$link><br>//<<lingo Metrics/TiddlerWidth/Hint>>//<br> |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint\"><<lingo Metrics/SidebarBreakpoint>></$link><br>//<<lingo Metrics/SidebarBreakpoint/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint\" default=\"\" tag=\"input\"/> |\n|<$link to=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth\"><<lingo Metrics/SidebarWidth>></$link><br>//<<lingo Metrics/SidebarWidth/Hint>>// |^<$edit-text tiddler=\"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth\" default=\"\" tag=\"input\"/> |\n"
},
"$:/themes/tiddlywiki/vanilla/base": {
"title": "$:/themes/tiddlywiki/vanilla/base",
"tags": "[[$:/tags/Stylesheet]]",
"text": "\\define custom-background-datauri()\n<$set name=\"background\" value={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}}>\n<$list filter=\"[<background>is[image]]\">\n`background: url(`\n<$list filter=\"[<background>!has[_canonical_uri]]\">\n`\"`<$macrocall $name=\"datauri\" title={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}}/>`\"`\n</$list>\n<$list filter=\"[<background>has[_canonical_uri]]\">\n`\"`<$view tiddler={{$:/themes/tiddlywiki/vanilla/settings/backgroundimage}} field=\"_canonical_uri\"/>`\"`\n</$list>\n`) center center;`\n`background-attachment: `{{$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment}}`;\n-webkit-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;\n-moz-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;\n-o-background-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;\nbackground-size:` {{$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize}}`;`\n</$list>\n</$set>\n\\end\n\n\\define sidebarbreakpoint()\n<$text text={{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}}/>\n\\end\n\n\\define sidebarbreakpoint-minus-one()\n<$text text={{{ [{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}removesuffix[px]subtract[1]addsuffix[px]] ~[{$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint}] }}}/>\n\\end\n\n\\define if-fluid-fixed(text,hiddenSidebarText)\n<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/sidebarlayout\" type=\"match\" text=\"fluid-fixed\">\n$text$\n<$reveal state=\"$:/state/sidebar\" type=\"nomatch\" text=\"yes\" default=\"yes\">\n$hiddenSidebarText$\n</$reveal>\n</$reveal>\n\\end\n\n\\define if-editor-height-fixed(then,else)\n<$reveal state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"fixed\">\n$then$\n</$reveal>\n<$reveal state=\"$:/config/TextEditor/EditorHeight/Mode\" type=\"match\" text=\"auto\">\n$else$\n</$reveal>\n\\end\n\n\\define set-type-selector-min-width()\n<$set name=\"typeLength\" value={{{ [all[shadows+tiddlers]prefix[$:/language/Docs/Types/]get[name]length[]maxall[]] }}}>\n\n\t.tc-type-selector-dropdown-wrapper {\n\t\tmin-width: calc(<<typeLength>>ch + 4em);\n\t}\n\n\t.tc-type-selector-dropdown-wrapper input.tc-edit-typeeditor {\n\t\tmin-width: <<typeLength>>ch;\n\t}\n\n</$set>\n\\end\n\n\\rules only filteredtranscludeinline transcludeinline macrodef macrocallinline macrocallblock\n\n/*\n** Start with the normalize CSS reset, and then belay some of its effects\n*/\n\n{{$:/themes/tiddlywiki/vanilla/reset}}\n\n*, input[type=\"search\"] {\n\tbox-sizing: border-box;\n\t-moz-box-sizing: border-box;\n\t-webkit-box-sizing: border-box;\n}\n\ninput[type=\"search\"] {\n outline-offset: initial;\n}\n\nhtml button {\n\tline-height: 1.2;\n\tcolor: <<colour button-foreground>>;\n\tfill: <<colour button-foreground>>;\n\tbackground: <<colour button-background>>;\n\tborder-color: <<colour button-border>>;\n}\n\n/*\n** Basic element styles\n*/\n\nhtml, body {\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/fontfamily}};\n\ttext-rendering: optimizeLegibility; /* Enables kerning and ligatures etc. */\n\t-webkit-font-smoothing: antialiased;\n\t-moz-osx-font-smoothing: grayscale;\n}\n\nhtml:-webkit-full-screen {\n\tbackground-color: <<colour page-background>>;\n}\n\nbody.tc-body {\n\tfont-size: {{$:/themes/tiddlywiki/vanilla/metrics/fontsize}};\n\tline-height: {{$:/themes/tiddlywiki/vanilla/metrics/lineheight}};\n\tword-wrap: break-word;\n\t<<custom-background-datauri>>\n\tcolor: <<colour foreground>>;\n\tbackground-color: <<colour page-background>>;\n\tfill: <<colour foreground>>;\n}\n\n<<if-background-attachment \"\"\"\n\nbody.tc-body {\n background-color: transparent;\n}\n\n\"\"\">>\n\n/**\n * Correct the font size and margin on `h1` elements within `section` and\n * `article` contexts in Chrome, Firefox, and Safari.\n */\n\nh1 {\n\tfont-size: 2em;\n}\n\nh1, h2, h3, h4, h5, h6 {\n\tline-height: 1.2;\n\tfont-weight: 300;\n}\n\npre {\n\tdisplay: block;\n\tmargin-top: 1em;\n\tmargin-bottom: 1em;\n\tword-break: normal;\n\tword-wrap: break-word;\n\twhite-space: {{$:/themes/tiddlywiki/vanilla/options/codewrapping}};\n\tbackground-color: <<colour pre-background>>;\n\tborder: 1px solid <<colour pre-border>>;\n\tpadding: 0 3px 2px;\n\tborder-radius: 3px;\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/codefontfamily}};\n}\n\ncode {\n\tcolor: <<colour code-foreground>>;\n\tbackground-color: <<colour code-background>>;\n\tborder: 1px solid <<colour code-border>>;\n\twhite-space: {{$:/themes/tiddlywiki/vanilla/options/codewrapping}};\n\tpadding: 0 3px 2px;\n\tborder-radius: 3px;\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/codefontfamily}};\n}\n\nblockquote {\n\tborder-left: 5px solid <<colour blockquote-bar>>;\n\tmargin-left: 25px;\n\tpadding-left: 10px;\n\tquotes: \"\\201C\"\"\\201D\"\"\\2018\"\"\\2019\";\n}\n\nblockquote > div {\n\tmargin-top: 1em;\n\tmargin-bottom: 1em;\n}\n\nblockquote.tc-big-quote {\n\tfont-family: Georgia, serif;\n\tposition: relative;\n\tbackground: <<colour pre-background>>;\n\tborder-left: none;\n\tmargin-left: 50px;\n\tmargin-right: 50px;\n\tpadding: 10px;\n border-radius: 8px;\n}\n\nblockquote.tc-big-quote cite:before {\n\tcontent: \"\\2014 \\2009\";\n}\n\nblockquote.tc-big-quote:before {\n\tfont-family: Georgia, serif;\n\tcolor: <<colour blockquote-bar>>;\n\tcontent: open-quote;\n\tfont-size: 8em;\n\tline-height: 0.1em;\n\tmargin-right: 0.25em;\n\tvertical-align: -0.4em;\n\tposition: absolute;\n left: -50px;\n top: 42px;\n}\n\nblockquote.tc-big-quote:after {\n\tfont-family: Georgia, serif;\n\tcolor: <<colour blockquote-bar>>;\n\tcontent: close-quote;\n\tfont-size: 8em;\n\tline-height: 0.1em;\n\tmargin-right: 0.25em;\n\tvertical-align: -0.4em;\n\tposition: absolute;\n right: -80px;\n bottom: -20px;\n}\n\ndl dt {\n\tfont-weight: bold;\n\tmargin-top: 6px;\n}\n\nbutton, textarea, input, select {\n\toutline-color: <<colour primary>>;\n}\n\ntextarea,\ninput[type=text],\ninput[type=search],\ninput[type=\"\"],\ninput:not([type]) {\n\tcolor: <<colour foreground>>;\n\tbackground: <<colour background>>;\n}\n\ninput[type=\"checkbox\"] {\n vertical-align: middle;\n}\n\ninput[type=\"search\"]::-webkit-search-decoration,\ninput[type=\"search\"]::-webkit-search-cancel-button,\ninput[type=\"search\"]::-webkit-search-results-button,\ninput[type=\"search\"]::-webkit-search-results-decoration {\n\t-webkit-appearance:none;\n}\n\n.tc-muted {\n\tcolor: <<colour muted-foreground>>;\n}\n\nsvg.tc-image-button {\n\tpadding: 0px 1px 1px 0px;\n}\n\n.tc-icon-wrapper > svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\nkbd {\n\tdisplay: inline-block;\n\tpadding: 3px 5px;\n\tfont-size: 0.8em;\n\tline-height: 1.2;\n\tcolor: <<colour foreground>>;\n\tvertical-align: middle;\n\tbackground-color: <<colour background>>;\n\tborder: solid 1px <<colour muted-foreground>>;\n\tborder-bottom-color: <<colour muted-foreground>>;\n\tborder-radius: 3px;\n\tbox-shadow: inset 0 -1px 0 <<colour muted-foreground>>;\n}\n\n::selection {\n\tbackground-color: Highlight;\n\tcolor: HighlightText;\n\tbackground-color: <<colour selection-background>>;\n\tcolor: <<colour selection-foreground>>;\n}\n\n/*\nMarkdown likes putting code elements inside pre elements\n*/\npre > code {\n\tpadding: 0;\n\tborder: none;\n\tbackground-color: inherit;\n\tcolor: inherit;\n}\n\ntable {\n\tborder: 1px solid <<colour table-border>>;\n\twidth: auto;\n\tmax-width: 100%;\n\tcaption-side: bottom;\n\tmargin-top: 1em;\n\tmargin-bottom: 1em;\n\t/* next 2 elements needed, since normalize 8.0.1 */\n\tborder-collapse: collapse;\n\tborder-spacing: 0;\n}\n\ntable th, table td {\n\tpadding: 0 7px 0 7px;\n\tborder-top: 1px solid <<colour table-border>>;\n\tborder-left: 1px solid <<colour table-border>>;\n}\n\ntable thead tr td, table th {\n\tbackground-color: <<colour table-header-background>>;\n\tfont-weight: bold;\n}\n\ntable tfoot tr td {\n\tbackground-color: <<colour table-footer-background>>;\n}\n\n.tc-csv-table {\n\twhite-space: nowrap;\n}\n\n.tc-tiddler-frame img,\n.tc-tiddler-frame svg,\n.tc-tiddler-frame canvas,\n.tc-tiddler-frame embed,\n.tc-tiddler-frame iframe {\n\tmax-width: 100%;\n}\n\n.tc-tiddler-body > embed,\n.tc-tiddler-body > iframe {\n\twidth: 100%;\n\theight: 600px;\n}\n\n/*\n** Links\n*/\n\nbutton.tc-tiddlylink,\na.tc-tiddlylink {\n\ttext-decoration: none;\n\tfont-weight: 500;\n\tcolor: <<colour tiddler-link-foreground>>;\n\t-webkit-user-select: inherit; /* Otherwise the draggable attribute makes links impossible to select */\n}\n\n.tc-sidebar-lists a.tc-tiddlylink {\n\tcolor: <<colour sidebar-tiddler-link-foreground>>;\n}\n\n.tc-sidebar-lists a.tc-tiddlylink:hover {\n\tcolor: <<colour sidebar-tiddler-link-foreground-hover>>;\n}\n\nbutton.tc-tiddlylink:hover,\na.tc-tiddlylink:hover {\n\ttext-decoration: underline;\n}\n\na.tc-tiddlylink-resolves {\n}\n\na.tc-tiddlylink-shadow {\n\tfont-weight: bold;\n}\n\na.tc-tiddlylink-shadow.tc-tiddlylink-resolves {\n\tfont-weight: normal;\n}\n\na.tc-tiddlylink-missing {\n\tfont-style: italic;\n}\n\na.tc-tiddlylink-external {\n\ttext-decoration: underline;\n\tcolor: <<colour external-link-foreground>>;\n\tbackground-color: <<colour external-link-background>>;\n}\n\na.tc-tiddlylink-external:visited {\n\tcolor: <<colour external-link-foreground-visited>>;\n\tbackground-color: <<colour external-link-background-visited>>;\n}\n\na.tc-tiddlylink-external:hover {\n\tcolor: <<colour external-link-foreground-hover>>;\n\tbackground-color: <<colour external-link-background-hover>>;\n}\n\n.tc-drop-down a.tc-tiddlylink:hover {\n\tcolor: <<colour tiddler-link-background>>;\n}\n\n/*\n** Drag and drop styles\n*/\n\n.tc-tiddler-dragger {\n\tposition: relative;\n\tz-index: -10000;\n}\n\n.tc-tiddler-dragger-inner {\n\tposition: absolute;\n\ttop: -1000px;\n\tleft: -1000px;\n\tdisplay: inline-block;\n\tpadding: 8px 20px;\n\tfont-size: 16.9px;\n\tfont-weight: bold;\n\tline-height: 20px;\n\tcolor: <<colour dragger-foreground>>;\n\ttext-shadow: 0 1px 0 rgba(0, 0, 0, 1);\n\twhite-space: nowrap;\n\tvertical-align: baseline;\n\tbackground-color: <<colour dragger-background>>;\n\tborder-radius: 20px;\n}\n\n.tc-tiddler-dragger-cover {\n\tposition: absolute;\n\tbackground-color: <<colour page-background>>;\n}\n\n.tc-page-container > .tc-dropzone {\n\tmin-height: 100vh;\n}\n\n.tc-dropzone {\n\tposition: relative;\n}\n\n.tc-dropzone.tc-dragover:before {\n\tz-index: 10000;\n\tdisplay: block;\n\tposition: fixed;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbackground: <<colour dropzone-background>>;\n\ttext-align: center;\n\tcontent: \"<<lingo DropMessage>>\";\n}\n\n.tc-droppable > .tc-droppable-placeholder {\n\tdisplay: none;\n}\n\n.tc-droppable.tc-dragover > .tc-droppable-placeholder {\n\tdisplay: block;\n\tborder: 2px dashed <<colour dropzone-background>>;\n}\n\n.tc-draggable {\n\tcursor: move;\n}\n\n.tc-sidebar-tab-open .tc-droppable-placeholder, .tc-tagged-draggable-list .tc-droppable-placeholder,\n.tc-links-draggable-list .tc-droppable-placeholder {\n\tline-height: 2em;\n\theight: 2em;\n}\n\n.tc-sidebar-tab-open-item {\n\tposition: relative;\n}\n\n.tc-sidebar-tab-open .tc-btn-invisible.tc-btn-mini svg {\n\tfont-size: 0.7em;\n\tfill: <<colour muted-foreground>>;\n}\n\n/*\n** Plugin reload warning\n*/\n\n.tc-plugin-reload-warning {\n\tz-index: 1000;\n\tdisplay: block;\n\tposition: fixed;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbackground: <<colour alert-background>>;\n\ttext-align: center;\n}\n\n/*\n** Buttons\n*/\n\nbutton svg, button img, label svg, label img {\n\tvertical-align: middle;\n}\n\n.tc-btn-invisible {\n\tpadding: 0;\n\tmargin: 0;\n\tbackground: none;\n\tborder: none;\n\tcursor: pointer;\n\tcolor: <<colour foreground>>;\n\tfill: <<colour foreground>>;\n}\n\n.tc-btn-boxed {\n\tfont-size: 0.6em;\n\tpadding: 0.2em;\n\tmargin: 1px;\n\tbackground: none;\n\tborder: 1px solid <<colour tiddler-controls-foreground>>;\n\tborder-radius: 0.25em;\n}\n\nhtml body.tc-body .tc-btn-boxed svg {\n\tfont-size: 1.6666em;\n}\n\n.tc-btn-boxed:hover {\n\tbackground: <<colour muted-foreground>>;\n\tcolor: <<colour background>>;\n}\n\nhtml body.tc-body .tc-btn-boxed:hover svg {\n\tfill: <<colour background>>;\n}\n\n.tc-btn-rounded {\n\tfont-size: 0.5em;\n\tline-height: 2;\n\tpadding: 0em 0.3em 0.2em 0.4em;\n\tmargin: 1px;\n\tborder: 1px solid <<colour muted-foreground>>;\n\tbackground: <<colour muted-foreground>>;\n\tcolor: <<colour background>>;\n\tborder-radius: 2em;\n}\n\nhtml body.tc-body .tc-btn-rounded svg {\n\tfont-size: 1.6666em;\n\tfill: <<colour background>>;\n}\n\n.tc-btn-rounded:hover {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tbackground: <<colour background>>;\n\tcolor: <<colour muted-foreground>>;\n}\n\nhtml body.tc-body .tc-btn-rounded:hover svg {\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-btn-icon svg {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-btn-text {\n\tpadding: 0;\n\tmargin: 0;\n}\n\n/* used for documentation \"fake\" buttons */\n.tc-btn-standard {\n\tline-height: 1.8;\n\tcolor: #667;\n\tbackground-color: #e0e0e0;\n\tborder: 1px solid #888;\n\tpadding: 2px 1px 2px 1px;\n\tmargin: 1px 4px 1px 4px;\n}\n\n.tc-btn-big-green {\n\tdisplay: inline-block;\n\tpadding: 8px;\n\tmargin: 4px 8px 4px 8px;\n\tbackground: <<colour download-background>>;\n\tcolor: <<colour download-foreground>>;\n\tfill: <<colour download-foreground>>;\n\tborder: none;\n\tborder-radius: 2px;\n\tfont-size: 1.2em;\n\tline-height: 1.4em;\n\ttext-decoration: none;\n}\n\n.tc-btn-big-green svg,\n.tc-btn-big-green img {\n\theight: 2em;\n\twidth: 2em;\n\tvertical-align: middle;\n\tfill: <<colour download-foreground>>;\n}\n\n.tc-primary-btn {\n \tbackground: <<colour primary>>;\n}\n\n.tc-sidebar-lists input {\n\tcolor: <<colour foreground>>;\n}\n\n.tc-sidebar-lists button {\n\tcolor: <<colour sidebar-button-foreground>>;\n\tfill: <<colour sidebar-button-foreground>>;\n}\n\n.tc-sidebar-lists button.tc-btn-mini {\n\tcolor: <<colour sidebar-muted-foreground>>;\n}\n\n.tc-sidebar-lists button.tc-btn-mini:hover {\n\tcolor: <<colour sidebar-muted-foreground-hover>>;\n}\n\n.tc-sidebar-lists button small {\n\tcolor: <<colour foreground>>;\n}\n\nbutton svg.tc-image-button, button .tc-image-button img {\n\theight: 1em;\n\twidth: 1em;\n}\n\n.tc-unfold-banner {\n\tposition: absolute;\n\tpadding: 0;\n\tmargin: 0;\n\tbackground: none;\n\tborder: none;\n\twidth: 100%;\n\twidth: calc(100% + 2px);\n\tmargin-left: -43px;\n\ttext-align: center;\n\tborder-top: 2px solid <<colour tiddler-info-background>>;\n\tmargin-top: 4px;\n}\n\n.tc-unfold-banner:hover {\n\tbackground: <<colour tiddler-info-background>>;\n\tborder-top: 2px solid <<colour tiddler-info-border>>;\n}\n\n.tc-unfold-banner svg, .tc-fold-banner svg {\n\theight: 0.75em;\n\tfill: <<colour tiddler-controls-foreground>>;\n}\n\n.tc-unfold-banner:hover svg, .tc-fold-banner:hover svg {\n\tfill: <<colour tiddler-controls-foreground-hover>>;\n}\n\n.tc-fold-banner {\n\tposition: absolute;\n\tpadding: 0;\n\tmargin: 0;\n\tbackground: none;\n\tborder: none;\n\twidth: 23px;\n\ttext-align: center;\n\tmargin-left: -35px;\n\ttop: 6px;\n\tbottom: 6px;\n}\n\n.tc-fold-banner:hover {\n\tbackground: <<colour tiddler-info-background>>;\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\n\t.tc-unfold-banner {\n\t\tposition: static;\n\t\twidth: calc(100% + 59px);\n\t}\n\n\t.tc-fold-banner {\n\t\twidth: 16px;\n\t\tmargin-left: -16px;\n\t\tfont-size: 0.75em;\n\t}\n\n}\n\n/*\n** Tags and missing tiddlers\n*/\n\n.tc-tag-list-item {\n\tposition: relative;\n\tdisplay: inline-block;\n}\n\n.tc-tags-wrapper {\n\tmargin: 4px 0 14px 0;\n}\n\n.tc-tags-wrapper .tc-tag-list-item {\n\tmargin-right: 7px;\n}\n\n.tc-missing-tiddler-label {\n\tfont-style: italic;\n\tfont-weight: normal;\n\tdisplay: inline-block;\n\tfont-size: 11.844px;\n\tline-height: 14px;\n\twhite-space: nowrap;\n\tvertical-align: baseline;\n}\n\n.tc-block-tags-dropdown > .tc-btn-invisible:hover {\n\tbackground-color: <<colour primary>>;\n}\n\nbutton.tc-tag-label, span.tc-tag-label {\n\tdisplay: inline-block;\n\tpadding: 0.16em 0.7em;\n\tfont-size: 0.9em;\n\tfont-weight: 400;\n\tline-height: 1.2em;\n\tcolor: <<colour tag-foreground>>;\n\twhite-space: nowrap;\n\tvertical-align: baseline;\n\tbackground-color: <<colour tag-background>>;\n\tborder-radius: 1em;\n}\n\n.tc-sidebar-scrollable .tc-tag-label {\n\ttext-shadow: none;\n}\n\n.tc-untagged-separator {\n\twidth: 10em;\n\tleft: 0;\n\tmargin-left: 0;\n\tborder: 0;\n\theight: 1px;\n\tbackground: <<colour tab-divider>>;\n}\n\nbutton.tc-untagged-label {\n\tbackground-color: <<colour untagged-background>>;\n}\n\n.tc-tag-label svg, .tc-tag-label img {\n\theight: 1em;\n\twidth: 1em;\n\tmargin-right: 3px; \n\tmargin-bottom: 1px;\n\tvertical-align: bottom;\n}\n\n.tc-edit-tags button.tc-remove-tag-button svg {\n\tfont-size: 0.7em;\n\tvertical-align: middle;\n}\n\n.tc-tag-manager-table .tc-tag-label {\n\twhite-space: normal;\n}\n\n.tc-tag-manager-tag {\n\twidth: 100%;\n}\n\nbutton.tc-btn-invisible.tc-remove-tag-button {\n\toutline: none;\n}\n\n.tc-tag-button-selected,\n.tc-list-item-selected a.tc-tiddlylink, a.tc-list-item-selected {\n\tbackground-color: <<colour primary>>;\n\tcolor: <<colour tiddler-background>>;\n}\n\n/*\n** Page layout\n*/\n\n.tc-topbar {\n\tposition: fixed;\n\tz-index: 1200;\n}\n\n.tc-topbar-left {\n\tleft: 29px;\n\ttop: 5px;\n}\n\n.tc-topbar-right {\n\ttop: 5px;\n\tright: 29px;\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\n\t.tc-topbar-right {\n\t\tright: 10px;\n\t}\n\n}\n\n.tc-topbar button {\n\tpadding: 8px;\n}\n\n.tc-topbar svg {\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-topbar button:hover svg {\n\tfill: <<colour foreground>>;\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\n\t.tc-show-sidebar-btn svg.tc-image-chevron-left, .tc-hide-sidebar-btn svg.tc-image-chevron-right {\n\t\ttransform: rotate(-90deg);\n\t}\n\n}\n\n.tc-sidebar-header {\n\tcolor: <<colour sidebar-foreground>>;\n\tfill: <<colour sidebar-foreground>>;\n}\n\n.tc-sidebar-header .tc-title a.tc-tiddlylink-resolves {\n\tfont-weight: 300;\n}\n\n.tc-sidebar-header .tc-sidebar-lists p {\n\tmargin-top: 3px;\n\tmargin-bottom: 3px;\n}\n\n.tc-sidebar-header .tc-missing-tiddler-label {\n\tcolor: <<colour sidebar-foreground>>;\n}\n\n.tc-advanced-search input {\n\twidth: 60%;\n}\n\n.tc-search a svg {\n\twidth: 1.2em;\n\theight: 1.2em;\n\tvertical-align: middle;\n}\n\n.tc-page-controls {\n\tmargin-top: 14px;\n\tfont-size: 1.5em;\n}\n\n.tc-page-controls .tc-drop-down {\n font-size: 1rem;\n}\n\n.tc-page-controls button {\n\tmargin-right: 0.5em;\n}\n\n.tc-page-controls a.tc-tiddlylink:hover {\n\ttext-decoration: none;\n}\n\n.tc-page-controls img {\n\twidth: 1em;\n}\n\n.tc-page-controls svg {\n\tfill: <<colour sidebar-controls-foreground>>;\n}\n\n.tc-page-controls button:hover svg, .tc-page-controls a:hover svg {\n\tfill: <<colour sidebar-controls-foreground-hover>>;\n}\n\n.tc-sidebar-lists .tc-menu-list-item {\n\twhite-space: nowrap;\n}\n\n.tc-menu-list-count {\n\tfont-weight: bold;\n}\n\n.tc-menu-list-subitem {\n\tpadding-left: 7px;\n}\n\n.tc-story-river {\n\tposition: relative;\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\n\t.tc-sidebar-header {\n\t\tpadding: 14px;\n\t\tmin-height: 32px;\n\t\tmargin-top: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};\n\t\ttransition: min-height {{$:/config/AnimationDuration}}ms ease-in-out, padding-top {{$:/config/AnimationDuration}}ms ease-in-out, padding-bottom {{$:/config/AnimationDuration}}ms ease-in-out;\n\t}\n\t\n\t<<if-no-sidebar \"\"\"\n\n\t\t.tc-sidebar-header {\n\t\t\tmin-height: 0;\n\t\t\tpadding-top: 0;\n\t\t\tpadding-bottom: 0;\n\t\t}\n\n\t\"\"\">>\n\n\t.tc-story-river {\n\t\tposition: relative;\n\t\tpadding: 0;\n\t}\n}\n\n@media (min-width: <<sidebarbreakpoint>>) {\n\n\t.tc-message-box {\n\t\tmargin: 21px -21px 21px -21px;\n\t}\n\n\t.tc-sidebar-scrollable {\n\t\tposition: fixed;\n\t\ttop: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};\n\t\tleft: {{$:/themes/tiddlywiki/vanilla/metrics/storyright}};\n\t\tbottom: 0;\n\t\tright: 0;\n\t\toverflow-y: auto;\n\t\toverflow-x: auto;\n\t\t-webkit-overflow-scrolling: touch;\n\t\tmargin: 0 0 0 -42px;\n\t\tpadding: 71px 0 28px 42px;\n\t}\n\n\thtml[dir=\"rtl\"] .tc-sidebar-scrollable {\n\t\tleft: auto;\n\t\tright: {{$:/themes/tiddlywiki/vanilla/metrics/storyright}};\n\t}\n\n\t.tc-story-river {\n\t\tposition: relative;\n\t\tleft: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};\n\t\ttop: {{$:/themes/tiddlywiki/vanilla/metrics/storytop}};\n\t\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/storywidth}};\n\t\tpadding: 42px 42px 42px 42px;\n\t}\n\n<<if-no-sidebar \"\n\n\t.tc-story-river {\n\t\twidth: calc(100% - {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}});\n\t}\n\n\">>\n\n\t.tc-story-river.tc-static-story-river {\n\t\tmargin-right: 0;\n\t\tpadding-right: 42px;\n\t}\n\n}\n\n@media print {\n\n\tbody.tc-body {\n\t\tbackground-color: transparent;\n\t}\n\n\t.tc-sidebar-header, .tc-topbar {\n\t\tdisplay: none;\n\t}\n\n\t.tc-story-river {\n\t\tmargin: 0;\n\t\tpadding: 0;\n\t}\n\n\t.tc-story-river .tc-tiddler-frame {\n\t\tmargin: 0;\n\t\tborder: none;\n\t\tpadding: 0;\n\t}\n}\n\n/*\n** Tiddler styles\n*/\n\n.tc-tiddler-frame {\n\tposition: relative;\n\tmargin-bottom: 28px;\n\tbackground-color: <<colour tiddler-background>>;\n\tborder: 1px solid <<colour tiddler-border>>;\n}\n\n{{$:/themes/tiddlywiki/vanilla/sticky}}\n\n.tc-tiddler-info {\n\toverflow: hidden;\n\tpadding: 14px 42px 14px 42px;\n\tbackground-color: <<colour tiddler-info-background>>;\n\tborder-top: 1px solid <<colour tiddler-info-border>>;\n\tborder-bottom: 1px solid <<colour tiddler-info-border>>;\n}\n\n.tc-tiddler-info p {\n\tmargin-top: 3px;\n\tmargin-bottom: 3px;\n}\n\n.tc-tiddler-info .tc-tab-buttons button.tc-tab-selected {\n\tbackground-color: <<colour tiddler-info-tab-background>>;\n\tborder-bottom: 1px solid <<colour tiddler-info-tab-background>>;\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\n\t.tc-tiddler-info {\n\t\tpadding: 14px 14px 14px 14px;\n\t}\n\n}\n\n.tc-view-field-table {\n\twidth: 100%;\n}\n\n.tc-view-field-name {\n\twidth: 1%; /* Makes this column be as narrow as possible */\n\ttext-align: right;\n\tfont-style: italic;\n\tfont-weight: 200;\n}\n\n.tc-view-field-value {\n}\n\n@media (max-width: <<sidebarbreakpoint-minus-one>>) {\n\t.tc-tiddler-frame {\n\t\tpadding: 14px 14px 14px 14px;\n\t\tmargin-bottom: .5em;\n\t}\n\n\t.tc-tiddler-info {\n\t\tmargin: 0 -14px 0 -14px;\n\t}\n}\n\n@media (min-width: <<sidebarbreakpoint>>) {\n\t.tc-tiddler-frame {\n\t\tpadding: 28px 42px 42px 42px;\n\t\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth}};\n\t\tborder-radius: 2px;\n\t}\n\n<<if-no-sidebar \"\n\n\t.tc-tiddler-frame {\n\t\twidth: 100%;\n\t}\n\n\">>\n\n\t.tc-tiddler-info {\n\t\tmargin: 0 -42px 0 -42px;\n\t}\n}\n\n.tc-site-title,\n.tc-titlebar {\n\tfont-weight: 300;\n\tfont-size: 2.35em;\n\tline-height: 1.35em;\n\tcolor: <<colour tiddler-title-foreground>>;\n\tmargin: 0;\n}\n\n.tc-site-title {\n\tcolor: <<colour site-title-foreground>>;\n}\n\n.tc-tiddler-title-icon {\n\tvertical-align: middle;\n\tmargin-right: .1em;\n}\n\n.tc-system-title-prefix {\n\tcolor: <<colour muted-foreground>>;\n}\n\n.tc-titlebar h2 {\n\tfont-size: 1em;\n\tdisplay: inline;\n}\n\n.tc-titlebar img {\n\theight: 1em;\n}\n\n.tc-subtitle {\n\tfont-size: 0.9em;\n\tcolor: <<colour tiddler-subtitle-foreground>>;\n\tfont-weight: 300;\n}\n\n.tc-subtitle .tc-tiddlylink {\n\tmargin-right: .3em;\n}\n\n.tc-tiddler-missing .tc-title {\n font-style: italic;\n font-weight: normal;\n}\n\n.tc-tiddler-frame .tc-tiddler-controls {\n\tfloat: right;\n}\n\n.tc-tiddler-controls .tc-drop-down {\n\tfont-size: 0.6em;\n}\n\n.tc-tiddler-controls .tc-drop-down .tc-drop-down {\n\tfont-size: 1em;\n}\n\n.tc-tiddler-controls > span > button,\n.tc-tiddler-controls > span > span > button,\n.tc-tiddler-controls > span > span > span > button {\n\tvertical-align: baseline;\n\tmargin-left:5px;\n}\n\n.tc-tiddler-controls button svg, .tc-tiddler-controls button img,\n.tc-search button svg, .tc-search a svg {\n\tfill: <<colour tiddler-controls-foreground>>;\n}\n\n.tc-tiddler-controls button svg, .tc-tiddler-controls button img {\n\theight: 0.75em;\n}\n\n.tc-search button svg, .tc-search a svg {\n height: 1.2em;\n width: 1.2em;\n margin: 0 0.25em;\n}\n\n.tc-tiddler-controls button.tc-selected svg,\n.tc-page-controls button.tc-selected svg {\n\tfill: <<colour tiddler-controls-foreground-selected>>;\n}\n\n.tc-tiddler-controls button.tc-btn-invisible:hover svg,\n.tc-search button:hover svg, .tc-search a:hover svg {\n\tfill: <<colour tiddler-controls-foreground-hover>>;\n}\n\n@media print {\n\t.tc-tiddler-controls {\n\t\tdisplay: none;\n\t}\n}\n\n.tc-tiddler-help { /* Help prompts within tiddler template */\n\tcolor: <<colour muted-foreground>>;\n\tmargin-top: 14px;\n}\n\n.tc-tiddler-help a.tc-tiddlylink {\n\tcolor: <<colour very-muted-foreground>>;\n}\n\n.tc-tiddler-frame .tc-edit-texteditor {\n\twidth: 100%;\n\tmargin: 4px 0 4px 0;\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor,\n.tc-tiddler-frame textarea.tc-edit-texteditor,\n.tc-tiddler-frame iframe.tc-edit-texteditor {\n\tpadding: 3px 3px 3px 3px;\n\tborder: 1px solid <<colour tiddler-editor-border>>;\n\tline-height: 1.3em;\n\t-webkit-appearance: none;\n\tfont-family: {{$:/themes/tiddlywiki/vanilla/settings/editorfontfamily}};\n}\n\n.tc-tiddler-frame input.tc-edit-texteditor,\n.tc-tiddler-frame textarea.tc-edit-texteditor {\n\tbackground-color: <<colour tiddler-editor-background>>;\n}\n\n.tc-tiddler-frame iframe.tc-edit-texteditor {\n\tbackground-color: <<colour tiddler-background>>;\n}\n\n.tc-tiddler-frame .tc-binary-warning {\n\twidth: 100%;\n\theight: 5em;\n\ttext-align: center;\n\tpadding: 3em 3em 6em 3em;\n\tbackground: <<colour alert-background>>;\n\tborder: 1px solid <<colour alert-border>>;\n}\n\ncanvas.tc-edit-bitmapeditor {\n\tborder: 6px solid <<colour tiddler-editor-border-image>>;\n\tcursor: crosshair;\n\t-moz-user-select: none;\n\t-webkit-user-select: none;\n\t-ms-user-select: none;\n\tmargin-top: 6px;\n\tmargin-bottom: 6px;\n}\n\n.tc-edit-bitmapeditor-width {\n\tdisplay: block;\n}\n\n.tc-edit-bitmapeditor-height {\n\tdisplay: block;\n}\n\n.tc-tiddler-body {\n\tclear: both;\n}\n\n.tc-tiddler-frame .tc-tiddler-body {\n\tfont-size: {{$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize}};\n\tline-height: {{$:/themes/tiddlywiki/vanilla/metrics/bodylineheight}};\n}\n\n.tc-titlebar, .tc-tiddler-edit-title {\n\toverflow: hidden; /* https://github.com/Jermolene/TiddlyWiki5/issues/282 */\n}\n\nhtml body.tc-body.tc-single-tiddler-window {\n\tmargin: 1em;\n\tbackground: <<colour tiddler-background>>;\n}\n\n.tc-single-tiddler-window img,\n.tc-single-tiddler-window svg,\n.tc-single-tiddler-window canvas,\n.tc-single-tiddler-window embed,\n.tc-single-tiddler-window iframe {\n\tmax-width: 100%;\n}\n\n/*\n** Editor\n*/\n\n.tc-editor-toolbar {\n\tmargin-top: 8px;\n}\n\n.tc-editor-toolbar button {\n\tvertical-align: middle;\n\tbackground-color: <<colour tiddler-controls-foreground>>;\n\tcolor: <<colour tiddler-controls-foreground-selected>>;\n\tfill: <<colour tiddler-controls-foreground-selected>>;\n\tborder-radius: 4px;\n\tpadding: 3px;\n\tmargin: 2px 0 2px 4px;\n}\n\n.tc-editor-toolbar button.tc-text-editor-toolbar-item-adjunct {\n\tmargin-left: 1px;\n\twidth: 1em;\n\tborder-radius: 8px;\n}\n\n.tc-editor-toolbar button.tc-text-editor-toolbar-item-start-group {\n\tmargin-left: 11px;\n}\n\n.tc-editor-toolbar button.tc-selected {\n\tbackground-color: <<colour primary>>;\n}\n\n.tc-editor-toolbar button svg {\n\twidth: 1.6em;\n\theight: 1.2em;\n}\n\n.tc-editor-toolbar button:hover {\n\tbackground-color: <<colour tiddler-controls-foreground-selected>>;\n\tfill: <<colour background>>;\n\tcolor: <<colour background>>;\n}\n\n.tc-editor-toolbar .tc-text-editor-toolbar-more {\n\twhite-space: normal;\n}\n\n.tc-editor-toolbar .tc-text-editor-toolbar-more button {\n\tdisplay: inline-block;\n\tpadding: 3px;\n\twidth: auto;\n}\n\n.tc-editor-toolbar .tc-search-results {\n\tpadding: 0;\n}\n\n/*\n** Adjustments for fluid-fixed mode\n*/\n\n@media (min-width: <<sidebarbreakpoint>>) {\n\n<<if-fluid-fixed text:\"\"\"\n\n\t.tc-story-river {\n\t\tpadding-right: 0;\n\t\tposition: relative;\n\t\twidth: auto;\n\t\tleft: 0;\n\t\tmargin-left: {{$:/themes/tiddlywiki/vanilla/metrics/storyleft}};\n\t\tmargin-right: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth}};\n\t}\n\n\t.tc-tiddler-frame {\n\t\twidth: 100%;\n\t}\n\n\t.tc-sidebar-scrollable {\n\t\tleft: auto;\n\t\tbottom: 0;\n\t\tright: 0;\n\t\twidth: {{$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth}};\n\t}\n\n\tbody.tc-body .tc-storyview-zoomin-tiddler {\n\t\twidth: 100%;\n\t\twidth: calc(100% - 42px);\n\t}\n\n\"\"\" hiddenSidebarText:\"\"\"\n\n\t.tc-story-river {\n\t\tpadding-right: 3em;\n\t\tmargin-right: 0;\n\t}\n\n\tbody.tc-body .tc-storyview-zoomin-tiddler {\n\t\twidth: 100%;\n\t\twidth: calc(100% - 84px);\n\t}\n\n\"\"\">>\n\n}\n\n/*\n** Toolbar buttons\n*/\n\n.tc-page-controls svg.tc-image-new-button {\n fill: <<colour toolbar-new-button>>;\n}\n\n.tc-page-controls svg.tc-image-options-button {\n fill: <<colour toolbar-options-button>>;\n}\n\n.tc-page-controls svg.tc-image-save-button {\n fill: <<colour toolbar-save-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-info-button {\n fill: <<colour toolbar-info-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-edit-button {\n fill: <<colour toolbar-edit-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-close-button {\n fill: <<colour toolbar-close-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-delete-button {\n fill: <<colour toolbar-delete-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-cancel-button {\n fill: <<colour toolbar-cancel-button>>;\n}\n\n.tc-tiddler-controls button svg.tc-image-done-button {\n fill: <<colour toolbar-done-button>>;\n}\n\n/*\n** Tiddler edit mode\n*/\n\n.tc-tiddler-edit-frame em.tc-edit {\n\tcolor: <<colour muted-foreground>>;\n\tfont-style: normal;\n}\n\n.tc-edit-type-dropdown a.tc-tiddlylink-missing {\n\tfont-style: normal;\n}\n\n.tc-type-selector .tc-edit-typeeditor {\n\twidth: auto;\n}\n\n.tc-type-selector-dropdown-wrapper {\n\tdisplay: inline-block;\n}\n\n<<set-type-selector-min-width>>\n\n.tc-edit-tags {\n\tborder: 1px solid <<colour tiddler-editor-border>>;\n\tpadding: 4px 8px 4px 8px;\n}\n\n.tc-edit-add-tag {\n\tdisplay: inline-block;\n}\n\n.tc-edit-add-tag .tc-add-tag-name input {\n\twidth: 50%;\n}\n\n.tc-edit-add-tag .tc-keyboard {\n\tdisplay:inline;\n}\n\n.tc-edit-tags .tc-tag-label {\n\tdisplay: inline-block;\n}\n\n.tc-edit-tags-list {\n\tmargin: 14px 0 14px 0;\n}\n\n.tc-remove-tag-button {\n\tpadding-left: 4px;\n}\n\n.tc-tiddler-preview {\n\toverflow: auto;\n}\n\n.tc-tiddler-preview-preview {\n\tfloat: right;\n\twidth: 49%;\n\tborder: 1px solid <<colour tiddler-editor-border>>;\n\tmargin: 4px 0 3px 3px;\n\tpadding: 3px 3px 3px 3px;\n}\n\n<<if-editor-height-fixed then:\"\"\"\n\n.tc-tiddler-preview-preview {\n\toverflow-y: scroll;\n\theight: {{$:/config/TextEditor/EditorHeight/Height}};\n}\n\n\"\"\">>\n\n.tc-tiddler-frame .tc-tiddler-preview .tc-edit-texteditor {\n\twidth: 49%;\n}\n\n.tc-tiddler-frame .tc-tiddler-preview canvas.tc-edit-bitmapeditor {\n\tmax-width: 49%;\n}\n\n.tc-edit-fields {\n\twidth: 100%;\n}\n\n.tc-edit-fields.tc-edit-fields-small {\n\tmargin-top: 0;\n\tmargin-bottom: 0;\n}\n\n.tc-edit-fields table, .tc-edit-fields tr, .tc-edit-fields td {\n\tborder: none;\n\tpadding: 4px;\n}\n\n.tc-edit-fields > tbody > .tc-edit-field:nth-child(odd) {\n\tbackground-color: <<colour tiddler-editor-fields-odd>>;\n}\n\n.tc-edit-fields > tbody > .tc-edit-field:nth-child(even) {\n\tbackground-color: <<colour tiddler-editor-fields-even>>;\n}\n\n.tc-edit-field-name {\n\ttext-align: right;\n}\n\n.tc-edit-field-value input {\n\twidth: 100%;\n}\n\n.tc-edit-field-remove {\n}\n\n.tc-edit-field-remove svg {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour muted-foreground>>;\n\tvertical-align: middle;\n}\n\n.tc-edit-field-add-name-wrapper input.tc-edit-texteditor {\n\twidth: auto;\n}\n\n.tc-edit-field-add-name-wrapper {\n\tdisplay: inline-block;\n}\n\n.tc-edit-field-add-value {\n\tdisplay: inline-block;\n}\n\n@media (min-width: <<sidebarbreakpoint>>) {\n\n\t.tc-edit-field-add-value {\n\t\twidth: 35%;\n\t}\n\n}\n\n.tc-edit-field-add-button {\n\tdisplay: inline-block;\n\twidth: 10%;\n}\n\n/*\n** Storyview Classes\n*/\n\n.tc-viewswitcher .tc-image-button {\n\tmargin-right: .3em;\n}\n\n.tc-storyview-zoomin-tiddler {\n\tposition: absolute;\n\tdisplay: block;\n\twidth: 100%;\n}\n\n@media (min-width: <<sidebarbreakpoint>>) {\n\n\t.tc-storyview-zoomin-tiddler {\n\t\twidth: calc(100% - 84px);\n\t}\n\n}\n\n/*\n** Dropdowns\n*/\n\n.tc-btn-dropdown {\n\ttext-align: left;\n}\n\n.tc-btn-dropdown svg, .tc-btn-dropdown img {\n\theight: 1em;\n\twidth: 1em;\n\tfill: <<colour muted-foreground>>;\n}\n\n.tc-drop-down-wrapper {\n\tposition: relative;\n}\n\n.tc-drop-down {\n\tmin-width: 380px;\n\tborder: 1px solid <<colour dropdown-border>>;\n\tbackground-color: <<colour dropdown-background>>;\n\tpadding: 7px 0 7px 0;\n\tmargin: 4px 0 0 0;\n\twhite-space: nowrap;\n\ttext-shadow: none;\n\tline-height: 1.4;\n}\n\n.tc-drop-down .tc-drop-down {\n\tmargin-left: 14px;\n}\n\n.tc-drop-down button svg, .tc-drop-down a svg {\n\tfill: <<colour foreground>>;\n}\n\n.tc-drop-down button.tc-btn-invisible:hover svg {\n\tfill: <<colour background>>;\n}\n\n.tc-drop-down .tc-drop-down-info {\n\tpadding-left: 14px;\n}\n\n.tc-drop-down p {\n\tpadding: 0 14px 0 14px;\n}\n\n.tc-drop-down svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\n.tc-drop-down img {\n\twidth: 1em;\n}\n\n.tc-drop-down a, .tc-drop-down button {\n\tdisplay: block;\n\tpadding: 0 14px 0 14px;\n\twidth: 100%;\n\ttext-align: left;\n\tcolor: <<colour foreground>>;\n\tline-height: 1.4;\n}\n\n.tc-drop-down .tc-tab-set .tc-tab-buttons button {\n\tdisplay: inline-block;\n width: auto;\n margin-bottom: 0px;\n border-bottom-left-radius: 0;\n border-bottom-right-radius: 0;\n}\n\n.tc-drop-down .tc-prompt {\n\tpadding: 0 14px;\n}\n\n.tc-drop-down .tc-chooser {\n\tborder: none;\n}\n\n.tc-drop-down .tc-chooser .tc-swatches-horiz {\n\tfont-size: 0.4em;\n\tpadding-left: 1.2em;\n}\n\n.tc-drop-down .tc-file-input-wrapper {\n\twidth: 100%;\n}\n\n.tc-drop-down .tc-file-input-wrapper button {\n\tcolor: <<colour foreground>>;\n}\n\n.tc-drop-down a:hover, .tc-drop-down button:hover, .tc-drop-down .tc-file-input-wrapper:hover button {\n\tcolor: <<colour tiddler-link-background>>;\n\tbackground-color: <<colour tiddler-link-foreground>>;\n\ttext-decoration: none;\n}\n\n.tc-drop-down .tc-tab-buttons button {\n\tbackground-color: <<colour dropdown-tab-background>>;\n}\n\n.tc-drop-down .tc-tab-buttons button.tc-tab-selected {\n\tbackground-color: <<colour dropdown-tab-background-selected>>;\n\tborder-bottom: 1px solid <<colour dropdown-tab-background-selected>>;\n}\n\n.tc-drop-down-bullet {\n\tdisplay: inline-block;\n\twidth: 0.5em;\n}\n\n.tc-drop-down .tc-tab-contents a {\n\tpadding: 0 0.5em 0 0.5em;\n}\n\n.tc-block-dropdown-wrapper {\n\tposition: relative;\n}\n\n.tc-block-dropdown {\n\tposition: absolute;\n\tmin-width: 220px;\n\tborder: 1px solid <<colour dropdown-border>>;\n\tbackground-color: <<colour dropdown-background>>;\n\tpadding: 7px 0;\n\tmargin: 4px 0 0 0;\n\twhite-space: nowrap;\n\tz-index: 1000;\n\ttext-shadow: none;\n}\n\n.tc-block-dropdown.tc-search-drop-down {\n\tmargin-left: -12px;\n}\n\n.tc-block-dropdown a {\n\tdisplay: block;\n\tpadding: 4px 14px 4px 14px;\n}\n\n.tc-block-dropdown.tc-search-drop-down a {\n\tdisplay: block;\n\tpadding: 0px 10px 0px 10px;\n}\n\n.tc-drop-down .tc-dropdown-item-plain,\n.tc-block-dropdown .tc-dropdown-item-plain {\n\tpadding: 4px 14px 4px 7px;\n}\n\n.tc-drop-down .tc-dropdown-item,\n.tc-block-dropdown .tc-dropdown-item {\n\tpadding: 4px 14px 4px 7px;\n\tcolor: <<colour muted-foreground>>;\n}\n\n.tc-block-dropdown a.tc-tiddlylink:hover {\n\tcolor: <<colour tiddler-link-background>>;\n\tbackground-color: <<colour tiddler-link-foreground>>;\n\ttext-decoration: none;\n}\n\n.tc-search-results {\n\tpadding: 0 7px 0 7px;\n}\n\n.tc-image-chooser, .tc-colour-chooser {\n\twhite-space: normal;\n}\n\n.tc-image-chooser a,\n.tc-colour-chooser a {\n\tdisplay: inline-block;\n\tvertical-align: top;\n\ttext-align: center;\n\tposition: relative;\n}\n\n.tc-image-chooser a {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tpadding: 2px;\n\tmargin: 2px;\n\twidth: 4em;\n\theight: 4em;\n}\n\n.tc-colour-chooser a {\n\tpadding: 3px;\n\twidth: 2em;\n\theight: 2em;\n\tvertical-align: middle;\n}\n\n.tc-image-chooser a:hover,\n.tc-colour-chooser a:hover {\n\tbackground: <<colour primary>>;\n\tpadding: 0px;\n\tborder: 3px solid <<colour primary>>;\n}\n\n.tc-image-chooser a svg,\n.tc-image-chooser a img {\n\tdisplay: inline-block;\n\twidth: auto;\n\theight: auto;\n\tmax-width: 3.5em;\n\tmax-height: 3.5em;\n\tposition: absolute;\n\ttop: 0;\n\tbottom: 0;\n\tleft: 0;\n\tright: 0;\n\tmargin: auto;\n}\n\n/*\n** Modals\n*/\n\n.tc-modal-wrapper {\n\tposition: fixed;\n\toverflow: auto;\n\toverflow-y: scroll;\n\ttop: 0;\n\tright: 0;\n\tbottom: 0;\n\tleft: 0;\n\tz-index: 900;\n}\n\n.tc-modal-backdrop {\n\tposition: fixed;\n\ttop: 0;\n\tright: 0;\n\tbottom: 0;\n\tleft: 0;\n\tz-index: 1000;\n\tbackground-color: <<colour modal-backdrop>>;\n}\n\n.tc-modal {\n\tz-index: 1100;\n\tbackground-color: <<colour modal-background>>;\n\tborder: 1px solid <<colour modal-border>>;\n}\n\n@media (max-width: 55em) {\n\t.tc-modal {\n\t\tposition: fixed;\n\t\ttop: 1em;\n\t\tleft: 1em;\n\t\tright: 1em;\n\t}\n\n\t.tc-modal-body {\n\t\toverflow-y: auto;\n\t\tmax-height: 400px;\n\t\tmax-height: 60vh;\n\t}\n}\n\n@media (min-width: 55em) {\n\t.tc-modal {\n\t\tposition: fixed;\n\t\ttop: 2em;\n\t\tleft: 25%;\n\t\twidth: 50%;\n\t}\n\n\t.tc-modal-body {\n\t\toverflow-y: auto;\n\t\tmax-height: 400px;\n\t\tmax-height: 60vh;\n\t}\n}\n\n.tc-modal-header {\n\tpadding: 9px 15px;\n\tborder-bottom: 1px solid <<colour modal-header-border>>;\n}\n\n.tc-modal-header h3 {\n\tmargin: 0;\n\tline-height: 30px;\n}\n\n.tc-modal-header img, .tc-modal-header svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\n.tc-modal-body {\n\tpadding: 15px;\n}\n\n.tc-modal-footer {\n\tpadding: 14px 15px 15px;\n\tmargin-bottom: 0;\n\ttext-align: right;\n\tbackground-color: <<colour modal-footer-background>>;\n\tborder-top: 1px solid <<colour modal-footer-border>>;\n}\n\n\n/*\n** Centered modals\n*/\n.tc-modal-centered .tc-modal {\n\twidth: auto;\n\ttop: 50%;\n\tleft: 50%;\n\ttransform: translate(-50%, -50%) !important;\n}\n\n/*\n** Notifications\n*/\n\n.tc-notification {\n\tposition: fixed;\n\ttop: 14px;\n\tright: 42px;\n\tz-index: 1300;\n\tmax-width: 280px;\n\tpadding: 0 14px 0 14px;\n\tbackground-color: <<colour notification-background>>;\n\tborder: 1px solid <<colour notification-border>>;\n}\n\n/*\n** Tabs\n*/\n\n.tc-tab-set.tc-vertical {\n\tdisplay: -webkit-flex;\n\tdisplay: flex;\n}\n\n.tc-tab-buttons {\n\tfont-size: 0.85em;\n\tpadding-top: 1em;\n\tmargin-bottom: -2px;\n}\n\n.tc-tab-buttons.tc-vertical {\n\tz-index: 100;\n\tdisplay: block;\n\tpadding-top: 14px;\n\tvertical-align: top;\n\ttext-align: right;\n\tmargin-bottom: inherit;\n\tmargin-right: -1px;\n\tmax-width: 33%;\n\t-webkit-flex: 0 0 auto;\n\tflex: 0 0 auto;\n}\n\n.tc-tab-buttons button.tc-tab-selected {\n\tcolor: <<colour tab-foreground-selected>>;\n\tbackground-color: <<colour tab-background-selected>>;\n\tborder-left: 1px solid <<colour tab-border-selected>>;\n\tborder-top: 1px solid <<colour tab-border-selected>>;\n\tborder-right: 1px solid <<colour tab-border-selected>>;\n}\n\n.tc-tab-buttons button {\n\tcolor: <<colour tab-foreground>>;\n\tpadding: 3px 5px 3px 5px;\n\tmargin-right: 0.3em;\n\tfont-weight: 300;\n\tborder: none;\n\tbackground: inherit;\n\tbackground-color: <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-right: 1px solid <<colour tab-border>>;\n\tborder-top-left-radius: 2px;\n\tborder-top-right-radius: 2px;\n\tborder-bottom-left-radius: 0;\n\tborder-bottom-right-radius: 0;\n}\n\n.tc-tab-buttons.tc-vertical button {\n\tdisplay: block;\n\twidth: 100%;\n\tmargin-top: 3px;\n\tmargin-right: 0;\n\ttext-align: right;\n\tbackground-color: <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n\tborder-right: none;\n\tborder-top-left-radius: 2px;\n\tborder-bottom-left-radius: 2px;\n\tborder-top-right-radius: 0;\n\tborder-bottom-right-radius: 0;\n}\n\n.tc-tab-buttons.tc-vertical button.tc-tab-selected {\n\tbackground-color: <<colour tab-background-selected>>;\n\tborder-right: 1px solid <<colour tab-background-selected>>;\n}\n\n.tc-tab-divider {\n\tborder-top: 1px solid <<colour tab-divider>>;\n}\n\n.tc-tab-divider.tc-vertical {\n\tdisplay: none;\n}\n\n.tc-tab-content {\n\tmargin-top: 14px;\n}\n\n.tc-tab-content.tc-vertical {\n\tdisplay: inline-block;\n\tvertical-align: top;\n\tpadding-top: 0;\n\tpadding-left: 14px;\n\tborder-left: 1px solid <<colour tab-border>>;\n\t-webkit-flex: 1 0 70%;\n\tflex: 1 0 70%;\n\toverflow: auto;\n}\n\n.tc-sidebar-lists .tc-tab-buttons {\n\tmargin-bottom: -1px;\n}\n\n.tc-sidebar-lists .tc-tab-buttons button.tc-tab-selected {\n\tbackground-color: <<colour sidebar-tab-background-selected>>;\n\tcolor: <<colour sidebar-tab-foreground-selected>>;\n\tborder-left: 1px solid <<colour sidebar-tab-border-selected>>;\n\tborder-top: 1px solid <<colour sidebar-tab-border-selected>>;\n\tborder-right: 1px solid <<colour sidebar-tab-border-selected>>;\n}\n\n.tc-sidebar-lists .tc-tab-buttons button {\n\tbackground-color: <<colour sidebar-tab-background>>;\n\tcolor: <<colour sidebar-tab-foreground>>;\n\tborder-left: 1px solid <<colour sidebar-tab-border>>;\n\tborder-top: 1px solid <<colour sidebar-tab-border>>;\n\tborder-right: 1px solid <<colour sidebar-tab-border>>;\n}\n\n.tc-sidebar-lists .tc-tab-divider {\n\tborder-top: 1px solid <<colour sidebar-tab-divider>>;\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button {\n\tdisplay: block;\n\twidth: 100%;\n\tbackground-color: <<colour sidebar-tab-background>>;\n\tborder-top: none;\n\tborder-left: none;\n\tborder-bottom: none;\n\tborder-right: 1px solid #ccc;\n\tmargin-bottom: inherit;\n}\n\n.tc-more-sidebar > .tc-tab-set > .tc-tab-buttons > button.tc-tab-selected {\n\tbackground-color: <<colour sidebar-tab-background-selected>>;\n\tborder: none;\n}\n\n/*\n** Manager\n*/\n\n.tc-manager-wrapper {\n\t\n}\n\n.tc-manager-controls {\n\t\n}\n\n.tc-manager-control {\n\tmargin: 0.5em 0;\n}\n\n.tc-manager-list {\n\twidth: 100%;\n\tborder-top: 1px solid <<colour muted-foreground>>;\n\tborder-left: 1px solid <<colour muted-foreground>>;\n\tborder-right: 1px solid <<colour muted-foreground>>;\n}\n\n.tc-manager-list-item {\n\n}\n\n.tc-manager-list-item-heading {\n display: block;\n width: 100%;\n text-align: left;\t\n\tborder-bottom: 1px solid <<colour muted-foreground>>;\n\tpadding: 3px;\n}\n\n.tc-manager-list-item-heading-selected {\n\tfont-weight: bold;\n\tcolor: <<colour background>>;\n\tfill: <<colour background>>;\n\tbackground-color: <<colour foreground>>;\n}\n\n.tc-manager-list-item-heading:hover {\n\tbackground: <<colour primary>>;\n\tcolor: <<colour background>>;\n}\n\n.tc-manager-list-item-content {\n\tdisplay: flex;\n}\n\n.tc-manager-list-item-content-sidebar {\n flex: 1 0;\n background: <<colour tiddler-editor-background>>;\n border-right: 0.5em solid <<colour muted-foreground>>;\n border-bottom: 0.5em solid <<colour muted-foreground>>;\n white-space: nowrap;\n}\n\n.tc-manager-list-item-content-item-heading {\n\tdisplay: block;\n\twidth: 100%;\n\ttext-align: left;\n background: <<colour muted-foreground>>;\n\ttext-transform: uppercase;\n\tfont-size: 0.6em;\n\tfont-weight: bold;\n padding: 0.5em 0 0.5em 0;\n}\n\n.tc-manager-list-item-content-item-body {\n\tpadding: 0 0.5em 0 0.5em;\n}\n\n.tc-manager-list-item-content-item-body > pre {\n\tmargin: 0.5em 0 0.5em 0;\n\tborder: none;\n\tbackground: inherit;\n}\n\n.tc-manager-list-item-content-tiddler {\n flex: 3 1;\n border-left: 0.5em solid <<colour muted-foreground>>;\n border-right: 0.5em solid <<colour muted-foreground>>;\n border-bottom: 0.5em solid <<colour muted-foreground>>;\n}\n\n.tc-manager-list-item-content-item-body > table {\n\tborder: none;\n\tpadding: 0;\n\tmargin: 0;\n}\n\n.tc-manager-list-item-content-item-body > table td {\n\tborder: none;\n}\n\n.tc-manager-icon-editor > button {\n\twidth: 100%;\n}\n\n.tc-manager-icon-editor > button > svg,\n.tc-manager-icon-editor > button > button {\n\twidth: 100%;\n\theight: auto;\n}\n\n/*\n** Import table\n*/\n\n.tc-import-table {\n\twidth: 100%;\n}\n\n.tc-import-table svg.tc-image-edit-button {\n\tmax-width: unset;\n}\n\n.tc-import-table th:first-of-type {\n\twidth: 10%;\n}\n\n.tc-import-table th:last-of-type {\n\twidth: 30%;\n}\n\n.tc-import-table .tc-row-disabled {\n\tbackground: <<colour very-muted-foreground>>10;\n\topacity: 0.8;\n}\n\n.tc-import-table .tc-row-warning {\n\tbackground: <<colour diff-delete-background>>50;\n}\n\n/*\n** Alerts\n*/\n\n.tc-alerts {\n\tposition: fixed;\n\ttop: 28px;\n\tleft: 0;\n\tright: 0;\n\tmax-width: 50%;\n\tz-index: 20000;\n}\n\n.tc-alert {\n\tposition: relative;\n\tmargin: 14px;\n\tpadding: 7px;\n\tborder: 1px solid <<colour alert-border>>;\n\tbackground-color: <<colour alert-background>>;\n}\n\n.tc-alert-toolbar {\n\tposition: absolute;\n\ttop: 7px;\n\tright: 7px;\n line-height: 0;\n}\n\n.tc-alert-toolbar svg {\n\tfill: <<colour alert-muted-foreground>>;\n}\n\n.tc-alert-subtitle {\n\tcolor: <<colour alert-muted-foreground>>;\n\tfont-weight: bold;\n font-size: 0.8em;\n margin-bottom: 0.5em;\n}\n\n.tc-alert-body > p {\n\tmargin: 0;\n}\n\n.tc-alert-highlight {\n\tcolor: <<colour alert-highlight>>;\n}\n\n@media (min-width: <<sidebarbreakpoint>>) {\n\n\t.tc-static-alert {\n\t\tposition: relative;\n\t}\n\n\t.tc-static-alert-inner {\n\t\tposition: absolute;\n\t\tz-index: 100;\n\t}\n\n}\n\n.tc-static-alert-inner {\n\tpadding: 0 2px 2px 42px;\n\tcolor: <<colour static-alert-foreground>>;\n}\n\n/*\n** Floating drafts list\n*/\n\n.tc-drafts-list {\n\tz-index: 2000;\n\tposition: fixed;\n\tfont-size: 0.8em;\n\tleft: 0;\n\tbottom: 0;\n}\n\n.tc-drafts-list a {\n\tmargin: 0 0.5em;\n\tpadding: 4px 4px;\n\tborder-top-left-radius: 4px;\n\tborder-top-right-radius: 4px;\n\tborder: 1px solid <<colour background>>;\n\tborder-bottom-none;\n\tbackground: <<colour dirty-indicator>>;\n\tcolor: <<colour background>>;\n\tfill: <<colour background>>;\n}\n\n.tc-drafts-list a:hover {\n\ttext-decoration: none;\n\tbackground: <<colour foreground>>;\n\tcolor: <<colour background>>;\n\tfill: <<colour background>>;\n}\n\n.tc-drafts-list a svg {\n\twidth: 1em;\n\theight: 1em;\n\tvertical-align: text-bottom;\n}\n\n/*\n** Control panel\n*/\n\n.tc-control-panel td {\n\tpadding: 4px;\n}\n\n.tc-control-panel table, .tc-control-panel table input, .tc-control-panel table textarea {\n\twidth: 100%;\n}\n\n.tc-plugin-info {\n\tdisplay: flex;\n\tborder: 1px solid <<colour muted-foreground>>;\n\tfill: <<colour muted-foreground>>;\n\tbackground-color: <<colour background>>;\n\tmargin: 0.5em 0 0.5em 0;\n\tpadding: 4px;\n align-items: center;\n}\n\n.tc-plugin-info-sub-plugins .tc-plugin-info {\n margin: 0.5em;\n\tbackground: <<colour background>>;\n}\n\n.tc-plugin-info-sub-plugin-indicator {\n\tmargin: -16px 1em 0 2em;\n}\n\n.tc-plugin-info-sub-plugin-indicator button {\n\tcolor: <<colour background>>;\n\tbackground: <<colour foreground>>;\n\tborder-radius: 8px;\n padding: 2px 7px;\n font-size: 0.75em;\n}\n\n.tc-plugin-info-sub-plugins .tc-plugin-info-dropdown {\n\tmargin-left: 1em;\n\tmargin-right: 1em;\n}\n\n.tc-plugin-info-disabled {\n\tbackground: -webkit-repeating-linear-gradient(45deg, #ff0, #ff0 10px, #eee 10px, #eee 20px);\n\tbackground: repeating-linear-gradient(45deg, #ff0, #ff0 10px, #eee 10px, #eee 20px);\n}\n\n.tc-plugin-info-disabled:hover {\n\tbackground: -webkit-repeating-linear-gradient(45deg, #aa0, #aa0 10px, #888 10px, #888 20px);\n\tbackground: repeating-linear-gradient(45deg, #aa0, #aa0 10px, #888 10px, #888 20px);\n}\n\na.tc-tiddlylink.tc-plugin-info:hover {\n\ttext-decoration: none;\n\tbackground-color: <<colour primary>>;\n\tcolor: <<colour background>>;\n\tfill: <<colour foreground>>;\n}\n\na.tc-tiddlylink.tc-plugin-info:hover > .tc-plugin-info-chunk > svg {\n\tfill: <<colour background>>;\n}\n\n.tc-plugin-info-chunk {\n margin: 2px;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-toggle {\n\tflex-grow: 0;\n\tflex-shrink: 0;\n\tline-height: 1;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-icon {\n\tflex-grow: 0;\n\tflex-shrink: 0;\n\tline-height: 1;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-description {\n\tflex-grow: 1;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-buttons {\n\tfont-size: 0.8em;\n\tline-height: 1.2;\n\tflex-grow: 0;\n\tflex-shrink: 0;\n text-align: right;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-description h1 {\n\tfont-size: 1em;\n\tline-height: 1.2;\n\tmargin: 2px 0 2px 0;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-description h2 {\n\tfont-size: 0.8em;\n\tline-height: 1.2;\n\tmargin: 2px 0 2px 0;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-description div {\n\tfont-size: 0.7em;\n\tline-height: 1.2;\n\tmargin: 2px 0 2px 0;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-toggle img, .tc-plugin-info-chunk.tc-plugin-info-toggle svg {\n\twidth: 1em;\n\theight: 1em;\n}\n\n.tc-plugin-info-chunk.tc-plugin-info-icon img, .tc-plugin-info-chunk.tc-plugin-info-icon svg {\n\twidth: 2em;\n\theight: 2em;\n}\n\n.tc-plugin-info-dropdown {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tbackground: <<colour background>>;\n\tmargin-top: -8px;\n}\n\n.tc-plugin-info-dropdown-message {\n\tbackground: <<colour message-background>>;\n\tpadding: 0.5em 1em 0.5em 1em;\n\tfont-weight: bold;\n\tfont-size: 0.8em;\n}\n\n.tc-plugin-info-dropdown-body {\n\tpadding: 1em 1em 0 1em;\n\tbackground: <<colour background>>;\n}\n\n.tc-plugin-info-sub-plugins {\n\tpadding: 0.5em;\n margin: 0 1em 1em 1em;\n\tbackground: <<colour notification-background>>;\n}\n\n.tc-install-plugin {\n\tfont-weight: bold;\n\tbackground: green;\n\tcolor: white;\n\tfill: white;\n\tborder-radius: 4px;\n\tpadding: 3px;\n}\n\n.tc-install-plugin.tc-reinstall-downgrade {\n\tbackground: red;\n}\n\n.tc-install-plugin.tc-reinstall {\n\tbackground: blue;\n}\n\n.tc-install-plugin.tc-reinstall-upgrade {\n\tbackground: orange;\n}\n\n.tc-check-list {\n\tline-height: 2em;\n}\n\n.tc-check-list .tc-image-button {\n\theight: 1.5em;\n}\n\n/*\n** Message boxes\n*/\n\n.tc-message-box {\n\tborder: 1px solid <<colour message-border>>;\n\tbackground: <<colour message-background>>;\n\tpadding: 0px 21px 0px 21px;\n\tfont-size: 12px;\n\tline-height: 18px;\n\tcolor: <<colour message-foreground>>;\n}\n\n.tc-message-box svg {\n\twidth: 1em;\n\theight: 1em;\n vertical-align: text-bottom;\n}\n\n/*\n** Pictures\n*/\n\n.tc-bordered-image {\n\tborder: 1px solid <<colour muted-foreground>>;\n\tpadding: 5px;\n\tmargin: 5px;\n}\n\n/*\n** Floats\n*/\n\n.tc-float-right {\n\tfloat: right;\n}\n\n/*\n** Chooser\n*/\n\n.tc-chooser {\n\tborder-right: 1px solid <<colour table-header-background>>;\n\tborder-left: 1px solid <<colour table-header-background>>;\n}\n\n\n.tc-chooser-item {\n\tborder-bottom: 1px solid <<colour table-header-background>>;\n\tborder-top: 1px solid <<colour table-header-background>>;\n\tpadding: 2px 4px 2px 14px;\n}\n\n.tc-drop-down .tc-chooser-item {\n\tpadding: 2px;\n}\n\n.tc-chosen,\n.tc-chooser-item:hover {\n\tbackground-color: <<colour table-header-background>>;\n\tborder-color: <<colour table-footer-background>>;\n}\n\n.tc-chosen .tc-tiddlylink {\n\tcursor:default;\n}\n\n.tc-chooser-item .tc-tiddlylink {\n\tdisplay: block;\n\ttext-decoration: none;\n\tbackground-color: transparent;\n}\n\n.tc-chooser-item:hover .tc-tiddlylink:hover {\n\ttext-decoration: none;\n}\n\n.tc-drop-down .tc-chosen .tc-tiddlylink,\n.tc-drop-down .tc-chooser-item .tc-tiddlylink:hover {\n\tcolor: <<colour foreground>>;\n}\n\n.tc-chosen > .tc-tiddlylink:before {\n\tmargin-left: -10px;\n\tposition: relative;\n\tcontent: \"» \";\n}\n\n.tc-chooser-item svg,\n.tc-chooser-item img{\n\twidth: 1em;\n\theight: 1em;\n\tvertical-align: middle;\n}\n\n.tc-language-chooser .tc-image-button img {\n\twidth: 2em;\n\tvertical-align: -0.15em;\n}\n\n/*\n** Palette swatches\n*/\n\n.tc-swatches-horiz {\n}\n\n.tc-swatches-horiz .tc-swatch {\n\tdisplay: inline-block;\n}\n\n.tc-swatch {\n\twidth: 2em;\n\theight: 2em;\n\tmargin: 0.4em;\n\tborder: 1px solid #888;\n}\n\ninput.tc-palette-manager-colour-input {\n\twidth: 100%;\n\tpadding: 0;\n}\n\n/*\n** Table of contents\n*/\n\n.tc-sidebar-lists .tc-table-of-contents {\n\twhite-space: nowrap;\n}\n\n.tc-table-of-contents button {\n\tcolor: <<colour sidebar-foreground>>;\n}\n\n.tc-table-of-contents svg {\n\twidth: 0.7em;\n\theight: 0.7em;\n\tvertical-align: middle;\n\tfill: <<colour sidebar-foreground>>;\n}\n\n.tc-table-of-contents ol {\n\tlist-style-type: none;\n\tpadding-left: 0;\n}\n\n.tc-table-of-contents ol ol {\n\tpadding-left: 1em;\n}\n\n.tc-table-of-contents li {\n\tfont-size: 1.0em;\n\tfont-weight: bold;\n}\n\n.tc-table-of-contents li a {\n\tfont-weight: bold;\n}\n\n.tc-table-of-contents li li {\n\tfont-size: 0.95em;\n\tfont-weight: normal;\n\tline-height: 1.4;\n}\n\n.tc-table-of-contents li li a {\n\tfont-weight: normal;\n}\n\n.tc-table-of-contents li li li {\n\tfont-size: 0.95em;\n\tfont-weight: 200;\n\tline-height: 1.5;\n}\n\n.tc-table-of-contents li li li li {\n\tfont-size: 0.95em;\n\tfont-weight: 200;\n}\n\n.tc-tabbed-table-of-contents {\n\tdisplay: -webkit-flex;\n\tdisplay: flex;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents {\n\tz-index: 100;\n\tdisplay: inline-block;\n\tpadding-left: 1em;\n\tmax-width: 50%;\n\t-webkit-flex: 0 0 auto;\n\tflex: 0 0 auto;\n\tbackground: <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a,\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a {\n\tdisplay: block;\n\tpadding: 0.12em 1em 0.12em 0.25em;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a {\n\tborder-top: 1px solid <<colour tab-background>>;\n\tborder-left: 1px solid <<colour tab-background>>;\n\tborder-bottom: 1px solid <<colour tab-background>>;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item > a:hover {\n\ttext-decoration: none;\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n\tbackground: <<colour tab-border>>;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a {\n\tborder-top: 1px solid <<colour tab-border>>;\n\tborder-left: 1px solid <<colour tab-border>>;\n\tborder-bottom: 1px solid <<colour tab-border>>;\n\tbackground: <<colour background>>;\n\tmargin-right: -1px;\n}\n\n.tc-tabbed-table-of-contents .tc-table-of-contents .toc-item-selected > a:hover {\n\ttext-decoration: none;\n}\n\n.tc-tabbed-table-of-contents .tc-tabbed-table-of-contents-content {\n\tdisplay: inline-block;\n\tvertical-align: top;\n\tpadding-left: 1.5em;\n\tpadding-right: 1.5em;\n\tborder: 1px solid <<colour tab-border>>;\n\t-webkit-flex: 1 0 50%;\n\tflex: 1 0 50%;\n}\n\n/*\n** Dirty indicator\n*/\n\nbody.tc-dirty span.tc-dirty-indicator, body.tc-dirty span.tc-dirty-indicator svg {\n\tfill: <<colour dirty-indicator>>;\n\tcolor: <<colour dirty-indicator>>;\n}\n\n/*\n** File inputs\n*/\n\n.tc-file-input-wrapper {\n\tposition: relative;\n\toverflow: hidden;\n\tdisplay: inline-block;\n\tvertical-align: middle;\n}\n\n.tc-file-input-wrapper input[type=file] {\n\tposition: absolute;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbottom: 0;\n\tfont-size: 999px;\n\tmax-width: 100%;\n\tmax-height: 100%;\n\tfilter: alpha(opacity=0);\n\topacity: 0;\n\toutline: none;\n\tbackground: white;\n\tcursor: pointer;\n\tdisplay: inline-block;\n}\n\n::-webkit-file-upload-button {\n\tcursor:pointer;\n}\n\n/*\n** Thumbnail macros\n*/\n\n.tc-thumbnail-wrapper {\n\tposition: relative;\n\tdisplay: inline-block;\n\tmargin: 6px;\n\tvertical-align: top;\n}\n\n.tc-thumbnail-right-wrapper {\n\tfloat:right;\n\tmargin: 0.5em 0 0.5em 0.5em;\n}\n\n.tc-thumbnail-image {\n\ttext-align: center;\n\toverflow: hidden;\n\tborder-radius: 3px;\n}\n\n.tc-thumbnail-image svg,\n.tc-thumbnail-image img {\n\tfilter: alpha(opacity=1);\n\topacity: 1;\n\tmin-width: 100%;\n\tmin-height: 100%;\n\tmax-width: 100%;\n}\n\n.tc-thumbnail-wrapper:hover .tc-thumbnail-image svg,\n.tc-thumbnail-wrapper:hover .tc-thumbnail-image img {\n\tfilter: alpha(opacity=0.8);\n\topacity: 0.8;\n}\n\n.tc-thumbnail-background {\n\tposition: absolute;\n\tborder-radius: 3px;\n}\n\n.tc-thumbnail-icon svg,\n.tc-thumbnail-icon img {\n\twidth: 3em;\n\theight: 3em;\n\t<<filter \"drop-shadow(2px 2px 4px rgba(0,0,0,0.3))\">>\n}\n\n.tc-thumbnail-wrapper:hover .tc-thumbnail-icon svg,\n.tc-thumbnail-wrapper:hover .tc-thumbnail-icon img {\n\tfill: #fff;\n\t<<filter \"drop-shadow(3px 3px 4px rgba(0,0,0,0.6))\">>\n}\n\n.tc-thumbnail-icon {\n\tposition: absolute;\n\ttop: 0;\n\tleft: 0;\n\tright: 0;\n\tbottom: 0;\n\tdisplay: -webkit-flex;\n\t-webkit-align-items: center;\n\t-webkit-justify-content: center;\n\tdisplay: flex;\n\talign-items: center;\n\tjustify-content: center;\n}\n\n.tc-thumbnail-caption {\n\tposition: absolute;\n\tbackground-color: #777;\n\tcolor: #fff;\n\ttext-align: center;\n\tbottom: 0;\n\twidth: 100%;\n\tfilter: alpha(opacity=0.9);\n\topacity: 0.9;\n\tline-height: 1.4;\n\tborder-bottom-left-radius: 3px;\n\tborder-bottom-right-radius: 3px;\n}\n\n.tc-thumbnail-wrapper:hover .tc-thumbnail-caption {\n\tfilter: alpha(opacity=1);\n\topacity: 1;\n}\n\n/*\n** Diffs\n*/\n\n.tc-diff-equal {\n\tbackground-color: <<colour diff-equal-background>>;\n\tcolor: <<colour diff-equal-foreground>>;\n}\n\n.tc-diff-insert {\n\tbackground-color: <<colour diff-insert-background>>;\n\tcolor: <<colour diff-insert-foreground>>;\n}\n\n.tc-diff-delete {\n\tbackground-color: <<colour diff-delete-background>>;\n\tcolor: <<colour diff-delete-foreground>>;\n}\n\n.tc-diff-invisible {\n\tbackground-color: <<colour diff-invisible-background>>;\n\tcolor: <<colour diff-invisible-foreground>>;\n}\n\n.tc-diff-tiddlers th {\n\ttext-align: right;\n\tbackground: <<colour background>>;\n\tfont-weight: normal;\n\tfont-style: italic;\n}\n\n.tc-diff-tiddlers pre {\n margin: 0;\n padding: 0;\n border: none;\n background: none;\n}\n\n/*\n** Errors\n*/\n\n.tc-error {\n\tbackground: #f00;\n\tcolor: #fff;\n}\n\n/*\n** Tree macro\n*/\n\n.tc-tree div {\n \tpadding-left: 14px;\n}\n\n.tc-tree ol {\n \tlist-style-type: none;\n \tpadding-left: 0;\n \tmargin-top: 0;\n}\n\n.tc-tree ol ol {\n \tpadding-left: 1em; \n}\n\n.tc-tree button { \n \tcolor: #acacac;\n}\n\n.tc-tree svg {\n \tfill: #acacac;\n}\n\n.tc-tree span svg {\n \twidth: 1em;\n \theight: 1em;\n \tvertical-align: baseline;\n}\n\n.tc-tree li span {\n \tcolor: lightgray;\n}\n\nselect {\n color: <<colour select-tag-foreground>>;\n background: <<colour select-tag-background>>;\n}\n\n/*\n** Utility classes for SVG icons\n*/\n\n.tc-fill-background {\n\tfill: <<colour background>>;\n}\n\n/*\n** Flexbox utility classes\n*/\n\n.tc-flex {\n\tdisplay: -webkit-flex;\n\tdisplay: flex;\n}\n\n.tc-flex-column {\n\tflex-direction: column;\n}\n\n.tc-flex-row {\n\tflex-direction: row;\n}\n\n.tc-flex-grow-1 {\n\tflex-grow: 1;\n}\n\n.tc-flex-grow-2 {\n\tflex-grow: 2;\n}\n\n/*\n** Other utility classes\n*/\n\n.tc-small-gap {\n\tmargin-left: .5em;\n\tmargin-right: .5em;\n}\n\n.tc-small-gap-left {\n\tmargin-left: .5em;\n}\n\n.tc-small-gap-right {\n\tmargin-right: .5em;\n}\n\n.tc-big-gap {\n\tmargin-left: 1em;\n\tmargin-right: 1em;\n}\n\n.tc-big-gap-left {\n\tmargin-left: 1em;\n}\n\n.tc-big-gap-right {\n\tmargin-right: 1em;\n}\n\n.tc-word-break {\n\tword-break: break-all;\n}\n"
},
"$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/bodyfontsize",
"text": "15px"
},
"$:/themes/tiddlywiki/vanilla/metrics/bodylineheight": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/bodylineheight",
"text": "22px"
},
"$:/themes/tiddlywiki/vanilla/metrics/fontsize": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/fontsize",
"text": "14px"
},
"$:/themes/tiddlywiki/vanilla/metrics/lineheight": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/lineheight",
"text": "20px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storyleft": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storyleft",
"text": "0px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storytop": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storytop",
"text": "0px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storyright": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storyright",
"text": "770px"
},
"$:/themes/tiddlywiki/vanilla/metrics/storywidth": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/storywidth",
"text": "770px"
},
"$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/tiddlerwidth",
"text": "686px"
},
"$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/sidebarbreakpoint",
"text": "960px"
},
"$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth": {
"title": "$:/themes/tiddlywiki/vanilla/metrics/sidebarwidth",
"text": "350px"
},
"$:/themes/tiddlywiki/vanilla/options/stickytitles": {
"title": "$:/themes/tiddlywiki/vanilla/options/stickytitles",
"text": "no"
},
"$:/themes/tiddlywiki/vanilla/options/sidebarlayout": {
"title": "$:/themes/tiddlywiki/vanilla/options/sidebarlayout",
"text": "fixed-fluid"
},
"$:/themes/tiddlywiki/vanilla/options/codewrapping": {
"title": "$:/themes/tiddlywiki/vanilla/options/codewrapping",
"text": "pre-wrap"
},
"$:/themes/tiddlywiki/vanilla/reset": {
"title": "$:/themes/tiddlywiki/vanilla/reset",
"type": "text/plain",
"text": "/*! modern-normalize v1.0.0 | MIT License | https://github.com/sindresorhus/modern-normalize */\n\n/*\nDocument\n========\n*/\n\n/**\nUse a better box model (opinionated).\n*/\n\n*,\n*::before,\n*::after {\n box-sizing: border-box;\n}\n\n/**\nUse a more readable tab size (opinionated).\n*/\n\n:root {\n -moz-tab-size: 4;\n tab-size: 4;\n}\n\n/**\n1. Correct the line height in all browsers.\n2. Prevent adjustments of font size after orientation changes in iOS.\n*/\n\nhtml {\n line-height: 1.15; /* 1 */\n -webkit-text-size-adjust: 100%; /* 2 */\n}\n\n/*\nSections\n========\n*/\n\n/**\nRemove the margin in all browsers.\n*/\n\nbody {\n margin: 0;\n}\n\n/**\nImprove consistency of default fonts in all browsers. (https://github.com/sindresorhus/modern-normalize/issues/3)\n*/\n\nbody {\n font-family:\n system-ui,\n -apple-system, /* Firefox supports this but not yet `system-ui` */\n 'Segoe UI',\n Roboto,\n Helvetica,\n Arial,\n sans-serif,\n 'Apple Color Emoji',\n 'Segoe UI Emoji';\n}\n\n/*\nGrouping content\n================\n*/\n\n/**\n1. Add the correct height in Firefox.\n2. Correct the inheritance of border color in Firefox. (https://bugzilla.mozilla.org/show_bug.cgi?id=190655)\n*/\n\nhr {\n height: 0; /* 1 */\n color: inherit; /* 2 */\n}\n\n/*\nText-level semantics\n====================\n*/\n\n/**\nAdd the correct text decoration in Chrome, Edge, and Safari.\n*/\n\nabbr[title] {\n text-decoration: underline dotted;\n}\n\n/**\nAdd the correct font weight in Edge and Safari.\n*/\n\nb,\nstrong {\n font-weight: bolder;\n}\n\n/**\n1. Improve consistency of default fonts in all browsers. (https://github.com/sindresorhus/modern-normalize/issues/3)\n2. Correct the odd 'em' font sizing in all browsers.\n*/\n\ncode,\nkbd,\nsamp,\npre {\n font-family:\n ui-monospace,\n SFMono-Regular,\n Consolas,\n 'Liberation Mono',\n Menlo,\n monospace; /* 1 */\n font-size: 1em; /* 2 */\n}\n\n/**\nAdd the correct font size in all browsers.\n*/\n\nsmall {\n font-size: 80%;\n}\n\n/**\nPrevent 'sub' and 'sup' elements from affecting the line height in all browsers.\n*/\n\nsub,\nsup {\n font-size: 75%;\n line-height: 0;\n position: relative;\n vertical-align: baseline;\n}\n\nsub {\n bottom: -0.25em;\n}\n\nsup {\n top: -0.5em;\n}\n\n/*\nTabular data\n============\n*/\n\n/**\n1. Remove text indentation from table contents in Chrome and Safari. (https://bugs.chromium.org/p/chromium/issues/detail?id=999088, https://bugs.webkit.org/show_bug.cgi?id=201297)\n2. Correct table border color inheritance in all Chrome and Safari. (https://bugs.chromium.org/p/chromium/issues/detail?id=935729, https://bugs.webkit.org/show_bug.cgi?id=195016)\n*/\n\ntable {\n text-indent: 0; /* 1 */\n border-color: inherit; /* 2 */\n}\n\n/*\nForms\n=====\n*/\n\n/**\n1. Change the font styles in all browsers.\n2. Remove the margin in Firefox and Safari.\n*/\n\nbutton,\ninput,\noptgroup,\nselect,\ntextarea {\n font-family: inherit; /* 1 */\n font-size: 100%; /* 1 */\n line-height: 1.15; /* 1 */\n margin: 0; /* 2 */\n}\n\n/**\nRemove the inheritance of text transform in Edge and Firefox.\n1. Remove the inheritance of text transform in Firefox.\n*/\n\nbutton,\nselect { /* 1 */\n text-transform: none;\n}\n\n/**\nCorrect the inability to style clickable types in iOS and Safari.\n*/\n\nbutton,\n[type='button'],\n[type='reset'],\n[type='submit'] {\n -webkit-appearance: button;\n}\n\n/**\nRemove the inner border and padding in Firefox.\n*/\n\n::-moz-focus-inner {\n border-style: none;\n padding: 0;\n}\n\n/**\nRestore the focus styles unset by the previous rule.\n*/\n\n:-moz-focusring {\n outline: 1px dotted ButtonText;\n}\n\n/**\nRemove the additional ':invalid' styles in Firefox.\nSee: https://github.com/mozilla/gecko-dev/blob/2f9eacd9d3d995c937b4251a5557d95d494c9be1/layout/style/res/forms.css#L728-L737\n*/\n\n:-moz-ui-invalid {\n box-shadow: none;\n}\n\n/**\nRemove the padding so developers are not caught out when they zero out 'fieldset' elements in all browsers.\n*/\n\nlegend {\n padding: 0;\n}\n\n/**\nAdd the correct vertical alignment in Chrome and Firefox.\n*/\n\nprogress {\n vertical-align: baseline;\n}\n\n/**\nCorrect the cursor style of increment and decrement buttons in Safari.\n*/\n\n::-webkit-inner-spin-button,\n::-webkit-outer-spin-button {\n height: auto;\n}\n\n/**\n1. Correct the odd appearance in Chrome and Safari.\n2. Correct the outline style in Safari.\n*/\n\n[type='search'] {\n -webkit-appearance: textfield; /* 1 */\n outline-offset: -2px; /* 2 */\n}\n\n/**\nRemove the inner padding in Chrome and Safari on macOS.\n*/\n\n::-webkit-search-decoration {\n -webkit-appearance: none;\n}\n\n/**\n1. Correct the inability to style clickable types in iOS and Safari.\n2. Change font properties to 'inherit' in Safari.\n*/\n\n::-webkit-file-upload-button {\n -webkit-appearance: button; /* 1 */\n font: inherit; /* 2 */\n}\n\n/*\nInteractive\n===========\n*/\n\n/*\nAdd the correct display in Chrome and Safari.\n*/\n\nsummary {\n display: list-item;\n}\n"
},
"$:/themes/tiddlywiki/vanilla/settings/fontfamily": {
"title": "$:/themes/tiddlywiki/vanilla/settings/fontfamily",
"text": "system-ui, -apple-system, \"Segoe UI\", Roboto, Helvetica, Arial, sans-serif, \"Apple Color Emoji\", \"Segoe UI Emoji\""
},
"$:/themes/tiddlywiki/vanilla/settings/codefontfamily": {
"title": "$:/themes/tiddlywiki/vanilla/settings/codefontfamily",
"text": "\"SFMono-Regular\",Consolas,\"Liberation Mono\",Menlo,Courier,monospace"
},
"$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment": {
"title": "$:/themes/tiddlywiki/vanilla/settings/backgroundimageattachment",
"text": "fixed"
},
"$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize": {
"title": "$:/themes/tiddlywiki/vanilla/settings/backgroundimagesize",
"text": "auto"
},
"$:/themes/tiddlywiki/vanilla/sticky": {
"title": "$:/themes/tiddlywiki/vanilla/sticky",
"text": "<$reveal state=\"$:/themes/tiddlywiki/vanilla/options/stickytitles\" type=\"match\" text=\"yes\">\n``\n.tc-tiddler-title {\n\tposition: -webkit-sticky;\n\tposition: -moz-sticky;\n\tposition: -o-sticky;\n\tposition: -ms-sticky;\n\tposition: sticky;\n\ttop: 0px;\n\tbackground: ``<<colour tiddler-background>>``;\n\tz-index: 500;\n}\n\n``\n<$list filter=\"[range[100]]\">\n`.tc-story-river .tc-tiddler-frame:nth-child(100n+`<$text text=<<currentTiddler>>/>`) {\nz-index: `<$text text={{{ [[200]subtract<currentTiddler>] }}}/>`;\n}\n`\n</$list>\n</$reveal>\n"
}
}
}
https://verstand.tiddlyhost.com
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Herzien, zodat [[DePuber]] ook eens iets anders zag.
Bleef even maf en goed als 25 jaar geleden.
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
iVBORw0KGgoAAAANSUhEUgAAAysAAAFNCAIAAACPB2dlAAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAASdEVYdFNvZnR3YXJlAEdyZWVuc2hvdF5VCAUAAG6hSURBVHhe7b0LdFTVwb/t0oWfdenXqv/aF9/apba21lbra2kttBQRVJDycbGiWFFBEVEQRVHuJBADIdwhQIiJEBAhkBBAksn9NpOQ+/02k5lMksnccg+X/nvz/X7DjtvjSTIZLhlI+O31rFn77PvZJ2udh332OdzwvwwMDAwMDAwMDN4NNDAGBgYGBgYGBm8Hjwzs6//8+z///ichhBBCyMDl6//863+//rpLbq526MPA/v3P838/0/z3M02EEEIIIYOAf/698+uv/9MlOlcvuDOwf/3jrGrQhBBCCCEDnf97tuXr//y7S3euUujVwP79z7+rhksIIYQQMjj4x/n2LuO5SqFXA/vHuTbVWAkhhBBCBg2ubWFXL/RsYF9//bVqlIQQQgghg4l///PvXd5zNUJvBvYf1SgJIYQQQgYT//rHuS7vuRqhl6eQXAMjhBBCyKCGBkYIIYQQ4m1oYIQQQggh3oYGRgghhBDibWhghBBCCCHehgZGCCGEEOJtaGCEEEIIId6GBkYIIYQQ4m1oYIQQQggh3mZAGpizxR5ZaJgXnT/rSO6B3OqWVodItzbZgnWV/omlSnZqK+rs1nOdTalVtYEpZVq9GXHZFMBhWnVtQNJ3auEwvtx0ttOJAu3tzqgiw5zIvOkHszellqM1JHa0O6OLa9Z9txZYn9xDFwMUn3WbgCqREEIIIZfPwDOwKotlXJjuhmUxkhmHchqdNmSV1Vse3pyizAL3BSZlG+s6O5yr40tuXB4DCRNeJTnT4YQ5qWqBj78qhHvB9t47XqBM/5/taYXm+uZWx6uHc5Tpgh676JF9X3w5btqsxgajKv3agQZGCCGE9BMDzMBgS/AbWM5TIdoMvTmpsvb3QenfW6UJz6k+19llYPcHJh3K06dV1wqgXy2tDk8M7J2o/JSqrlpovNrSiDYTKkx3+cX/YlNyVJEh11Q//WA2Sq7QFLe1OdFderUZBf564PRNy2M/OVWE6lq92WSznle03xs0MEIIIeS6ZYAZWFub48OThUNWxO7WVUKP4FJb0sphPys1xR3tLiWCgf1mW2qVxaKq2KeB9ZiFLoIyKtDdogvrYUiJLTP+YE3cpPAse5NdlMGQ3j9RcPPK2M+yXEMSiW5obbLM+WDpkKGPqEhJSxEFmux1azdtf2DY2LsfGvH2wqVmU7Wse67DGXX8xKiJL6P88HHT9h86fKbdNQxo3PQ3F+z87PNH/zxx3eYdcLt7H30SEZF7yUC/lq0JCAoJw2AeHvEcIsoGMbCFy9ZgkGCJ7zqrxSTS0ftHK/wWLPEZOWF6YnLSS2+8h0hJSYHIVZ7C01NfS01LPe/BeiFQdjd7wWKDvlykR0YfH//iG5b6GnF4tt2x2Gftcr/1iOCwvcW6PTgU4wc4HQyMTkkIIeRaYACugSW71sCm7j9taGxU5V5xA8Ph1nSX4a24YHjKLMnFGhjMIDsnKz4xYaX/BlgUdARxIBwCubCHZ1+YGRsfl5uX/f5S31fnfui01Ym6SHxo+PhdoXtLSwvxizhSkA4Dm/TKHP+N206eOjVi/Iuh4QcOHY2E95SXFYmKlwZk5U8TXoLYobstO/fc8+uRojvQ4mx4/d1F02cv0OoyACLzFq2AXCILBobxxyUkfOLjj/QMbTpK+m3YKiqqTgEzgNMUWW7o3h0OkYgsfVXZmCkzsrJ0oiSmEUIWo9GIw6PHomV3mJ87fvYEDYwQQsi1wMDbB5Zrqv/FpuQblsVAeqbsz4ouroEDiSxhYENWxP4+KH10iBY8E6r7/HQVHMuTp5D3rEt8co+rFpgZkatvbOwPA5P0+BTSZKx6avIMKRBVlSWjJ70i9SL65MnI6ONi0Ugs9gifQCNoKiUtRSyGlZQUIAIRSc9IExUvDTQ+/5NV7S2uNw/wi7jUF2iTUp5gRVL4cF5zPlgKG0NElEfknY+WtzU3ijEDuUCFNjds2yUacYPZVL09OBSTIw7RL6ZFrKupGsFcYSpEyY5WGxRWdidWH2lghBBCrgUGnoGdx/1ebx4TooUzCSBATS2uW6wwMJkuEA8oL3Yn/oMbkwvN9d43MFjFsy/MlM/spFrJAkogE8InejQwNxU9RLbf/RAtPz56shynctg9GphIEQ6EQ1ELqLrwEGV3AA3OmLuwye5aKQwKCYN1wb0Q765cl9YdIYQQcsUZeAYmgOsUmRt84orv8ou/3TfuSIEBZjYgnkJK4A09GhjMRm4OE0iRys7JmvrqXGWW8ImBZWDK8ctTcA8qbtqx+4FhY2UtZe8FBbljpszAISQMKnY4MkrWooERQgi5NhlgBtbe7swz1YvXG3EI49mtq4T9vHe8ACbkBQMzNDZuSy8/nK9v/ebR5xU3sGeef12XqUW6RKzo2Cwm6NfS1QE1hgqRvsR3nfAJxAeQge0K3SvGL2h21ItG3ACpGj5umiYhXlTB/GCWZO9CvNCLchigrbnxnY+WyzGLh5LKMyKEEEKuFgPMwMx268jgjKFrE9KrzTiE8YRmVcF+3onKh5NdcQND+8EXDG/esXwo1/kzTceKam7z1fz1wGlH8yW+CymJjD7e3cBMxiokanUZ4vBchxPiJQwMYjFmyoyCglyR1ec+sH41sIvdByZSxJgDt+2U7z9CvzwxMLSzbE0AZkMcKveBCcTDx4MRR0VHMj04bB/GmZ2TdabdHhOnEW9EylxCCCHkajHADAy68+HJwhuWxQzbkbYqrnjxqaL7A5Nu9dHsV3wP7E6/eJSBVAnEN/GFgaHis6E6v4QSkRWQVJpYYWpvdyCOrGe+myW+iZ9WXQvh+/7quHnR+Ss1xdA7uNqnCSXyoeQlG1hWlu7RP08MDT8Qn5iQnJostjHBUfw2bJ0+ewHkCbK1ev1mqEZ1VSmyxBrYrPkfo2JRUV7AlqDHR08WPuGJgYmXBNEC2pGJ7kHjSl9RHrp/F7I3A0M8MTlpxPgXoUqlpYX4HTZm6tFj0aJNNxyOjII87T1wsKqy5OSpUzhHNKI0MMwJJmrKjLlQLpkIGuoMM+YuFA8uFyzx4dcoCCGEXCMMvH1gRmvjK4e+/Rg91GdZbJGbnfjKb+KrssDSmKKW1i4DUyG+iQ/TCtZV/mBNnEx/PSJX/MdEgks2sDPtdvGpLdWuJvk9sDt+9sS0WfOyc7JkFcSRgvTHRk3af+jwsjUBwic8MTA4yr2PPqkSFPcolav7oZvvgbkxsN4+aeYe1N0cFPzwiOdw7jPnLYrRaJRPG4HQ04eGj5fLchKxjggQUZ0CIYQQcrUYkDvxoVOZBjOMJ6LAoPwAPWQIsiU+ai8Rm8ZQBuqWoTcrs3B44ZMTPWeJb+KjWdRF/IvcaqiYVm9WbclHmSqLBen1jm+17BoEGgTjqaosUaVfIwhlFItVSpQSeZmc73Su9N9AAyOEEHItMCANjFwsYgOW/FL8NYhYqYKHqRB74C6HZke9aEqTEA8HlW9KEkIIIVcRGth1gaW+ZvKMt+UG/+sKn3WbxHLaA8PGbtqxWzwMJYQQQq4uNDBCCCGEEG9DAyOEEEII8TY0MEIIIYQQb0MDI4QQQgjxNjQwQgghhBBvQwMjhBBCCPE2NDBCCCGEEG9DAyOEEEII8TY0MEIIIYQQb0MDI4QQQgjxNjSwK8P5TmdO7ulX536Ylp6myiKEEEIIUTHADOxspzO+3BSQVOqf+C3rkkpPltR0djhrbdagjAocgsCUsvCc6jxTParI6hUNltXxJS9+kf3+iYK06tpzna7E5lbH/pzq9cllyZWulPZ2Z0SBAYenSo1oU9Z1A/Rr/6HDj42atGXnHofVLNPNdutnWZWzjuTOi85PqDCdudAaukDXqlPAIc4LQ7U22XbrKlHL3myX7QiQFayrVNYCO7UVdXarKFBlsfgluM5O2V21pXFLWrmq1trE0h67IIQQQoh3GGAGBj36+KvCG5bFqJgTmdfS6tDqzfesS1Sm37wydkNKWUe78/yZpqTK2vsCk2TW91ZptmdUwLHgSaP2aJEycW8mLKekruFXW1Jw+E5UPtpUDaBHUtJSHh89OSEpUaagu5Sq2gc3JsvuMBJoFsYPMYIDyXQJzgu56P0Xm5J/sy0VOiVbE5TVWx7e7BqYEpxRtrFOdHe/4uzQHTQUDULs7lgTL9MlPXZBCCGEEO8wIA3spuWxi08VwTnSql2kV5srGyznOpuEgcEtjhXVnCo1zj6aN2RF7E83JOUY65paHDMjclHx/RMFxXUNu7QVP1gTB9OC8UgD+3FAYobe/EVuNeQMhx4aWIuz4fV3FwVu23lesdjmaLZPP5iNRt6OzCs01+/PqcbAfrI+MbumThoY2pengH6rLY04hT4NDJp1KE8vagHoFwaJs5t1JPfG5THzo/OLzA37sqt/5J/w843JBbX1GEmmwYySwbrKO/3if70lJbLQgBnLNdVjMlVdEEIIIcQ7DEgDu3llbGhWlXiGqEQY2OgQrXgw1+i0jQ/LvN037nhxTY218Q8708WKkcyCkSRV1koDg5/5JZS8dTQPcc8NrLysaMyUGbl52crEeod1TIj2bv8EqA8Om1sdrx3OudVHc6TA0HnBwGBLgSllyiekgj4NrMcs43fPztni8r/bfDVRRYbz35TJMtRBMUcGZ+B8RQohhBBCrhaD38C+vzruZElNdWPjsB1pD15YFkKWvck+KTzrjjXxmjKjMLAhK2LBA4FJQ9cmQMU8NzDxCLKkpECZKNbA0M4KTTHiyiyxBnZlDUz/3bPrERoYIYQQcu0wUPeBTQ7P+jShBCoDNqaWVTS4pET5FDKm1Dg3Kg+u9tsdaeUNFpWjdDewewNc6iZWwsZ+prvVR3M5BgY7PJyvv903Dg3e6RePkWQazMK35FNIDPXJPVp0CmZG5GKEyO3TwKCJvw9KF7WeCdV9froKDdLACCGEkIHFYNiJL54znv/GwJRZsJmkylpk9WlgDwQmrdQU3+argaYsPlWEyOUYGOhod36Zp//5N5vxoXSfZVXClqSBKcHACs2ugfVpYKqKGDM6ooERQgghA4sBaWA3Lo/58GSh3MauM5htTTbkCgODZ3xwouDXW1IgPQdz9eJhZZ8Gdn9gUmqVa2N7nsm1cf4y18AkGDCaffNI7s0rY3+5OQW98ykkIYQQQgakgQ1ZEbtbV+lmH1itzRqe43qlccLnmRanS876NDC5jf38GdcDRM8NLD0j7XdPP999HxiMp7iuAb6FQ7QzNyrvllWasNNVHe39bmCdHc7jxTWbUsvRmixDAyOEEEKuHQangdXZrUZr4592Z9zmq4nIdy2DmWzWP+7OQC7KoCQKPLnH9a5iSlXXu5CXbGDlZUUjJ0zPytIpE8VI0COGgcPWNsf86PybV8YG6yr7w8DE2Q1dmyDODn45ZX/W7b5xJ0pcD2dFGRoYIYQQcu0waA3sTIdzS1r5TctjJ4VnNTptYhXqhmUxkC3f+JK/fZmDRobvSjc0Nl6mgbW3WOd/sso3YPOZ9m/fecQAxn6mg2ahd7+EEjQFH3oAXSi+B/ZMqA5ZiAP5TXxhYHf6xX94slBkgV3ainqHVRiYKkt8Ex/jRBdoE9K5Or5k1pHcW1Zpfn3ha2dySDQwQggh5Nph0BoYDkvrGx7dmgr1OVro+ixWeYNlXJhObGAHP92QpCkzopHLNDAgtoKdOHVKfpQVjcB4fheUJru7yy9+f0419EsamAqcF85OGJgqC+qWZ6rvcSe+HHZlg2XC55kyHbIVWWhQThENjBBCCLl2GGAGBqWotjTCtIRjqWhqcWTX1BXU1ov/zxGFIWHyc/NIgVHFl5tgb3AysT8MdLQ7UQUeI32r0WnTGbq+sy9S3APxOhwZ9dDw8W8vXKqvKpPpGA8kL1hXGVtmtDd1rZBBzozWRoxKvEYgkINsbXNgJMosIMbW1nuWaBl1kyprcXYRBYbu8yMnB+eryiKEEEKIlxlgBnYtU11V+v5SX12mVpVOCCGEEKKCBkYIIYQQ4m1oYIQQQggh3oYGRgghhBDibWhghBBCCCHehgZGCCGEEOJtaGCEEEIIId6GBkYIIYQQ4m1oYIQQQggh3oYGRgghhBDibWhghBBCCCHehgZGCCGEEOJtaGCEEEIIId6GBkYIIYQQ4m1oYOSaICUtZcjQRwT7vvhSlXud09LqOJirD0gqjS0znulwKrPMdutnWZWzjuTOi85PqDCpcgkhhFyz0MAGD61NljkfLPVZt0mV3iewn8dHTy4pKVCle5OOVltjg9FYUzlz3iIamIpCc/3PNybfsCzm2VCdxWkTiedx4apqH7yQLrh5ZSwsrb3dIwm7Fi46IYRcz9DABg8D2sAE4hRoYEpgWhEFhtt8NXCs+wKTso11It3RbJ9+MBuJb0fmQdH251Tfsy7xJ+sTs2u6CriHBkYIIVcXGthgAPdR3E3lUzzBuGmzGhuMqpIqoGuqWkAK0LkOZ9TxE6MmvozEp6e+lpqWer7z2/UVs6l64bI1dz80AsxesNigLxfpqP7RCr8FS3xGTpiemJz00hvvIeLhnd6NgSm7W+K7zmoxiXScI840IuoYxnDHz57AONMz0mSt9hbr9uDQB4aNBThZDMwTQ8Von31hZmT08amvzkWb02bNKystlLlN9rq1m7ajQZGVnZMla01/c8HWXSH3Pz5m74GD67cG3fvok/sPHRaThl8MbOLLb2Eyh4+bdjgyCtMrKrqno925NKZIrHJ9b5UGpnWu05Ve77COCdHe7Z+QVl2Lw+ZWx2uHc2710RwpMEDaZPXuXPJFV57CwyOe2xwUjOslstBmwJYgXGtMSFJKMq44InW1epFLCCGkOzSwwQCcIDk1+VRs7JQZcyEi8YkJADdL+IeqpIqiojyUhKM8NHw87sGior6qTOTGxschfVfo3tLSQvzCG3LzskVWi7Ph9XcXTZ+9QKvLAIjgEInIQjvQl7iEhE98/JGeoU1Hlt+GraKie3ozsO7dzVu0Qtz+hYH9bc4HX8XEYHiz5n/8zPOv15qqRMWjx6LlKfhv3AZn8tDARk96BT1iDsFfps+WZ3e23bHcbz1OEJOD7t5f6iu7Qy2YR2j4AVgXIug6KCQMdW0XZLGgIPexUZNWr9+MYtCvYWOm4nqJ7txjcdqeDdX9yD/h7ci8W1ZpPjhR0NbmQLpYA7tpeewKTTHiyiruueSLrjoFSH9w2D6RhVmdMXdhSloKfiHfuOi4KAcOR4hcQggh3aGBDR6EvnhiGCp6fCAF1VjssxYggkPI3PxPVm3Ytkvkmk3VuIWbjF2ig5s0lEW0gJs6hoHBICIGg8g7Hy1va24Uhd3Qm4GhfaUKQMKgOOVlRYgLA4MQiKyqyhKUxBkh3tFqgyHJU/B8fnAiI8a/iF7EISI4FGfX7KjfsSesuDhfZGEGnpo8Q3SHAjAz/ILpby7AwBAZ/+IbYpywMdgJXBnx853OwG07MTaMULTjhmxj3X2BSaP2aKOKDPcGJD65R1tnd4n1uc6mw/n6233jblgWc6df/NyovEyD+axikdI9l3DRIaN7DxyUWo8zEhcaccyqmFj8isuHiIfaTQgh1yc0sMHDlTWw7jIk77LdQV0hH4ijirgxIyLKyxRZvje6dypQjVDZnTAw4UCqw+4T4uYUlCjb736oRNmdLAakgcmKqq49nBNoVnhO9S2rNPOi86ssljEh2qFrE7R6s8jtaHd+macXm/TBrT6az7IqPXwd8vIvuvIUZDH8iupuKhJCCAE0sMFDd+HwEDc3Y7lPSCAbR+6mHbsfGDZWZskW5I0ZEVFeeat2j+hU3MKVqEaIyNUysDPt9v2HDj82apI8cSC6k8VAjwamrAI8mZO2NseC4wWwq/FhmSs1xb/Zlnrzytg9mZViK5igvd2ZWlX75pFcZP1yc0pBbb3McsMlXHSDvnzmvEV3/OwJmSVPQU4sfmlghBDiCTSwwUN34fAQNzfjXaF7YRKSZkfX3f1wZNTwcdM0CfEiXZepfeb510UL146BtTU3vvPRcjkh4qGkJ/OjbF91qNVlPPrniV8eOdpQZ0Bf5WVFT099TXQni4EeDWyJ7zokShxWs9zk3htmu3VkcIZY4pLMjcpraXU4mu1Zhrriugax6IUUpN+yShN2ukrpZ71xsRddPJGcNf9jnLJIRzF5WXF2YmLxSwMjhBBPoIENHtwbBm6Z8z5e+YmPv9hRriQrSyf3OUnElqDAbTulJeBOLA0MvSxbEyDf5utzH9hFGVjY/i9U6X3uA+vRwEBw2D5UzM7JOtNuj4nTiDciRZYblOakOsS5zJzXtSsf9LkPTFYMCgmDwchNVDhTTwwsQ28eujZh2I6048U1adW14TnVOBy+K91obdTqzfesS/zj7gzEUbK1zTE/Ov/mlbHBuu+skPXGxV50MbFxCQkiHfS5D8yTqSaEkOsWGtigYsO2XX+ZPvvkqVPxiQnQDrGfWgBLGDL0kTt+9kS64mMNAn1VGYQGdcVrcZb6GpGemJyEm/TBiKOlpYX4HTZm6tFj0SLrcGQUbGbvgYNVlSXoDsIhb+eXY2BiizpOoagoD7d8IGr1+S5kbwbWUGeYMXeheGS2YInPRX2NQtqJ8hC948QxV/A/9PL2wqX3PvqkJwaGX0wyrAURzBjSN+3Y7d7AIFK7tBVDVsQuPFko3n+0OG3jwnQ//DQhubK2zm4d+5nuxuUxk8Kz/BJK3onKv9037oHAJA+/B3axF12sgeHSJKUk49xxImOmzPDcwFAMhWHD+IORiYQQcj1DAxtUQDjEZ7GUe3QEdbX6yTPefumN91BGJgrgAUeOHZN7m6S+KD8NhXvn/kOHz7R3ffUALW8OCn54xHPoa+a8RTEajVSNyzEwoDwFIG7nwP33wHozMICzsFlMABGVFvSG0pxUh2IfGGYDY5v66tyYOI3sThYD3Q0Mkwz37fFjWr3R0up466jrweK+7K5vgLW3Oz/+qvCm5bHb0svPdDqzDHW/C0qTTyfv8ovfn1Pt4U78S7joBn05Lg3mHw4Kfbyop5AQvj9NeGm533rlvwoIIeR6hgZGvAdc5PFuX47tvhupn4BzrPTfILRAiJpqJECpbledzg5nkbkh02BWfu6rzm7V6s1VFotwsqYWh6bMGKyrjC0z2psu4qtgXgYTC2/TfvOBD0IIITQw4j3OtNttFhPsRwlS5CpLf9DsqBcdaRLih1/4GD0SxcKYHIPEkw90kUsgKCRMftWWEEIIoIGRQY7PN5+BEM/OPHwYSq4gmPM5HyyVX80lhBACaGCEEEIIId6GBkYIIYQQ4m1oYIQQQggh3oYGRgghhBDibWhghBBCCCHehgZGCCGEEOJtaGCEEEIIId6GBkYIIYQQ4m1oYIQQQggh3oYGRgghhBDibWhgxCOqq0rfW+yz/9BhVTrxkJKSglfnfhiXkHCuw6nKIoQQch1CAxtUbND637D2hilHJp4/03Wbb21rGHPgyelRL8gyyEKBCYee7eyw4tDZUjv75Ov/z/qbb1x342OfPao1xsuSksTkpMdGTVq1dqPJWKXKulh81m0CqsTBwb4vvhT/ASVISUtR5bY2WULDDzz654nrNu+42P+JvKXVcTBXH5BUGltmPPNdgTPbrZ9lVc46kjsvOj+hwqTK7ZHGBuO4abO6j5AQQog3oYENHqBW0yKn/mDT93+x+8F6Z6VMfy927m8+e8TapBeHyEKB1anLEIeEQc5+vP2/Q3K3ayqPwdX+e/s9ZZYcUVJQVlo4YvyL4QcPne+8Aos3g9jA4FiQm/Kyoqenvtab3xQV5WEyjx6LVqW7p9Bc//ONyTcsi3k2VGdx2kTi+TNNKVW1D15IF9y8MhaW1t7ex2WigRFCyLUADWzwAMeCacGo7t3x41RDjEyPLjs0dNt/5ZrTxWGmMRGH8C3ESxuy/2vbj3ZnbxVZ4nCD1l8cgrPtjuV+6+d/sqq9xbVgdvkMYgMT9Ok3+774cuqrc20Wkyq9N2BaEQWG23w1cKz7ApOyjXUi3dFsn34wG4lvR+ZB0fbnVN+zLvEn6xOza7oK9AYNjBBCrgVoYIOH9BrNf2+/53Dx3l/sflBpUcKrwgv2iEP41n1BP6m1lyGOKt/f+P9+WfS5yOrssH5VcUS6GoAo/GX67BiNRqYIYFEBW4JeeuO9abPmJaUkj5wwHZG62q5lNjeg4rI1AUEhYQ8MG/vwiOcQkY/kznc60zPSJr781pChjzw2atL+Q4dllvvumux1azdtR4N3PzTi7YVLzaZqke6ecx3Ow5FR6Ai13vlo+YZtu+Z8sLS1ySJy0cjCZWuQBZb4rrMqhEl2d8fPnsAwsnOyZBbo029KSgpGjH8xK0unSu+Njnbn0pgiscr1vVUamNa5Tld6vcM6JkR7t39CWnUtDptbHa8dzrnVR3OkwABpk9W7I0YYEXVs9oLFOIWnp76GaZe5mJao4ydGTXwZVwG/moR4sfaJWtPfXLDzs8/Fg1R45L2PPql8oipnDDOz0n8DZkmku0fZ3fBx0+RFd1jNL8ych15kydy87D9NeKmgIFccFhfnQ2RRC+MPDT/w7AszMbGyMCGEXPvQwAYPQq301sIJh56dFjlVbgVrajX/ad/wN068Kg4RkZvAVAbWHdzVHh89ubtPQIlmzF2IdPwuWOKToU3HTf3A4QhVse6gIu6juJGXlhZu2bnnnl+PjI2PE1m4ucKHVq/fjE7hRsPGTJUb/910J1bpcANGO7hJv7/U99W5Hzptfd/+M7O0Dw0fL7rb83k4vEEaWIuz4fV3F02fvUCrywCIzFu0QmR17+6Z51+vNX27Pa5PA7vYJSiL0/ZsqO5H/glvR+bdskrzwYmCtjYH0sUa2E3LY1doihFXVnGPGMDf5nzwVUwMTmHW/I+Vp4DzwrTsCt2LC4RfefVRa9Irc/w3bjt56hQMEtJz6GgkVLi8rAi53WcM2urJdjdVd4jLvwc4Maa3o7XrqStsDFdfiB3+YQD3Fd0lJifhcmCcNDBCyMCCBjZ4mB71wpgDT7a2NaxI/qT7VrDhe3/vaDHZW4y//3wYCoj0yzEwICJioQIRvw1dTzPdgGLymSZ+ERftgKCQMHmLPd/pDNy2852Plrc1N4paohh+Vd2ZjFVPTZ4hV+mqKktGT3rFkxUm3OCV3fkGbJYGBi8ZPm4afkVJ3OalajQ76nfsCSsuzhdZonfl/FxxA8s21t0XmDRqjzaqyHBvQOKTe7R1dtfsnetsOpyvv9037oZlMXf6xc+Nyss0mM96sFdPDACOKw4xYzhZMR745WKftQARHIoLJOZZDhuR6W8uwB8GIuNffEOsn3WfsTFTZuirXOus7ok+eTIy+rhYZhO9y78HZSPwMNgY/kJEFq4v/ixldxjV755+ngZGCBlY0MAGCWITGEwL8eiyQ3dtvhN2JXMPFoYN3fZfpQ3ZueZ0RFBApEsDE5Eb1t4ApJ+BizIwee90g6qY8hAR5XNANCsPZTH8qrrDCJVPoDz3G1TvrTtUV66pqLpQ0r27Pgfg+QgBNCs8p/qWVZp50flVFsuYEO3QtQlavVnkdrQ7v8zTi0364FYfzWdZlX2+DqkagPIQp49JEBMrkPMsiyEiDUxWxC/iSBG13MyYe2R3wFJfA8MTbg3TRftSrNGdhxeIEEKuWWhgg4RMY+Kdm++MKAlHXLW/HkC8frjl/yAX3Bf0E4PNtZwDpIF1tDfW2suK67N+G/o/A87AMMIh33wGQtB9wN1B9UswsDPt9v2HDj82alJv3Sm9pEf6LKCkrc2x4HgB7Gp8WOZKTfFvtqXevDJ2T2al2AomaG93plbVvnkkF1m/3JxSUFsvs3pENQDl4eUYmHJCgHIC3ZCdkyW2c0lk7+KBr2/A5vOdTniY8vUFdEcDI4QMdGhggwT4lljBkii/AeZoMQ3f+/v3YucC8aRSpEsDUxZTGlh5WdHvnn5e3GWVyBszfq+6gT3z/Ou6TC2EQCI3D7nBb8NWZXfKnfhubvBaXcajf5745ZGjDXUGdNT92xNIdC9YfRZQYrZbRwZniCUuydyovJZWh6PZnmWoK65rEIteSEH6Las0YaerlH7WHdUAlIeXY2CYB8wGEgWwpT73gaEMvGrp6oAaQ4WotcR3nbJ3iNf4F9/AVMPDhIqJ9PSMNOVjx6ws3YjxL8pDQggZENDABglvnHj1t6H/U1yfZbSXgLdOzlR+A0wUGLH3CbAk8UOZqFot01sL/3v7Pcr3KMW7kJHRx2WKQN6Y8dujgeFejlvmm+8tVr0gqSqmPLzYfWAiRTyfghghDs51ODFmTwxM7AHHPb6zzSa2MUkD676rSe4DQ+8z5y1qcXYpbG/7wBKTk2SKiuLi/FETX5aNuydDbx66NmHYjrTjxTVp1bXhOdU4HL4r3Wht1OrN96xL/OPuDMRRsrXNMT86/+aVscG676yQdUeMUI5ZeXix+8BkRZwOPLiqsgRxAPfyxMDQyJgpM+Trjap9YEBc3Jg4zbRZ85STXGuqQndrArfgQqCjuR8u83DJjRBCrh1oYIMBsb9evu0IIkrC79x8Z6YxUaaEF+z5waYf/HDL/5GbwED3L7IO3fZf0DhZQJjQ7AWLpXMIpADht0cDw+0QN8UhQx9RvSCpKqY8dP8upCiGX1V3uG1DEabPXoA7NFpA9dGTXqmuKhUV3YAzWuK7Tjz5eumN9wK2BEkD6/5mn3wXEocPDBu7YdsuCBl6fHvh0nsffVIpB8JaMGP6qjI4ClDqIOZzV+jeF2bOc1i79nK5ASK1S1sxZEXswpOF4v1Hi9M2Lkz3w08Tkitr6+zWsZ/pblweMyk8yy+h5J2o/Nt94x4ITLrY74GpDt28C+nGwMTi2ftLfbOydAAROBPcSLTZGygw9dW5s+Z/jCpFRXm4BOhOXFaB2ID/tzkfTJkxV/nCKaYRfyG4ELh2D494budnn/MpJCFkwEEDGwyIbV4HC7veFAM9bgX7P1vu+u/t9+ithTIR9Pm/Eolv4geH7VMuaUgBwm+PBgaJeeej5XLpSKIqpjzEbdXN98BEMfx2767J7Qe63IAeYUJitQbNSgMDvX0PDCUxsOHjpmGQsIeYOI1SXwSYMeXeJpmL7jQJ8fCbU7GxsrAbWlodbx11PVjcl931DbD2dufHXxXetDx2W3r5mU5nlqHud0Fp8unkXX7x+3OqL2cnPnDzPTA3BgaUMwYBNejLRbp7cLFwyXDhxBVftiZAXlYBTAsjkctySnCxMAb8YjA0MELIgIMGRvomLT3t8dGTcafMyT2tyrqWwS1ZrMMpQUqPt+qw/V8oDeyKA1f4cPma+x8fExp+oM/Hc4LODmeRuSHTYFZ+7qvObtXqzVUWi3CyphaHpswYrKuMLTPamzxq1stAbVWXAFzZqS4uzn/m+ddpYISQgQUNjHhEQ53h0w3bDh2NVKVfy0B0bBYT1EeJWPESBcQiCsjOyfrL9NmB23aK9Z7+oLysaNmagKKivP7r4tpETrISh9V8mfMgL26NoWLp6gDlm5KEEDIgoIGR6xe5PKN61EiufZQLnNAvzx89E0LINQINjBBCCCHE29DACCGEEEK8DQ2MEEIIIcTb0MAIIYQQQrwNDYwQQgghxNvQwAghhBBCvA0NjBBCCCHE29DACCGEEEK8DQ2MEEIIIcTb0MAIIYQQQrwNDYwQQgghxNvQwAghhBBCvA0NrF9oaXUczNWvTSz1VxCYUpZtrOvscMaWGdcnl61LKg1IKt2RUfFVqdHaZJN129ocRwoMs4/mvXIoB7ki6/yZpjxTPVrYrau0OF0pZfWWTanlKGC0Nsq6Vx2MM9dUvyGlTFNmPNPhVOX2ydlOZ1y5CdOyP6e6udWhzHK22CMLDfOi82cdyT2QW40ZVuYSQgghAwsaWL8ASXo2VHfDshglQ1bE7tJWQCzmR+ersn4flF5a34CKjmb7vGPfyR0ZnFHRYDnX2RSaVXXzytjbfDURBYaOdqdfQglyf+SfkF5tVnZ9ZSmorf/phqRhO9IMjR55nhzn+ycKoJKq3D6xNdkmhWfhvO4PTILJyfQqi2Vc2Hfmc8ahnMYLJkoIIYQMRGhg/YIwsDvWxO/WVaZV1wq0enOd3dra5jKwm5bHLo0pSq6sRYFfbk6BUiyJKWprd8aXm+7yi0fK8eKa0zV1E/dmIguy1d7uFGaDQ1TXNzYKIxlkBobuHtyYjPP63irNF7nVaA2JZzqcgSllNy6PeSpEm6E3J1XWQlhRIDynqwAhhBAy4KCB9QsNDtszobp71iXCulRZwsBuWaXZm10FgTh/pulwvv5WH82U/VnWJntQRsWQFbEff1UI5ULuoTw9VGPWkdymFoc0sP/ZnrYvu3ro2gRPDKzG2vjGkdy/7M38w870yeFZm9PKfxeUBrErrnMtuQFHs317RsVj21PR+B93ZxwrqunscHUdUWCA5P12RxpkEVmoPjpEi4pwRIxZPG186WD291fH/fDTBJyRUDRUFOPEIMeHZd7uGzd8V/qpUuPZzr6fSKKumAqcF/jopGsSkA6T+/BkIaYFtooyaGpLWjlGtVJT3HGhQG+UlBRMf3PB1l0h9z8+Zu+Bg+u3Bt376JP7Dx0+78FgCCGEkH6FBtYvuDeweT0Z2AsHTlubbFvTXW6x4oJbICuqyHCbr2bGoRxHc5eBQciE39y43PVYs08Dg2n9YpNrVQk9CrNBC/iFM2EkELu5UXkiXYCRYGAdHQ64GkaizAJyaSq7pu6nG5KUWbC3Ksu3T0uRguFh8IhgHlKqanE6qrGpgG9BPVF3TmQeqjwVoq2zW5HuWgNLdq2BTd1/2sOlOAEMbOSE6aHhB2BdiBw9Fh0UEvaX6bNtFpOqJCGEEOJlaGD9gngKCV95OzJP7sQP1lXCsVRPIUMyK3+1JQWHgSllbe2OPg1sXJjuLr94aA0E6LHtqR4a2MjgjPhy08ObUyaHZ50qNf44IHHivix7k73QXI/cBwKTvio1YsxBGRUwMIxcbPYHPT6FxNh841270N49lm+0NpbWN6BZjG1PZuWZji4DG7ErvbzB4mi2LzhegJLLY/tYrwJCWzG2yEID9AuRTEPXqeWaXONEO2h5yv6s6OIaTx5xwsCefWEmfsViWGODEZHxL75RXlakKkkIIYR4GRpYv9DjTvxfbk4pq7cIA1OmQ7k+OFFgb7af7XT2aWBQOtHyq4dzxn6m88TAfr4xGUKTVl37m22pLxw4nVhhui8wacLnmfIFzKYWR56pHu18frrqbv+E3wel13zzfmWPBtbS6njjSC5c7VCe/lyn6+khVAkGCaGUBibW2JB1MNf1IPXNI7l9vr2YXVP3k/WJkMXKBsvCk67FMOgpWkAWpkKrN48J0cpJe/9EAYatrN6dHg1MpKhKEkIIIV6GBtYvCAP7/uq4HRkVcid+trEOUiINbMr+rJkRufCM5z7PrHe4Hrd5YmAff1VYWt8AHYEbwaIu08CcLfZVccVy65Xgd0Fp7g2sudWBkaNWRIFB9WxRPoUUO/GRK56xwtjcGxgqwv9uWaX51ZaUlZpiDA8jefeYS+OUZYrMDT5xxXf5xd/uG3ekW+8qaGCEEEKuWWhg/YJ4oHa3f0JqVa0qC0oh94HV2qxjP9PBscRikocGJvanNzpt48Muy8CgiRH5erT/44BECJN/YikGhsOrYmBiWpQiCEbsSjdaG3G+eaZ6+KtoAV3s1lWii/eO9/G6JQ2MEELINQsNrF/w0MA6O5zBF2Ri4t5MGBXcQhzKR3gog5JzIvPku5BX0MDqHNbVF7ZzrU8uE+8qogwa7NPAYELvROVjMOIpIWRRtLNG8dWMizUwk836x90ZmLGw01UYxsmSmj/sTMdhSlWt2W4dGZwxdG3XmUrJwxjct0kDI4QQcs1CA+sXPDQwyESVxQK/ud03LqLAgEOUhwP9YE0cJGxZbBHkaciK2J3aCrjaFTewBqd1e0bFTctdu+aPFhp2ZFSgAERKuQ/MaG0cvisdo4VC+SeWbksvh4phnGIVCnK2NKbogxMFP/w04fur46KLa85e6hoYThzTNSZEKx7IwuoWnyrCuWNUza32D08WYmCYqFVxxUi/PzAJbe7v63tgNDBCCCHXLDSwfkHsA/PEwKBWaxNLb1zu2hZmbbLBPHZpK2AzEA6AdBRGOkpecQNDs6X1DaMV29sFyjUwDG9Tajn6FVl3+cUnV7rOyN5kh3jB3kT6bb4a+FlTi2vd7hIMDLVgmfAttCkeLCJFbOGfdSS3udUBEXzlUI7oC6B96Cl34hNCCBm40MD6BYhLkbnhdE2do9muyoJbVFksOoO5wdH1KqK92Z5pMMt9TrCWygbL/pzqkMzK7Jo6+b8r1jusWr252uJagsIh0kUXzhZ1F0rQJlouNNdjJLmmeigXIkiBmYmW4XPQxG3p5XAgNIis/Np6iKBs4WynE7XgeXC4LMO3Z4T0HGPdZ1mV4TnVZfWuL4GJdDFO8W0wHMLzcLLiP1YSBbqDLJwXatXarDh9kYiOcHYYOSYTh/jFLKG7iAKDSVGMEEIIGYjQwAghhBBCvA0NjBBCCCHE29DACCGEEEK8DQ2MEEIIIcTb0MAIIYQQQrwNDYwQQgghxNvQwAghhBBCvA0NjBBCCCHE29DACCGEEEK8DQ2MEEIIIcTb0MAIIYQQQrwNDYwQQgghxNvQwAghhBBCvA0NrF8419mUWlUbmFKm1ZsRV2WlVdcGJJX6J34LDuPLTWc6nYXm+g0pZcqstYml+7KrLQ5bdHHNuu/WAuuTe+iiO6pOt6aXV1saZVaG3vzBiYIXv8j2jS8pb7CI9M4O54mS7/SIkYSdrnI025F1sqQGrR3O17e2OdACThbDPl1Td17R6bXP2U5nXLkJJ7I/p7q51aHMcrbYIwsN86LzZx3JPZBb3fLdXEIIIeQyoYH1C3CU1fElNy6PgYThNq/MOtPhhM3csCxGxcdfFba2OQ/m6r+3SqPKGr4rvbSu4dXDOap00GMX3UEB+JOsdadffEKFCekY5y5txa0+3/Z4b0AipAQiBed440iuTBf8dkeavrERWXMi83D40w1JeaZ6NOKXUHLT8tjtGRV9uiBobDCOmzZr3xdfqtK9j63JNik8Cydyf2BSrqlepldZLOPCdPKswYxDOY1OmyzghtYmy5wPlvqs26RKJ4QQQpTQwPoFTwzsnaj8lKratGoXGXpztaXxTEeTMLAp+7Piy00iK73anF9b39bmLKu3IA5z+uuB09CdT04VobpWbzbZrH2uPKGA0dqIXk6W1PxhZ7o0MHQ6bEfa91fHBWVUFNc1fHiyEC0/v/+0vckOl6posKRW1S4+VYREmAqGBN9qb3dKAxuyInZHRkVbm0MYGOIDy8AKausf3JiME8Gcf5FbLQaPC4Srhmv3VIgWM5ZUWfv7oHQUCM/pKuAeGhghhBBPoIH1C30aWI9ZuMHDA3Cznx+d39rW82Mv6M77JwpuXhn7WValJ0Kgwtpkm7g38441XQYGh/vhpwnPhOoaHK4FHgjWA4FJcDJD47fPKEOzqtAdfFE+iZMGBmBmdXarh2tgKWkpQ4Y+ogK+AmtB7vlOZ3pG2sSX30Li8HHTDkdGnev4dn7MpuqFy9bc/dAIMHvBYoO+XKTD5D5a4bdgic/ICdMTk5NeeuM9REpKCmTF3sBQD+fr5frfRycLIZdIxwzDRCGXu3WuGcY12pJWjrNbqSnuuFCgN9Dp46MnK08NwDVhnKqShBBCCA2sXxgoBpZYYbrTL/4vezORjsPiuoafb0x+bHtqlaVrNxi6cG9gQ9cmoJE1nhmYpb4mPjEh6vgJCNZK/w2Ig+ycrLPtrpYLCnIfGzVp9frNUBno17AxU0/FxoqKLc6G199dNH32Aq0uAyCCQyQiCwb27Asz4xISPvHxR3qGNh1Zfhu2iopugG99/FUhTg3ncs+6xKdCtFBJpLvWwJJda2BT95+WJuoJTfa65NRkjHnKjLlwRHF2cMr2FlezhBBCiBIaWL/gyVNI3PWf3KMdHeJiZkSuvrER+iKeQv52R5pvfAmKgYCk0rTqWmk2146B3bJKMy5Mh6xVccUrNMWX/xQyKCRsxtyF8BjEz3c6A7ftfH+pb0era2BmU/X24FCTsUqUzM3LHj3pFbHQhXbEKhoi4tkfIu98tLytuQ95anDYngnV/TggMbLQAP1CJNNgFlm5pvpfbHI9ncTZTdmfFV1cg2mXFd3Dp5CEEEI8gQbWL3hiYEoe3JhcaK6XBqbK/TShBLVE9WvHwG7z1WBgD29OGb4r/a2jeZ6sgQl6MzBYi1JcpFrJFAnc69kXZroxsN4qKsmuqfvJ+sSRwRmVDZaFJ12LYSGZXVN6/kyTVm8eE6KVlwBz3tTikYTRwAghhHgCDaxfuOSnkMLAIElx3+zEz9CbjdZGudf+mjKw8JzquVF5t/poUOXy18BgLapNVFKk8Ltpx+4Hho2VWY+Pnnw5BoZxfn666pZVml9tSVmpKZ7weSY0691j33n4izJF5gafuOK7/OJv9407UmDo840HQAMjhBDiCTSwfuEy94HBb6TuqLgoA4MxFNTWb0wtO1VqxJCQAtOCb8l3IS/TwCAlEQUG2An05YqsgS3xXYdcicNqPn9hig5HRg0fN02TEC/SdZnaZ55//XIMDKY1LzpfLnEJRuxKh+y2tzvzTPXZxjpxvjij3bpKzMB7xws8eRZJAyOEEOIJNLB+4doxsGNFNTCkifuyhGNBrYbtSLs3IDHL4NpulV5t/pF/wsjgDPOFTeiZBvOPAxKHXxAR0YJ7A4ssNOgbG/+wMx364rmBwatemDmvu4EFhYTN/2SV3LcOlZEGBqFZtiZAvhrZ5z6wPg3MZLP+cXfG3f4JYaer0qprxUc6cJhSVYupwIQMXZuAyUHJHmfADR2ttveX+tLACCGEuIcG1i8IA4OXPBuq80v4dk99YoWpvd2BOLKe+W5WfLkJta6sgYGyestvtqXeskrzekTumoSSyeFZkL9xYV2fn4BpQUSGrIh96aDrg/ijL+x8eutoXnOrA4M5UVKDsaEKEh/bnooC4pv4SgPDeJbEFAkD8/AppHCUWfM/jo2Pi09MKCrKE+kwqpETpsPDEDl56tSzL8zctGO3XAN7YNjYvQcOVlWWIGv6mwtGjH/xcgwstaoWvjUmRFvvcAlfR7tz8aki8Xmz5lb7hycLcUZQ1VVxxUi/PzDpVh/Nfs++BwY2bNv1l+mzMU7lm56EEEKIEhpYvyANTMXSmCLoizAwFcpv4l9BAzvb6YzI19+zLlF29OstKfAPsaUJLcD8xFdJBWM/05XWu77ygAG4/ya+MDC0oCkz3ukX77mBgbLSwqmvzhU7uuRykfJ7YA+PeG5zULC0KERwiMQ7fvbEzHmLYjSay9mJj0Hu1FbAtz440fVgESli5mcdyYV9QkxfOfTt/0CA2V4WW+ThTnzQUGeYvWAxhooT6dMFCSGEXJ/QwPoF+A3u4hl6s9hNL/fUQ1/OdvacVW1xfY2i0WnTGcyVDZbeVAbpVRaLVm8WizceUme3HikwQDu+KjXam+2qXIvTBpfarauEjUnzQ0cVDa6v8MtBIi6+iY8sjBDjFE82ITE5xjoMSXxP69oH48dsY8C1iv9OwNFsP11TV2h2/SdLOMRvpsEMzY0oMHjyvw4QQgghFwUNjBBCCCHE29DACCGEEEK8DQ2MEEIIIcTb0MAIIYQQQrwNDYwQQgghxNvQwAghhBBCvA0NjBBCCCHE29DACCGEEEK8DQ2MEEIIIcTb0MAIIYQQQrwNDYwQQgghxNvQwAghhBBCvA0NjBBCCCHE29DAyODHYTVHnzxZVlqoSifeBPOPq4BroUp3T2uTJUajOZ2deb7TqcoihJABDQ2sH6losKyOL3nxi+z3TxSkVdee63QldnY4T5bUBCSVHs7Xt7Y5kJhaVbshpex0Td35bi1cEUpKCp59YSZ+VenXD/u++HLI0EfmfbyyvcWqypKgzJwPluJ+r0onVwRMLKYXV+HQ0UhVlntS0lJQa/KMt20WkypL0thgHDdtFkqq0gkh5FqGBtYvwKWSKmvvC0y6YVmM4HurNNszKqBfLa2OOZF5SPnphqQ8Uz1S/BJKbloei1yhaFecq2JguB0+PnryNaJ9Lc4GjKeuVq9KV+IdA0MvcAUYgyrdPcIwUFeVPrAw1lSmpadd7AxDmjOztJUVJap0JTQwQshAhAbWLzS1OGZG5MKr3j9RUFzXsEtb8YM1cb/aklJS1yANbMiK2B0ZFW1tDmFgiNPAriI0sIELDYwQMhChgfULRmvjH3am3xeYlG2sw2Gj0zY+LPNOv/ikylppYGBSeFad3erhGhhsZvqbC7buCrn/8TF7DxxcvzXo3kef3H/ocJ/7Y4SBRUYfn/rq3Dt+9sS0WfOUO6Ka7HVrN21/YNhYZM2ct8igLxfpuKuhu52fff7onyeu27wDt390h8iZdrsoYDZVL1y25u6HRqDuSv8NaEek+6zbNGToIyqkPZzrcEYdPzFq4stIfHrqa6lpqXL8iKRnpE18+S1kPTziuc1BwdKH0GbAlqCX3ngPg09KSR45YToi7te0BOi3+xgEGMnhyKjHRk3C+INCwkLDD/SfgaFZ8QxORZ/SgAKqKkCOUzljw8dNw+ngpGRdeYHA7AWL5ZXFPHy0wm/BEh9MY2JyEmYVEU9c2c0FUv6NIRe/8m9Mee4XJeXKc8cfgCoXZ4eTwh8t+kpLT6OBEUIGHDSwfkHf2DhsR9qDG5MLautxaG+yQ7buWBOvKTMqDWzo2oTECtMajw0Md0qIAqwLkaPHouENf5k+283+GAEqjp70yuvvLsLtE6AK4i3OBmSdbXcs91uPe2dsfFxuXvb7S31xP2uoMyALBjbplTn+G7edPHVqxPgX0e+ho5Hot7ysCLmojkamz16g1WUARJb4rhNyVlSUF5+YsD049KHh43GzRxzoq8qQBdAR0neF7i0tLcQvvAH9iqyCglz40Or1mzFgyATu1sFh+0QWbsAz5i7ELRa/UIcMbTruuAcOR4hcN+D2jxPBmGF7KgPLzNJiJOgO/aKjV+d+6ImBYWwYmDQDgarl7mCes3OyMA9QVZwyHFRMi6W+RlVSBQqgGMqjFuqKWmgKDSJXNWPDxkw9FRsrKna/QPKiY7S44nEJCZ/4+CMdk4ksvw1bRUU3uLlAyr8xVXfwNofVjKuAP6SLMrCOVhtqGWsq8Q8DlYG1t1ghl+LvFj0uWvkpWqaBEUIGFjSwfqFPA7tllWZcmO7mlbGr4opXaIo9eQqJWxduOfgF099cgJsTIuNffEMokRtQDAqF+6I4RASHSETcZKx6avKMGI1GZFVVlvxpwku4pSGO9sW6AiLoDuURQXciF9qklCe0OWbKDKlZABW7327hDYt91gIhELiPzv9k1YZtu0QuWt574KDcLA+/lEqEG7C4B+NX6A4inkiDQJyLypPQL3xOLN3BEnwDNntiYLBMKC8aVNJnLQnGgJGgiirdPSjfffwAU6Q8hcBtO+HQEBccmk3VkGBcX1ESVwqGJC4H2hFnioiYVUTe+Wh5W3OjKNwbbi6Qm78xSY9/En2C9tGLGKcEf2n4Q5V/t2iTBkYIGXDQwPqFPg3sNl/NpwklD29OGb4r/a2jeR6ugXU3MJGiKqlCVUx5iF/lrUvc6cWhjCMiDUzm4ldpEso2BSjQ/XYr7qZKk8CdVXVzlUhRQFwWw6+o7qZid8TIlf0CVJftA2V3/Qd6Uc6bh/Q4fqCaBDenoLxAshgiorqbim5Q1lL9AagOBT3+SfQJ2kcvqmuNRnr7uyWEkIECDaxf8MTAwnOq50bl3eqjeWx76iWvgXW/z3VHVUx5iN9LNjDVkzjVzRUFejMwVUV5czXoy2fOW3THz56QWfIGL1UDv7jxK1M8QYxcVJSgulI7Ls1CLhb0gpFgPKp09/Q4foBTkHOlmjH8btqx+4FhY2WWvBzyTBERc+jhubu5QGi5t78xSY9/En2C9tGL6lqjkd7+bgkhZKBAA+sXPDGwIwWGiALD7b5xNyyLGYhrYE9Pfa28rAiJApvFJDfpiwK9Gdiu0L2yFmh2uKZIPJGcNf9j2SaKyRs8bsDiHoxfISIyxRPQWneDQXWldnhoIWLGpIIIVC27ASUxEoxHle6eHscPcApLfNeJ6RI4rObzF95sOBwZNXzcNE1CvEjXZWqfef51cTnkmSIi5lCmiGZ7xP0FQsu9/Y1JevyT6BO0j15U1xqN0MAIIQMdGli/YLJZ/7g74551iVq96wvgdXbrk3u0d/snpFR1vQsJA4ssNEDU/rAz/QoaWGaWdsqMuQcjjorbsEBVTHl4sfvA5H0uNy8bd3SUFxXF7iilgWVl6brvBBL7wAK37ZTDg34JAxONxyUkiHTQ5z4w1V3ZDaJxlcFclX1gkdHHMRJUUaUDNIIxvPne4u7veMKrXpg5TzV+gCmCFcmNWWhBGhgmZ9maAPlqZJ/7wPo8d4zZzQVCy9wHRgghFwUNrF+AZs2Ncr3wOGqP1je+5G9f5gxZETt8V7qhsVFpYG1tjiUxRcLArshTSNyohgx9RPWCpKqY8hBK5OZdSOFbiHQ3MHFfRHmYFkBk2qx5yk5xjxw5YTosR/XSX2JyEu7NcMTS0kL8Dhsz9eixaKSLJRaMPCklubysCHf3MVNmyBs8zkvcg/ErRESmuAH+IWwJDT499TW59ib2ql/au5CXCebq0T9PDA0/gDlJTk2Wn/AAmGGxutb9HU8MGDM8a/7HuEyoWFSUJ9JRBZOMuULk5KlTuI6bduyWa2APDBu798BBWDKycAWlEl2agbm/QGgZ7b/0xnvQd+iX8l1I8UojwDAeGzVJl6lFHNdF+eGM7uAsYJMoKd6FlEt9ojsMhu9CEkIGOjSw/qK8wTIuTAe7Evx0Q5KmzAjHUhoYDpF4p1/8lTKwhKREWEXgtp3iZUOBqpjqEBLQ2/fA3BgY6O1zUwLcQY8cO4Y7rnhOJ2vhviu/BzZ83LT9hw7LlTO0gHbEB8ZgEpf/FFIMWAxAiRgMRgJNwQjRo9+Grcru+g+cLNxFbM9SLQjBV975aLn83oeKstJC8aktIE8ckwz/6PEDXYjgEInissZoNPKiX5qBATcXSPxR9fg9MMy2GLYSXBdcHVGgR9AsGlfVAuLqA/k9MLj1VzExyr9MQggZENDA+hHIVny5abeu8mihweJ0rbsAaFZlg0VnMFubXCltbY4cY51Wb66z9/pfFhI34JasukkDL7jUoAQiJdbhlKhMsUeEgfVZTMUld0cIIYMAGhgZ2MC0Gr/ZjyWR26HIRdHjLjekKHf49cilGdgld0cIIYMAGhgh5HK5NAMjhJDrGRoYIYQQQoi3oYERQgghhHgbGhghhBBCiLehgRFCCCGEeBsaGCGEEEKIt6GBEUIIIYR4GxoYIYQQQoi3oYERQgghhHgbGhghhBBCiLehgRFCCCGEeBsaGCHXFu01xqyJfnVfJqrSCSGEDCZoYFceR7N9X3Z1SGaltclWUFu/MbXseHFNS6vjREnN9oyKygaLpsy4IaUs11R//kzTmQ6n8rCiwYLy/omlkrWJpQdz9ahea7MGZVSsSyoFgSll4TnVeab6sxf+/+mOdmdEgQEllRXRZqHZ1aZybERFS2F5xqhltqRsVXpblb5wzo7UYR+l/eGTsqV7O+vrZNYZW0P5inCkpz7+YcEb21BSZoFzLbYq/0PIKpwbdK7Jqsz6e2eTNTYz/Y9L0CP6/U6WgsaTGTAweJg4PN/mMIfH6cauTHlsYdZf1qAFtNNVuLPJnpSDRGShTRRD4a6sC7m9dYfTwUm5TmHYRzhN1SkATIj7QRJCCLlMaGBXngaH7ZlQ3X2BSZkG85a08puWx04Oz6qyWJ7ff/regMT0avP7JwpuXhkbmlV1rrOprc3xwYXDz7Iqz3Y2wdJu9427YVmMErSGNrV68z3rEpXpqAXNgn7B+dC4Mgt8b5Xmi9xqdKEaHlHSo4F1mmtzpq0vfDvIoS2wp+RmT11bNG+X0CkIVsmHIaf/v08bT+masotLPtiTNWFNu6Gmq2J9XdG7u7RPrYCZqQwMbmQK+SrtiY9hPJnjV/cmN2i/eEFw+fJ959u7XApelfHnZbV7Y1uKyvWbjqaPWGxL7BqtIyMfh5Wrv0BrdV8m4kRqdh4XfuamO4wKp4MTtMWfxikUvLkNcZyyLABoYIQQ0t/QwK48TS2OVw7l3OkX/1WpcUlMEWToT7szYGNP7tE+ujW1yNzQp4E9FaLVlBnTqmsBjA1VOjucwsB+sy31WFHNqVLj7KN5Q1bE/nRDUo6xThjYrT6ajallohZAeYvTphobUdGjgdVHJCtXoRxpeZnP+rSWVCKOX8SRIrI668ynJ/ujvDisXHMw/7XNHUZj7eexKgOzp+ZqRy+HPKFHCFxvctNaVqV7xkc61pnG+tzpgcbdJ8Wh8DP0Ig4RwSESxSE6RWFUQdxNd9BKOGJzbok4FD2ivCwAaGCEENLf0MCuPJCqhScLb/PV7M2uevVwDgzs4c0px4troF+QMH2jpU8D+9uXOdA4VbPCwEaHaOvsrvt6o9M2PiwThdGy/YKB/WBNHLxNWcUTDPrymfMW3fGzJ4YMfeTpqa+lpqWev/BkEyCSnpE28eW3kDV83LTDkVHnOrqygNlUvXDZmrsfGgFmL1iMdmSWmzbRQtTxE6Mmvows/GoS4mXWvi++RDv4fWzUpAeGjV3pv6HF2SCy+g9IBmSruaBMmQgdqd2rOddqF4coA2URjgI1QXm5YgTHgmlBfcQhjEdYV3cDg7q1VVYj0l2JlKCia0Wqoeuh51m7xbD9WEtxhSxQ4bO/6F3Xgpzo2hR6SmZhbKcnfQopRNxNd2gNbaJlceiSyEmfmvfHyQJAdZqEEEKuODSwK8+ZDmdAUimkak1CydjPdDAwmNP2jIr7ApOmfXG63m69ggb2/dVxJ0su3cDaW6zzP1k1a/7H+fk5NYaKLTv3/GnCS1WVXasjBQW5kKHV6zeXlBRAv4aNmXoqtks14Eavv7to+uwFWl0GQASHQpjctxkbH/fQ8PG7QveWlhbi9/HRk1PSUkQW3Auet2rtRnS3/8vDkLDgsH0iyw0ojEbgc0rQlKpYb8A/8l7Z1JsPCeBVmc/6CKFRWg5QGZiku4FJ3BgYrCh/1tbqwAhVukR0Jwp071o1NoGb7gTI0j29yqEtUCViWlRNEUIIuYLQwK488Kqw01W3rNLMOpL76NbU32xL/ZF/AjTrjjXx86PzbU02GBi07P7AJOjUqD3au/ziVQYGrxoZnIFcMHFfVkpV7flvDEw8hYwpNc6NykOt3+5IK2+wyH1gv9qSImo9FaJdoSl2tnSt4vRGY4Nx3LRZEVHHxOG5DqfNYupo7XqqFRQSNmPuwia7az3mfKczcNvO95f6ilyzqXp7cKjJWCVK5uZlj570CmQIcTdtnm13LPZZCxDBoXA1vw1bRUlo09RX56Iw4qK7OR8sbW3qWqrpjTPtdlRBp0r6rOU5sKLCOTvKluwVm9yl5Rh3nyxdFHqmsf4KGlhTdrFu7Er8qtIl1thM7VMrmk4XIS4NrL3GmD11rTOr8BIMDCdV4Xsg//UtZ6z9vtxICCFECQ3sygNbEiL1h53pPw5IfO1wDmTrmVAdnMw/sbSpxS4MTInKwJRZt/pojhQYpIEps36xKTmp0iVnPe7En7g3E7anGpsK4UDTZy+IT0yoq9XDZpS5Pus2AXkIQ+pNieBez74wUxiYmzZRFy0o21R2oWrfTXdeA4JS+emXrk1g5V2uKS3HFPJV6cdhV9bAqgMj8mdtlc8HVTQXlMHP9BuPiL320sA6TKacFwIuxcA6m2r3xqaPWOzIyFdnEUII6WdoYP0CbGno2oQbl8fc5qtZl1T68OYUeBU0KySzsqXVcTlPIaF0KP/rLSkws4O5erSALGFgl7YPrKHOsHR1wAPDxg4Z+gh+g0LCpDPBjZSP9oBUIvxu2rFb1BI8PnqyMDA3bQ4wA7sgKBl/XmaLz5KJKsvp/ihQcAkG1tlQlzNtffemBEKzihcESz/r3vXFGpg1Liv9j0vM4XFC6QghhHgTGli/UFrf8MvNKTcsi/mRf8KXefo/7c5AHGoVXVzT2nZZBjY6RFtrs4bnVH9vlWbC55nibcfLMTCJw2r+8sjRh4aPlxuz4EZLfNcpn+6hjNg4fzgyavi4aZqEeJGuy9Q+8/zr0sAkqjb7w8DQ6eXsA+uVziZLdFrGqGXQFGU6LMfNTnzJJRiYLTFb94xPa1nXYpuSzvq6wjk7it7dpVweE133thNf0lt3Dm2B7ulVUEzqFyGEXBVoYP2C0do4Ylc6rOvnG5NTq2onh2cJG0uvNkO5Ln8nPtqH1d3mq4nIdy2DXbKBNdnr0tLT4EniEC41btosqS9BIWHzP1nV3tKlEZAhaWDQpmVrAuSrkcp9YG7a7HMf2CUYWD/tA+ttfcj91ygkF2tg59sd5cv3lXywR76AKYF1wb1yp2/oMLl2yClx8zUKSY/duR5oPr2qau0hsbmNEEKI96GB9Qu2JtvEfS7rGr4rvbS+YU5kHuK/2JRcUtfgiYH9cnPKqrhi8Wl7+U18pYGd6XCKb71OCs9qdNqEgQ1ZEft6RK6oBTz5Jj7cZdqsee8v9ZXvLT40fHx2TteqD4xq5ITp8DBETp469ewLMzft2C3XwB4YNnbvgYNVlSXImv7mghHjXxQG5r5N9+9CXoKB9QdtlfrM8av1WyIhWBKhU9Ad1RdZs/+6TrpRc36pVZMJyleE57wQYDmRgTjqIgu/IguepBu7Er+Io7yo2G6oyXxudePJDHGoRL85Musva5pzS+RIzljq/37Bfbt/kRXNilpuujtjbcj928bSj0MxbNlmb5vPCCGE9BM0sH4BwvTmkVxYFwwJwrRSUyxszGht9MTAUFiJ8pv4wsDQBcTu0a2pKHy00CC+RqGq5eE38ctKCyFM4ttdw8dNO3LsmHAsgIj8HtjDI57bHBQsfQgRHCIRFWfOWxSj0cid+MBNm+6/B3aNGBiEBjaT8thCJVJu3PyvRBU++1W1gO3C517xq0oHKC8qqr4Bq6R7m98+auz9fyVy0x3qooUeswghhHgNGli/AO+pslgy9OayesvZTqfJZoU/iU/biywc1jsurKl899DWZNMZzPK79kB+E7+pxZFdU1dQW4+4qAgJQxfVlkakII6Syopok9/EHxCIL91X+B7glixCCLl+oIERcpVpEd9E/WZvGSGEkOsBGhghhBBCiLehgRFCCCGEeBsaGCGEEEKIt6GBEUIIIYR4GxoYIYQQQoi3oYERQgghhHgbGhghhBBCiLehgRFCCCGEeBsaGCGEEEKIt6GBEUIIIYR4GxoYIYQQQoi3oYERQgghhHgbGhgZ8Phv3LYmcEtDnUGVTgghhFyz0MD6kSqLxS+h5MUvsudF5ydUmM50OJGI39gy4/rksnVJpQFJpTsyKr4qNVqbbLJWW5vjSIFh9tG8Vw7lIFdmGa2N2zMqDubqW1odOGxw2HbrKvdmVzma7U0tjvCc6rWJpf6JpWh2T2ZltrFOdOces90alFGBKmBbermmzIjWkI4uvszTb0wtK61vkIUxkmBd5U5tRZ3dKhOvBUpKCl6d++HICdMRUWW552ynM67chKuwP6e6+cKsSpwt9shCAy7crCO5B3KrxZz3E40NxnHTZqWkpajSCSGEDGJoYP3C+TNNKVW19wcm3bAsRnDzylhYTnu7s7XNMT86X6YLfh+ULlwHAjTv2HdyRwZnVDRYkJVaVXu3f8KzoTqL0+Vk+bX1DwQm/S4orcbaCJEatUerrHXj8pi3I/NESTdkGep+HJCorHhfYBI8rLPDuSm1/Kblse9E5Qv5ONfZFJpVdcsqDbxQWJp74BOPj558sUp0yZxpt/tv3DZt1jybxaTKcoOtyTYpPAtnjSuVa6qX6VDncWE65bTMOJTT2NdkXjI0MEIIuQ6hgfULTS2OWUdyoUGQrSJzw77s6h/5J/x8Y3JBbb0wMMjN0pii5Mra3brKX25OwT1+SUxRW7szvtx0l188Uo4X15yuqZu4NxNZfgklUKI+DexOv/hgXSXMb2t6+U83JA1ZEbs9o+Jsp7uVMGFgj21PPVZUczhfP/Yzl3ZMDs+CmpQ3WJB+z7rE9GozStbZrWNCtD9YE3eipAZ+qWykR7xsYKDebJj0ypzDkVGqdDfgcjy4MRmn/L1Vmi9yq2GZSDzT4QxMKcO1eypEm6E3J1XWwo9RIDynq8AVhwZGCCHXITSwfsFobfzDzvT7ApOyjXU4dLbYpx/Mvs1XE1VkaLlgYLes0uzNrsIdHTYD9bnVRzNlf5a1yR6UUQFz+virwvZ2J3IP5elx74fMtbQ6+jQwuBSMClmoeCC3GhVfOHDa/XpVpsGMWlCreofrwWKOsQ5j/u2ONH1jI5xvbWKpkEgIJRrEIP964DTkTNlCd3zWbRoy9BEV+774UuSe63BGHT8xauLLSHx66mupaannv3FERNIz0ia+/BayHh7x3Oag4NYm1+IfQJsBW4JeeuO9abPmJaUkj5wwHZG6Wr3IFaC6b8Dm95f6drR6tFiFWRIzDwMDH510zTnS29ocH54sxFWAHKMMFHZLmms5cKWmuONCATecabfvP3T4sVGTcAoPDBu70n9Dk911RYBKSRF59oWZ4lAYWGT08Xkfr7zjZ09gcjAPohhob7FuDw5Fa2j2yLFjq9ZuxGyILETmfLBUzhImWXloNlUvXLbm7odGqEaCAigWGn4gcNtO5KJZjBkjR1atqeqZ519XWizaHP/iG5b6GplCCCHkikAD6xdgMMN2pD14YdFLldXa5pjXk4HBlqxNtq3prpv9igs3e2TB2OBtMw7lwIH628CgGgdz9bf7xj0VohU7vYrMDb/aknJvQCKG8dznmcg6UmDocwGsqCgvPjEB0vDQ8PG4fyMO9FVlIjc2Pg7pu0L3lpYW4nf4uGm5edkiq6AgFzawev1meAkkAL4SHLZPZEE1ZsxdCInB74IlPhnadCjLgcMRIleiUhD3wLdgujevjJ0TmXfPukR51q41sGTXGtjU/acNjY3KKn2i1WU8+ueJ+788DDuERf1l+mxYjlDMPg3sjfc+idFoMBtvvb8EGgQZEiWPHosWM4b5gZXChzwxsBZnw+vvLpo+ewGGBBBZ4rtOaJYwMKTgGuEqbN0dcu+jT+K6IOtsu2O53/r5n6yC9uEQv4jDa6UlE0IIuVLQwPoF9wamfAoZklkJy8FhYEpZW7vjcgxMPoUMyqj4+cZkOERAUmmn2/344ikkXG3ErvRfbHI9j0PF+HITHA65GMOquGIk3rEmHq1N3Jvp+V6oHp9C4ga/2GctQASH4ga/YdsukQtl2XvgoLj3g6CQMOkTUA2hHfiFZ4iI34atoqTkogyswWF7JlSH048sNEC/EIGPiqxcU72YDfjZlP1Z0cU1bW0e7cTHAKa+OtfeWCsOMRKH1eyhgcmVp6rKEogpyiPe0Wp7f6mvnDEhT2IqACLK81WePkxOabeQsDFTZggPFo0sWvmpEDJxFWSb6PdPE17CGBBH+ZETpouREEIIubLQwPoFaWBHCw2Pbk0Vz7lu9dFEFHQ9hRQpAijXBycK7M32s53OyzEwZZvgyT1aDEM5qu5034l/z7rEL/P08j3KPFM9nAzpGDzShZl5Qo8GJu79QqEEuPHLe78KpU/IYvgV1XusqKzSJ9k1dT9ZnzgyOKOywbLwpGsxDDYsThAzr9Wbx4R8O6XvnyjAJVBW7xGxBgZ3LCrKa3Z8R777NDApOspDMWPKM1WeOCLK81WePqqjETQlspTddW9zy849K/03CPe1WUyQSDHJkdHH+QiSEEL6CRpYvyAN7FSp8e3IPMjQHWviVQY2ZX/WzIhc3Pif+zxTbMO6TAO7cXnMb7alwhumH8wOyqjwZL1K+RTS2mTbklb+gzVxaKT8wtuXoL3dufhUEUaLfsUgPcSNgYmdYRKpAgZ9+cx5i+742RMyS/qE1A78XhEDg2l9ftr1auevtqSs1BRP+Nz1xsO7x/JbFWtdKFNkbvCJK77LL97DJ7DnOpwxcZqnp74mxg+VKSstFFneNzA5jQLZe/c2VWzYtgsFmux1i33W8hEkIYT0EzSwfkH1FLK51QHZkgYm94HV2qxjP9PBsQ5dWF66HAMbGZwBlxL7wDxHtRPf1mSbuC/rTr/4hIqubzpgVBgnRosxK+2kT9wY2K7QvZAMiVgrEs/CZs3/uLysSKSjmPQJqR34vSIGJnbjifUtyYhd6UZrI6Qzz1SfbayTn+HYrauEKL93vMDDZ5HgTLu9orwYpyPH430DgwjKyQQ2i0m5D6z77Ely87JHT3pFkxCPYWh1GapcQgghVwQaWL9gsln/uDtj6NoErd61tcjeZJ+yP+t2X9enHJQG1tnhDL5wdxdbrHCzF4fzL+iOtJ85kXmwAWFLwy9YAtpMrzajfYgXNO5KGZihsRHtw/PSqrt2Ml2ygWVl6UaMf1FlYGIfmNycDqBfwsCEdsQlJIh00Oc+MJVDoM2V/hvklin3iAuEMw07XYWTPVlS84edrhNPqaoVk4m5FZ/hwAyEZlXhoshPo7mhqCgvPz9Hnh2GipPCqSFeXJw/auLLcmMWDGnkhOliY5Y490vYBxa2/4sZcxeKlxzRqfJVUHT0zPOvi+1cAO7luYGJXfwo88LMi/u+GiGEEM+hgfULuFXjhn3Dspg/7c5YHV8y60guJObXW1JK6hrE6oswMNzdqyyWYTvSIGcRBQYcplbV/sg/4Qdr4iBhy2KLfr4xeciK2J1a12e9IA0wg5uWx0774rRPXDHiaH9ulEvOLtnAxD6wn6xPXBpT9OHJwgcvbPkaH9b1VBRcsoGJTdwbtu0S70LKvUSJyUkws4MRR0tLC/E7bMzUo8eikS7WwP4yfXZSSnJ5WRH0a8yUGRdlYMaaSlSJjD6uTOwNsaAo1bPjwsNWTPWOjIrmVjumAvOA67Iqrhjp9wcm3eqj2e/B98BwLjgjuJR8F3LRyk+FEuFE5i1aIV5OxCQ8+8LMhcvWiK1XwsCmzJh7se9ClpUWYjLF26N7Pg9HMTGZQGgWhAwqDBCRn6vt08AAJnnI0EeUrkwIIeTKQgPrLyobLGJ3kQCiE1nociyVgcnPbl34HpgNKrBLW/H91XGiFtJRWPzHRCgcV2766YZvv7P/5B6t+JK+2Ad2yWtgskGA9uPLTXLD0yUbGO7cR44dE1/GAvIRm/J7YMPHTZNfogIGffnsBYvF96s27dh9UU8hm+x1cz9c9urcD522vmcAJwWphW99cKLrwSJSDuZ2fXqtudVhtDa+cihHzsnNK2Nhw57sxMe5wB0fHvEczg4n8vbCpcr/rdJqMS3xXSdOcOnqAByKdGFgEVHHcPoX9T0wkJ2TNfXVud0nE8jvgQG0jOkV6Z4YGGzvd08/z0eQhBDSf9DA+hEoS9KFr95HFBjk/6WIm32VxaIzmBscXTvl7c12mJDceAT7gb3tz6kOyazMrlH/946odbTQAEvTlBmlE8DbCmrrUdgTS1CC8qiVVl0rwKiE7SlBj0jHmDFyVdY1wslTp2AtEBH51TH34ESqLY1avbnWZpWu6Wi2n66pKzTXi+934BcX5bMs17UzKYpddVTq2U8cjozCfPIRJCGE9B80MDLg2bo7JPzgoRbnt/+J+CDGCwYmFsn4CJIQQvoVGhghAwkvGBgfQRJCiBeggRFCCCGEeBsaGCGEEEKIt6GBEUIIIYR4GxoYIYQQQoi3oYERQgghhHgbGhghhBBCiLehgRFCCCGEeBsaGCGEEEKIt6GBEUIIIYR4GxoYIYQQQoi3oYERQgghhHibAWlg1iZbUEbFjEM5bx7JjSgwtLU5RHqtzYr0dUmlIDClLDynOs9Uf/bC/y7c1OLA4drEUrAptTwiX1/ZYDnX6aqF37Tq2oCkUv/Eb8FhfLnpTKcTLaAp0aarYoFB39h4/rvj6U5zq+OL3GpRa0NK2ZECA8aGdAwmscIUmFyWUlUregcYP5pFsYLaevcte+H/BLwGOdPhjC0zYiZx7cRlzTbWdXZ0/afRFQ2WjallymuHYgdz9S2trr8KTHJxXQNq4a9lWWxRkblBOcNVFotfQsmLX2TPi85PqDChI5lFCCGE9CsDz8Bw1xwdor1hWYzk7cg8e7MdWVq9+Z51icqsm1fGwmw62p1mu3XUnu/U+sGauMhCA+7QuO/itq3MEnz8VWFrmzM0qwqNKNN/5J/wValR+lOP1NmtqkHe6qPZll7e3u7UlBnv9Iv/4+4Mo7VRFMawfxyQ+Nj21PIGi2yhR65PA2ttc8yPzldO5k3LY2FOuKzQqRMlNbf7xilzwTOhugaHDZa2J7NSmXu3f0J0cQ2uHSpCgu8PTJJZuMqQPFwgVe+EEEJIfzDADAy2tCWtHDfgCZ9nZhrMJ0tqfrUlBbdY3FZxTxUG9pttqceKak6VGmcfzRuyIvanG5JyjHXCwKA+wbrKiHz91P2nb1weg0YanTZpYO9E5eOunFbtIkNvrrY0nuloEgb21wOn48tNqIhbO0o+93mmxWlTjU2JMLC7/OJDMiuha28cycVIfrk5pcjc4Gi2v3Io55ZVGsgBVAB6sfBkIQaD279c1+mN69nAcNGXxhTBtxCHzuKy5ppcS4bCwJ4K0UJtxbVLrzZjnjGZFQ0W/DHc5qsJTCkrrW9YHV+CaR8TosXVaWpxzDqSi2lHayi8L7saYv3zjckFtfWq3gkhhJD+YIAZWEur462jed9bpfkitxr60tHuXBJThHvztvTys51OYWBQH9xiURh2NT4sE7fn48U1tRcM7McBiVmGOmTV2qwjgzNwqDOYhYHhZoz7tHhkKUEXwsDeP1EgnnXmmeofCEyCS+GOriypAgN4co/2J+sTs2tc3UHXng3Vwf8SKkyQBoznB2viMB6TzYoC9wcmwSPhAcoWegT6tWxNQFBI2APDxj484jlEzrS7Fv/A+U5nekbaxJffGjL0kcdGTdp/6LDMQq2ALUEvvfHetFnzklKSR06YjkhdrV7knutwRh0/MWriy6j49NTXUtNS0ZTIco/ZVL1w2Zq7HxoBZi9YbNCXi/TI6OPjX3zDUl8jDs+2Oxb7rF3utx4RHLa3WLcHh2L8AAP7aIVfn04pDAzytDe7SjjrvAuHYaerznZ2GdjfvsyBVKkqYm5xCUbsShfLjVDq3+5Ie3BjcqG5Hil/2Jl+X2BSttF1gZwt9ukHs+FqUUUGXCDZAiGEENJPDDADa251zIzIvdVHE1HgulN2djh940sgTxtTXfLUo4F9f3XcyRKXgQnlEgZmb7JPCs+6Y028psx4CQYGYSqrd/fEUGlgGOfpmjpI273f9G5tsj2//zQcYqe24uOvCm9YFuMTVwybVLbQI5CVP014aednn5eWFm7ZueeeX4+MjY8TWQUFuRCv1es3l5QUHI6MGjZmKiRM1poxd2FKWgp+FyzxydCmj5s268DhCJGLFh4aPn5X6F60id/h46bl5mWLLDe0OBtef3fR9NkLtLoMgAgOkYgsfVXZmCkzsrJ0oiRUDEIWo9GIw6PHomV3/hu33fGzJzwxMKFcwsCUF/1Mp9ONgYk1MMjugdxq1fTqGxuHXbAxLnoRQgi5KgxCAxNPIWNKjXOj8iBPv92RVt5gMfdlYNAg1IU2QeAAesFNWhqYeAp5pMDw3OeZKPnq4Ryx86w3YGBoBAP7n+1pGMCQFbEQwd26SuEBaBZNwRsAxOLnG5PzPfMAyMr8T1a1t7j8Er+IS30JCgmDYDXZXWd3vtMZuG3nOx8tb2t2rf2gjCiG331ffCkifhu2IiIWqIBcoEKbG7btQtw9ZlP19uBQk7FKHELaRk96BfKHuKoRqBiET5TsaLW9v9RXdtfaZJnzwVJ5Cr3hiYFhenF9xbWbuC8rpaoWfx7t7c7lscW4XuCnG5JQq8piEUtcNDBCCCFXl0FoYOKOK/jFpuSkStfN2BMDUyKeVUkDU2ZBwir72jIvDExZ63urNKvjS+Q6TaPTNnGvS+bAkpgiDzeAS5fqfogIbAZOIw5hWvJQFsOvNDCRIhxIJApk1kUB93r2hZnCwAAalDoINYR1wb0Q765cnnTniYHJeQb484DgCtNyNNtxcXGhRdb9gUlp1a6/BxoYIYSQq8sgNDBo1gcnCn69JQXFDubqcc9GxT4NDI24eQr5u6C0BccLfvhpwk83dO0cco/yKSQEIqrI8MvNKeguptQozAAtY2wYIZzAkwYFKl9RHiJyyQY2ZOgjSkSWe1Bx047dDwwbK2s9PnqyNLCCgtwxU2bgEBIGFTscGSVr9ZOB9fgUUgIPiyw0PHvhLYrJ4VnWJhsNjBBCyNVlcO4Dq7VZw3Oqv7dKM+GblxZVBoZ7MLKgRK6Pfnm2D8zWZPvopGvP1rLYvpeslAaGw452p09c8U3LY7deeGNAlMFIMB6MCmMTKX2i8hXlISKXbGC7Qvc2NhglzY6+pQRSNXzcNE1CvKiiy9Q+8/zr0sCEeKEv1dpYW3PjOx8tF10D8VBSHvaGysAwmctjXZO5Oa3cvYFhYvEnYfjm+21Ga+Mfd7v+BjINZpWB4Q/peHHNptTykrq+34cghBBCLp8BZmAtrY53ovKhRBAj3Izb2hxwoyErYndpK3AoDQwChNvtn3Zn3Oarich3LYPhZqx8FxL33V9sSr4/0PVFAw8NDB6QXm0eutb1zYI8Ux+OojIwyMFbFz6NsVvn+gKFKHNlDexi94GJFLEPDIXl+4/QL08MDNWXrQk4983nM5T7wATi4ePBiKNKLwTBYfugbtk5WWfa7TFxGvFGpMztEcy88l1I2POk8Czo9Zd5ejfvQsprhz8Y8XVW8TfwI/8EXEeTzQobw9XE3wyy7E32Kfuz0A5aE7pGCCGE9CsDzMBwW4XE4Lb64MbkpTFF7x0vuGNN/N3+CSlVtchVGhi8Snw5DHfrRqdN3H1v9dG8HZmH2/m9Aa7tYq8dznE02+U+sGdCdX4JJYgD8U38zo6uL7KKdyGdLfaZEbkouaqvVxcxAAwD3b17LH+FphguiFqqJ5hX1sDcvwspiuFXZWAgMTlpxPgXoUqlpYX4RcWjx6JFlhvQBeRp74GDVZUlJ0+dmv7mAjSiNLCsLB2cbMqMuVAumQga6gwwRfHgcsESH8+/RoEJhCQtPlX02x1piOO3osG1rV4Y2C83p+CiiGsnv4mPGb4vMAlXAfr7aULJXw+cxt/Ds6E6XB2h8mgHl2Z1fMmsI7kwvF9vSeEaGCGEEO8wwAwM2JvsEC/cSnH7BLj77sioED6kNDAcltY3PLo1FQWOFhrE98BEFYDquCuLz0RJA1Oh/Ca+MDBxv//BmriHN6e43z8k1sCUrWEYu7QVUDpZ5soamPvvgYli+O1uYMrvgQ0fN01Z0Q2tTZbNQcEPj3jujp89MXPeohiNRvm0Edgspqmvzn1o+Pju37ZAj8gFiChH0hvSwCRPhWhPX/jMhzQwZS4Q38THlT2Up8efhEzH3wP+SMQqV2WDZcKFN1sFuBbi/0hQdk0IIYT0EwPPwADurNk1dSGZleE51WIhRKQ3tTiQDjcSooO7KSRMfN2+rc2J9PRqM8g11UPjRBWA6lAxFBNfVBeIWmih3uHaS1Rl6fpPJOFhqI4U99/ExwBEd7K1GqurNWUZOVr3y2lXi8YG47hps8RilZKUtBRVyUsG1rjSf0OfBoZ5w/xjDjGfEC/YrXImbU02neE71w7FxDfxRQGUh4LvyazEVRMfdZPA7ZIqa3frKiMKDMLaCSGEEO8wIA2MeAGxUiU22isR35W4HJod9aIpTUL88HHT5JuShBBCyPUDDYx4G591m8Ry2gPDxm7asVu5T58QQgi5TqCBEUIIIYR4GxoYIYQQQoi3oYERQgghhHgbGhghhBBCiLehgRFCCCGEeBsaGCGEEEKIt6GBEUIIIYR4GxoYIYQQQoi3oYERQgghhHgbGhghhBBCiLehgRFCCCGEeJuBZ2CtTZY5Hyzd98WXqnQB0sX/OSgRJUWtx0dPLikpwGGTvW7G3IXysLHBOG7aLGUtHCIRWfI/MVQic91Q+3lsymMLlSBFVYYQQggh1yeDzcCQCzfSZWqfnvoafhEX//Ezfhcs8Rk+blpk9HEcQrzGv/jGi2/MFwZ2rsNps5hQeInvOoAIDpGIrGZHPQ7BrtC9M+ctMtZUKnPdcK7J2llnFtiTc7ImrGn8SqsqQwghhJDrk8FmYAJ41bMvzBR2JRAGtnr95veX+na02uBh0KnnX3tHWQb4rNsElCkS9Ih+hc9dFA5tQdZEP2ts5t871VmEEEIIuT65jgwMtT7ff3D6mwsM+vLFPmvRAuL9bWC2+KzTU/ydukJVOigvKxo5YfpTk2foq8pUWYQQQggZ3FxfBnbsxImFy9ZERB17/d1FJ0+dUpUBV9zAKnz2pzy2MGPUMnN43Pk2hzIrOyfrgWFjHx89ubAwT5lOCCGEkEHP9WVgqHU4Murpqa8tWvlpXn62FwzsrN3SYTIZg0+mj1hcH5Gkym121F9sg4QQQggZBFx3BlZeVvTCzHnRJ08WF+c/8/zr/W1gXXQ2VfgeKPlgz7lWuzqLEEIIIdcf152ByZSUtBT5NQpJfxnYhY9TFM4NOtdkVaUTQggh5DqEBtYvBgbTMoV8ZU/NlSk0MEIIIYRIaGD9Y2Ct9pIP9lQHRIgvUJxvd5Qv31e2+HNEZJmz7Y7snKzk1OQme51MJIQQQsj1wEA1sJX+G+ITEyRFRV2vEyK3sZcvsroxMDdfZJVclIEBy4mM9BGLa3YebykqN2yJSv/jElti9ncK1NeMf/GN199d1OJsUKYTQgghZNAzUA1M9d8EyYUreJIqS1iXewODcvX2vxJJLtbA/t7hbDiakjnON+WxhVl/WdP9i6y5edkPDR8fHLZPmUgIIYSQ64GBZ2CDhsjo48PHTauqLFGlE0IIIWTQQwO7OpzvdK703yD+iyRVFiGEEEIGPTSwq4PDap42a15sfJwqnRBCCCHXAzQwQgghhBBvQwMjhBBCCPE2NDBCCCGEEG9DAyOEEEII8TY0MEIIIYQQb0MDI4QQQgjxNjQwQgghhBBvQwMjhBBCCPE216KBff31f1SjJIQQQggZTFybBsY1MEIIIYQMZv79z793ec/VCL08hfzf//3HuTbVQAkhhBBCBg1f/+dfXdJzNUKvBgYxVA2UEEIIIWRw8I/z7V3Gc5VCrwaG8K9/nFUNlxBCCCFkoPN/z7Z8/Z9/d+nOVQruDAzh3/88//czzapxE0IIIYQMUP75986vv/5Pl+hcvdCHgbnC11//+1//+Nc/zv/rH+cIIYQQQgYo//7n36/60pcMHhgYAwMDAwMDAwPDFQ00MAYGBgYGBgYGbwcaGAMDAwMDAwODtwMNjIGBgYGBgYHB24EGxsDAwMDAwMDg7UADY2BgYGBgYGDwdqCBMTAwMDAwMDB4O9DAGBgYGBgYGBi8HWhgDAwMDAwMDAzeDjQwBgYGBgYGBgZvBxoYAwMDAwMDA4O3Aw2MgYGBgYGBgcHbgQbGwMDAwMDAwODtQANjYGBgYGBgYPB2oIExMDAwMDAwMHg70MAYGBgYGBgYGLwdaGAMDAwMDAwMDN4ONDAGBgYGBgYGBm+Hvg3sn//817FTCWu3hqzesJMQQgghZICyJzyiymDq8purHfowsC3B4T/8xYghQx8hhBBCCBkEvDxnkdXu7BKdqxfcGdgHy9epBk0IIYQQMtB5aPiE2jpLl+5clfC///v/A0Ksi3vQbbtbAAAAAElFTkSuQmCC
* Vergelijking is een logische uitspraak.
* Referentieverzameling - de verzameling waarin je de onbekande mag zoeken vb: $$\N,\R,\Z, ...$$
* $$\Leftrightarrow$$ gaat over een gelijkwaaardige vergelijking, hebben dezelfde oplossing
* een vergelijking van de eerste graad kan $$0, 1$$ of $$\infty$$ oplossingen hebben
Een vergelijking van de eerste graad met 2 onbekenden heeft als oplossing een koppel reële getallen, de referentieverzameling is $$\R^2$$
$$\R^2 = \R \cdot \R$$<br>
algemeen $$ A \cdot B = \{\lparen a,b \rparen \; | \; a \in A \land b \in B \}$$<br>
Wij gebruiken meestal $$\R^2 = \R \cdot \R = \{\lparen x,y \rparen \; | \; x \in \R \land y \in \R \}$$
Vele vergelijkingen hebben oneindig veel oplossingen, hoe stellen we deze toch wiskundig voor?<br>
We kiezen een van de onbekende als een willekeurig reëel getal, bijvoorbeeld $$t$$ en los het dan op naar deze $$t$$.<br>
Dus:<br>
$$\R^2 : ux + vy + w = 0$$ met $$u, v, w \in \R$$
''__Geval 1__'': $$u \not = 0 \land v \not = 0$$<br>
Kies $$x = t \; \lparen t \in \R \rparen$$ en los de vergelijking op naar $$y$$<br>
$$ ut + vy + w = 0 \\ \Leftrightarrow \;\; vy = - ut - w \\ \Leftrightarrow \;\; y = - \dfrac{u}{v} t - \dfrac{w}{v}$$<br><br>$$ V = \{ \lparen t, - \dfrac{u}{v} - \dfrac{w}{v} \rparen \;\; | \;\; t \in \R\}$$
''__Geval 2__'': $$u = 0 \land v \not = 0$$<br>
De vergelijking wordt $$vy + w = 0$$, kies verplicht de ontbrekende $$x$$ als vrije onbekende. Los deze op naar $$y$$<br>
$$y = - \dfrac{w}{v}$$<br><br>$$V = \{ \lparen t, -\dfrac{w}{v} \rparen \;\; | \;\; t \in \R\}$$
''__Geval 3__'': $$u \not = 0 \land v = 0$$<br>
Zie geval 2, de vergelijking wordt dan $$ux + w = 0$$<br><br> $$V = \{ \lparen -\dfrac{w}{u}, t \rparen \;\; | \;\; t \in \R\}$$
''__Geval 4__'': $$u = v = 0 \land w \not = 0$$<br>De vergelijking wordt $$0x + 0y + w = 0$$ zodat $$V = \empty$$<br>Dus nooit waar!!!
''__Geval 4__'': $$u = v = w = 0$$<br>De vergelijking wordt $$0x + 0y + 0 = 0$$ zodat $$V = \R^2$$<br>Dus altijd waar!!!
Je kan doit ook grafisch oplossen als een deelverzameling/rechte van een vlak. we tekenen dan van $$ux + vy + w = 0$$ alle punten $$(x, y)$$ die voldoen aan de vergelijking.<br>
Zie ook [[Algemene Vorm Rechte]].
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Verouderde, seksistische, fascistoïde rotfilmm.
=AANTAL(range)
COUNT() in het engels
aantal, telt het aantal nummerieke waarden in de range - telt dus niet op:
||A|B|C|D|E|F|G|H|I|J|
|1|1 | |=AANTAL(A1:A4) | | | | | | | |
|2|2 | | | | | | | | | |
|3|3 | | | | | | | | | |
|4|4 | | | | | | | | | |
|5| | | | | | | | | | |
|6| | | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
De waarde in cel C1 zal 4 zijn
zie ook [[AANTAL.LEGE.CELLEN]] en [[AANTALARG]]
Werkt als [[SOM.ALS]] maar in plaats van op te tellen, gaan we tellen hoeveel cellen er aan de voorwaarden voldoen.
Zie [[AANTAL]] en [[SOM.ALS]] en [[AANTALLEN.ALS]]
=AANTAL.LEGE.CELLEN(range)
Telt het aantal lege cellen in de range
zie ook [[AANTAL]] en [[AANTALARG]]
`=AANTALARG(range)`
counta() in Engels
telt hoeveel niet blanco velden er in de range zitten.
Zie ook [[AANTAL]] en [[AANTAL.LEGE.CELLEN]]
Werkt als [[SOMMEN.ALS]] maar in plaats van op te tellen, gaan we tellen hoeveel cellen er aan de voorwaarden voldoen. Deze heeft geen optelbereik!
Dus `AANTALLEN.ALS( voorwaardede_bereik1; voorwaarde1; voorwaardebereik2; voorwaarde2; ...)`
Zie [[AANTAL]] en [[SOM.ALS]] en [[AANTAL.ALS]]
Geeft de absolute waarde van een cel weer
$$\vert a \vert = \begin{cases} a &\text{als } a \ge 0 \\ -a &\text{als } a \leq 0 \end{cases}$$
Achtergrondkleur
```HTML
<section data-background-color="aquamarine">
```
Achtergrondafbeelding
```HTML
<section data-background-image="URL NAAR AFBEELDING" data-background-size="contain">
```
data-background-size is standaard<br>
Cover: Resize the background image to cover the entire container, even if it has to stretch the image or cut a little bit off one of the edges
Maar kun je dus ook
* contain Resize the background image to make sure the image is fully visible
* auto Default value. The background image is displayed in its original size
* of eender wat maak dat je in de class background-size kunt invullen
`=AFRONDEN(waarde; decimalen)`
Engels: Round
gebruiken we om af te ronden naar een specifiek aantal cijfers.
twee argumenten:
* de originele waarde
* aantal cijfers om naar toe af te ronden.
Als het tweede argument een nul is, ronden we af naar eenheden. =AFRONDEN(123,222; 0) geeft 123 als uitkomst<br>
Een positief cijfer zegt naar hoeveel cijfers na de komma (bijv =AFRONDEN(123,222; 2) zal 123,22 als uitkomst geven) <br>
Een negatief cijfer zegt hoeveel decmile plaatsen voor de komma we moeten afronden =AFRONDEN(123,222; -2) zal bijvoorbeeld 100 als uitkomst geven.
zie ook [[AFRONDEN.NAAR.BENEDEN]] en [[AFRONDEN.NAAR.BOVEN]]
''Gebruiksvoorbeeld''
AFRONDEN.NAAR.BENEDEN(99,44;1)<br>
AFRONDEN.NAAR.BENEDEN(A2)
''Syntaxis''
AFRONDEN.NAAR.BENEDEN(waarde;decimalen)
waarde: de waarde die omlaag wordt afgerond op een aantal decimalen.
decimalen [OPTIONEEL - standaardwaarde 0]: het aantal decimalen waarop wordt afgerond.
decimalen mag negatief zijn. In dat geval wordt waarde afgerond op het opgegeven aantal decimalen vóór de komma.
Zie ook [[AFRONDEN]] en [[AFRONDEN.NAAR.BOVEN]]
''Gebruiksvoorbeeld''
AFRONDEN.NAAR.BOVEN(99,44;1)<br>
AFRONDEN.NAAR.BOVEN(A2)
''Syntaxis''<br>
AFRONDEN.NAAR.BOVEN(waarde;decimalen)
waarde: de waarde die omhoog wordt afgerond op een aantal decimalen.
decimalen [OPTIONEEL - standaardwaarde 0]: het aantal decimalen waarop wordt afgerond.
decimalen mag negatief zijn. In dat geval wordt waarde afgerond op het opgegeven aantal decimalen vóór de komma.
zie ook [[AFRONDEN]] en [[AFRONDEN.NAAR.BENEDEN]]
Algebra is het deel van de [[Wiskunde]] dat de betrekkingen van door letters en tekens aangeduide grootheden onderzoekt. In de algebra worden getallen voorgesteld door letters en bestaan er allerlei regels die zeggen hoe je met die letters moet rekenen.
<<list-links "[tag[Algebra]]">>
rechte a : $$y = ax + b$$
$$a$$ = rico, $$b$$ = snijpunt y-as --> indien $$b = 0$$ door oorsprong
Oorsprong is $$(x, y) = (0, 0)$$<br>
$$x$$ = abcis, $$y$$ = ordinaat
$$ux + vy + w = 0$$, indien w verschillend van nul gaat de rechte niet door de oorsprong gaan
Evenwijdige rechten, dat weten we als de Rico beiden gelijk zijn aan elkaar
evenwijdig met de X-as staat nooit een $$x$$ in $$ y = konstante$$
<<list-links "[tags[]!is[system]sort[title]]">>
ALS (engels IF)
Heeft drie argumenten:
* een conditie die een uitkomst heeft met als waarde WAAR of ONWAAR
* Wat te doen indien waar
* Wat te doen indien onwaar
Zie bijvoorbeeld: [[Spreadsheet - Formule - Problemen met delen door nul error voorkomen]]
`=ALS.FOUT(waarde; [waarde_bij_fout])`
* waarde: De waarde die we retourneren als er geen fout is,
* waarde_bij_fout: De waarde indien fout
Broertje van [[ALS.NB]]
Broertje van [[ALS.FOUT]]
* https://theodinproject.com/
* https://www.freecodecamp.org/
* https://javascript.info/
Bijvoorbeeld:
```html
<section>
<section data-auto-animate>
<h3>Swapping list items</h3>
<ul>
<li>One</li>
<li>Two</li>
<li>Three</li>
</ul>
</section>
<section data-auto-animate>
<h3>Swapping list items</h3>
<ul>
<li>Two</li>
<li>One</li>
<li>Three</li>
</ul>
</section>
<section data-auto-animate>
<h3>Swapping list items</h3>
<ul>
<li>Two</li>
<li>Three</li>
<li>One</li>
</ul>
</section>
</section>
```
Zie zeker ook https://revealjs.com/auto-animate/
$NF number of fields
$NR number of rows
```awk
awk 'BEGIN {FS=";"} /CBL/ {print $1}' DCLR_PER_DESC.csv | sort | uniq > dclr_per_desc2
>> awk 'BEGIN {print "|!Programma|!Uitleg|"} {print "|", substr ($1, 1, length($1)-4) " |"}' dclr_per_desc2
```
[[awk - links]]
https://gregable.com/2010/09/why-you-should-know-just-little-awk.html
https://linuxhandbook.com/awk-command-tutorial/
https://www.howtogeek.com/562941/how-to-use-the-awk-command-on-linux/
https://earthly.dev/blog/awk-examples/
https://github.com/thewhitetulip/awk-anti-textbook/
https://blog.jpalardy.com/posts/awk-tutorial-part-1/
Schrijf je best weg naar [[Bash - Bash Profile]]
en zo maak je keyboard shortcuts voor veel gebruikte commando's
```Bash
alias pd="pwd"
alias hy="history"
alias ll="ls -la"
```
Dit zit in `~/.bash_profile` en wordt geladen bij opstart van de sessie - hierin zit je profiel
```bash
nano ~/.bash_profile
```
hier kun je commando's aan toevoegen die elke keer bij opstart uitgevoerd worden
```bash
source ~/.bash_profile
```
worden wijzigingen direct beschikbaarzonder dat je eerst de terminal moet herladen
```bash
$ echo Front-{A,B,C}-Back
Front-A-Back Front-B-Back Front-C-Back
[me@linuxbox ~]$ echo Number_{1..5}
Number_1 Number_2 Number_3 Number_4 Number_5
[me@linuxbox ~]$ echo a{A{1,2},B{3,4}}b
aA1b aA2b aB3b aB4b
[me@linuxbox ~]$ mkdir Photos
[me@linuxbox ~]$ cd Photos
[me@linuxbox Photos]$ mkdir {2007..2009}-{01..12}
[me@linuxbox Photos]$ ls
2007-01 2007-07 2008-01 2008-07 2009-01 2009-072007-02 2007-08 2008-02 2008-08 2009-02 2009-082007-03 2007-09 2008-03 2008-09 2009-03 2009-092007-04 2007-10 2008-04 2008-10 2009-04 2009-102007-05 2007-11 2008-05 2008-11 2009-05 2009-112007-06 2007-12 2008-06 2008-12 2009-06 2009-1
```
```bash
[me@linuxbox ~]$ echo $(ls)
Desktop Documents ls-output.txt Music Pictures Public Templates Videos
[me@linuxbox ~]$ ls -l $(which cp)
-rwxr-xr-x 1 root root 71516 2007-12-05 08:58 /bin/cp
[me@linuxbox ~]$ file $(ls -d /usr/bin/* | grep zip)
```
Belangrijkste info van https://www.softcover.io/read/fc6c09de/unix_commands/basics
---
`pwd` = present working directory
Ook symlinks worden meegenomen als zijnde echte links
`pwd -P` dan zie je de echte links, alle symlinks worden resolved
`ls` list files and directories
je kan ook een andere directory zien vb: `ls /usr/local/`
`ls -a` dan krijg je ook de onzichtbare files te zien
`ls -l` krijg je meer info te zien, wil je het iets meer human-friendly: `ls -lh`
Wil je dan nog gesorteerd naar grootte: `ls -lhS`
Of naar laatste wijziging: `ls -lt`
`-r` is een reverse sort bv: `ls -lr`
`ln` maakt een hard link vb `ln a.txt b.txt`
`rm` verwijderd een iets
`ln -f` forced link
`ln -s` maakt een symbolische link
`cd` change directory
`cd ..` ga een path naar boven
`cd -` ga naar de vorige folder waar je was
`mkdir` `mkdir foo` maak een directory
`mkdir -p a/b/c` maakt ineens directories in directories
`mkdir -v`verbose output
`cp a.txt b.txt` kopieer iets
`cp a.txt b.txt foo` kopieert a.txt en b.txt naar directory foo
`cp -R` gebruikt om directories te moven
`cp -f a.txt b.txt` forceert een kopie, zelfs als b.txt al bestaat
`cp - i a.txt b.txt` als je een file gaat overschrijven moet je eers Y drukken
`rm` remove a file
`mv` move a file: `mv -v a.txt b.txt`
`|` pipe
`>` write to a file
`<` read from a file
Kunnen we gebruiken om files van het internet te halen:
```bash
curl -OL https://cdn.learnenough.com/sonnets.txt
```
kan je als een rekenmachine gebruiken
```bash
[me@linuxbox ~]$ echo $((2 + 2))
4
[me@linuxbox ~]$ echo $(($((5**2)) * 3))
75
[me@linuxbox ~]$ echo $(((5**2) * 3))
75
```
Environment variables are variables that can be used across commands and programs and hold information about the environment.
What happens when you store this in ~/.bash_profile?
```
export USER="Jane Doe"
```
# The line `USER="Jane Doe"` sets the environment variable USER to a name “Jane Doe”. Usually the USER variable is set to the name of the computer’s owner.
# The line `export` makes the variable to be available to all child sessions initiated from the session you are in. This is a way to make the variable persist across programs.
# At the command line, the command `echo $USER` returns the value of the variable. Note that `$` is always used when returning a variable’s value. Here, the command `echo $USER` returns the name set for the variable.
```
echo $HOME
```
geeft de home directory terug
The `env` command stands for “environment,” and returns a list of the environment variables for the current user.
hat happens when you type the env command?
`env`
The env command returns a number of variables, including PATH, PWD, PS1, and HOME. To select the value of a particular environment variable, let’s say PATH, you can use the following command:
`env | grep PATH `
the command above displays the value of the PATH environment variable. Here the standard output of env is “piped” to the grep command. grep searches for the value of the variable PATH and outputs it to the terminal. Note that this is the same output as echo $PATH.
`PATH` is an environment variable that stores a list of directories separated by a colon.
Looking carefully, `echo $PATH` lists the following directories, separated by `:`
Each directory contains scripts for the command line to execute. The PATH variable simply lists which directories contain scripts.
For example, many commands we’ve learned are scripts stored in the /bin directory.
`/bin/pwd`
This is the script that is executed when you type the `pwd` command.
`/bin/ls`
This is the script that is executed when you type the `ls` command.
In advanced cases, you can customize the PATH variable when adding scripts of your own.
`PS1` is an environment variable that defines the makeup and style of the command prompt.
```
export PS1=">> "
```
# `export PS1=">> "` sets the command prompt variable and exports the variable. Here we change the default command prompt from `$` to `>>`.
# After using the source command, the command line displays the new command prompt.
```bash
find . -name '*.txt'
```
vind alle files die matchen aan '*.txt' in de huidige en onderliggende directories
<<list-links filter:"[tag<currentTiddler>]">>
`grep` stands for “global regular expression print.” It searches files for lines that match a pattern and then returns the results
It is also case sensitive
```Bash
$ grep America continents.txt
```
`grep -i` enables the command to be case insensitive
`grep -R` searches all files in a directory and outputs filenames and lines containing matched results. `-R` stands for “recursiv
`grep -Rl` searches all files in a directory and outputs only filenames with matched results (so no lines). `l` (a lowercase `L`, not a capital `i`) stands for “files with matches.”
```Bash
$ grep -R Arctic /home/ccuser/workspace/geography
$ grep -Rl Arctic /home/ccuser/workspace/geography
```
`grep -L` zoekt alle filenames die NIET voldoen aan de searchstring
`grep -n` geeft de lijnnummers mee
`grep -v` zoekt alle lijnen die niet voldoen aan de zoekstring
`grep - F` of `fgrep` zoekt letterlijk, dus niet met regular expression naar je string
`grep -c` geeft het aantal matchende lijnen terug, dus met `grep -vc` tel je al de niet-matchende lijnen
`grep -m4` zal stoppen met zoeken na de 4de lijn die de zoekterm heeft
`grep -e '1' -e 'two'` `-e` gebruiken we als `OR` we gaan dus zoeken naar of 1 of two in dit geval
als je veel search strings hebt kun je deze in een file zetten met `grep -f file_met_zoektermen.txt zoekfile` zoek je op alle termen in de file_met_zoektermen<br>
zorg wel dat alle zoektermen op een aparte lijn staan
wil je een lijn met searchstring a `AND` b dan kun je ddit doen door `|`:<br>
`grep 'in' programming_quotes.txt | grep 'not'`
`grep -w` he-woption will ensure that given patterns are not surrounded by other word characters. (word karakters zijn alfanumerieke karakters en `_`
`grep -x` matched alleen als heel de lijn matched
`-o,` --only-matching Print only the matched (non-empty) parts of a matching line, with each such part on a separate output line.
---
`grep -An` geeft ook n aantal lijnen weer na (after) het gevonden
`grep -Bn` geeft ook n aantal lijnen weer voor (before) het gevonden
`grep -Cn` geeft ook n aantal lijnen weer na en voor het gevonden
Kan met `.*` vb:
```Bash
$ # match 'Error' followed by zero or more characters followed by 'valid'
$ echo 'Error: not a valid input' | grep -o 'Error.*valid'
Error: not a valid
$ echo 'a cat and a dog' | grep -E 'cat.*dog|dog.*cat'
a cat and a dog
$ echo 'dog and cat' | grep -E 'cat.*dog|dog.*cat'
dog and cat
```
kan ook:
wil je een lijn met searchstring a `AND` b dan kun je ddit doen door `|`:<br>
`grep 'in' programming_quotes.txt | grep 'not'`
`head` geeft de eerste tien lijnen van een bestand weer
`tail` de laatste tien lijnen
`history` laatst (standaaard 500) gebruikte commandos
```bash
history | less
history | grep /usr/bin
!88 #je kan een nummer van een commando meegeven
```
als je `ctrl-R` intypt kun je incrementeel zoeken, als je het gevonden hebt op enter drukken om uit te voeren of `ctrl-J` om op je commandlijn te zetten en verder aan te passen
|!Command|!Description|!Example|
|up & down arrow keys|Move up or down one line||
|spacebar|Move forward one page||
|`^F`|Move forward one page||
|`^B`|Move back one page||
|G|Move to end of file||
|1G|Move to beginning of file||
|`/<string>`|Search file for string|`/rose`|
|n|Move to next search result||
|N|Move to previous search result||
|q|Quit less||
Reminder met `cat` kunnen we de inhoud van een bestand zien
```Bash
$ echo "Hello" > hello.txt
```
```Bash
$ cat hello.txt
```
overwrites:
```Bash
$ cat deserts.txt > forests.txt
```
`>` takes the standard output of the command on the left, and redirects it to the file on the right.<br>
maar oook `<` takes the standard input from the file on the right and inputs it into the program on the left.
appends:
```Bash
$ cat deserts.txt >> forests.txt
```
`|` pipes - takes the standard output of the command on the left, and pipes it as standard input to the command on the right.
```bash
$ cat volcanoes.txt | wc | cat > islands.txt
```
the `wc` command outputs the number of lines, words, and characters
`sed` stands for “stream editor.” It accepts standard input and modifies it based on an expression, before displaying it as output data. It is similar to “find and replace.”
```Bash
sed 's/snow/rain/' forests.txt
```
Let’s look at the expression `'s/snow/rain/'`:
: `s`: stands for “substitution.” It is always used when using `sed` for substitution.
: `snow`: the search string, or the text to find.
: `rain`: the replacement string, or the text to add in place.
In this case, `sed` searches forests.txt for the word “snow” and replaces it with “rain.” Importantly, the above command will only replace the first instance of “snow” on a line
```bash
sed 's/snow/rain/g' forests.txt
```
The above command uses the `g` expression, meaning “global.” Here `sed` searches forests.txt for the word “snow” and replaces it with “rain” globally. This means all instances of “snow” on a line will be turned to “rain.”
`sed` as we’ve used it will only rewrite the command line output and the actual file won’t be changed. In order to rewrite the actual file, we need to use `-i` at the beginning of the command
```Bash
sed -i 's/snow/rain/g' forests.txt
```
The above command will rewrite forests.txt and replace all instances (since we’re also using `g`) of “snow” with “rain
```bash
$ seq 5
1
2
3
4
5
```
|!Key|!Actie|
|`CTRL-A`|Ga naar begin lijn|
|`CTRL-E`|Ga naar einde lijn|
|`CTRL-F`|ga eentje naar rechts|
|`CTRL-B`|ga eentje naar links|
|`ALT-F`|Ga een woord verder|
|`ALT-B`|Ge een woord terug|
|`CTRL-L`|`clear`|
|`CTRL-D`|delete het karakter op cursorlocatie|
|`CTRL-T`|verwissel (transpose) het karakter op cursorlocatie met het karakten ervoor|
|`ALT-T`|verwissel (transpose) het woord op cursorlocatie met het woord ervoor|
|`ALT-L`|maak alles vanaf cursorlocatie tot einde woord lowercase|
|`ALT-U`|maak alles vanaf cursorlocatie tot einde woord uppercase|
|`CTRL-K`|Kill (cut) text van cursorlocatie tot einde lijn|
|`CTRL-U`|Kill (cut) text van cursorlocatie tot begin lijn|
|`ALT-D`|Kill (cut) text van cursorlocatie tot einde woord|
|`ALT-Backspace`|Kill (cut) text van cursorlocatie tot begin woord (als je aan het begin van een woord staat kill vorig woord)|
|`CTRL-Y`|Yank (paste) het gekillde op deze plaats|
`sort` takes the standard input and orders it alphabetically for the standard output (it doesn’t change the file itself)
```bash
$ cat glaciers.txt | sort > sorted-glaciers.txt
```
The sort command prints the result of the sorting operation to standard output by default. In order to achieve an "in-place" sort, you can do this:
`sort -o file file`
This overwrites the input file with the sorted output. The -o switch, used to specify an output, is defined by POSIX, so should be available on all version of sort:
: `-o` Specify the name of an output file to be used instead of the standard output. This file can be the same as one of the input files.
If you are unfortunate enough to have a version of sort without the -o switch (Luis assures me that they exist), you can achieve an "in-place" edit in the standard way:
`sort file > tmp && mv tmp file`
https://stackoverflow.com/questions/29244351/how-to-sort-a-file-in-place
Gebruiken we bv o m tussentijds een bestand af te drukken
```bash
ls /usr/bin | tee ls.txt | grep zip
```
`uniq` stands for “unique.” It filters out adjacent, duplicate lines in a file.
```bash
$ uniq deserts.txt
```
A more effective way to use `uniq` is to call `sort` to alphabetize a file, and “pipe” the standard output to `uniq`.
```bash
sort deserts.txt | uniq > uniq-deserts.txt
```
```bash
find . -mindepth 1 -maxdepth 1 -mtime -1 -exec mv -i {} 2021/2021-10/2021-10-26 \;
```
of
`find . -mindepth 1 -maxdepth 1 -mtime 1 -type f \( ! -iname "Dir*" \)`
Dan vind je alleen files die gisteren gewijzigd zijn (dus geen directories en sluiten allem met in de naam Dir* uit
`wc` wordcount
Here the three numbers indicate how many lines, words, and bytes there are in the file,
Engels: PROPER
=BEGINLETTERS(tekst, tussen "" of celverwijzing)
Elke eerste letter van een woord krijgt een hoofdletter
[[Boeken - Gelezen]]
[[Overzicht van mijn boekenkast|https://docs.google.com/spreadsheets/d/1yuyFn47llFVJq_xaRU1fkC2oxQpSa-XzVAF9oZWGxho/edit?usp=sharing]]
Een lijst van alle [[boeken|Boeken en strips]] die ik gelezen heb.
<$button message="tm-new-tiddler" param="BoekTemplate">Voeg een boek toe</$button>
<<list-links "[has:field[uitgelezen]!title[BoekTemplate]sort[uitgelezen]reverse[]]">>
|!Schrijver|{{!!schrijver}}|
Een boom staat in een [[Tuin]] op straat of in een [[Bos]]
Neemt C02 op, zorgt voor verkoeling en geeft een huis aan de beestjes.
Vaak kappen ze iets oudere bomen - maar zolang ze geen gevaar inhouden zou je ze best zo lang mogelijk laten staan
!! Onze boom
{{Boom - Onze boom}}
Als je ik niet bent, heb je hier niet veel te zoeken :-)
Heb je iemand nodig die naar je [[bomen|Boom]] wilt kijken moet je ervoor zorgen dat die minstens een ETW certificaat heeft.
Zie ook [[hier|http://www.bomenbeterbeheren.org/etw-ett/]] voor erkende mensen in België
Als je bijvoorbeeld `<<toc-selective-expandable "tagnaam">>` gebruikt en je niet wilt dat de echte titel van de tiddler getoond wordt, maar iets anders, kun je dit iets anders in de field `caption` zetten
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Zeker niet de beste Vietnam-film. Niet vervelend, maar ook niet geweldig origineel.
Reveal JS is zeer goed om code in slides te zetten.
```HTML
<pre><code data-trim>
Select * from DeWereld where colour = 'Green'
</code></pre>
```
bij code kan je ook volgende opties gebruiken
* data-trim om whitespaces voor en na je code niet in de codeblock te zetten
* data-line-numbers om lijnnummers toe te voegen
* data-line-numbers="3,8-10" dan highlight je die lijnnummers
* data-line-numbers="3-5|8-10|13-15" Dan highlight je achtereenvolgens drie blokken van line numbers
Zie ook https://revealjs.com/code/
Je kan ook [[Autoanimate]] gebruiken in je code blocken
Bij pre moeten ze dan dezelfde id hebben, en best ook line-numbers aanzetten
bijvoorbeeld:
```HTML
<section data-auto-animate>
# Slide 5 code
<pre data-id="test"><code data-trim data-line-numbers>
Select *
</code></pre>
</section>
<section data-auto-animate>
# Slide 5 code
<pre data-id="test"><code data-trim data-line-numbers>
Select *
from DeWereld
</code></pre>
</section>
<section data-auto-animate>
# Slide 5 code
<pre data-id="test"><code data-trim data-line-numbers>
Select *
from DeWereld
where colour = 'Green'
</code></pre>
```
is de eerste rij een blanco dan kun je
=INDEX(A:A,AANTALARG(A:A)+1) gebruiken,
Heb je blanco cellen dan kun je deze formule niet gebruiken, maar wel:
=ZOEKEN(9.99E+307; A:A)
dit geeft de laatste waarde weer
Cascading Style Sheets (afgekort tot CSS), stijlbladen, zijn een mogelijkheid om de vormgeving van webpagina's los te koppelen van hun feitelijke inhoud en centraal vast te leggen.
`id > classes > tags`
Zie ook:
* https://jgthms.com/
* https://www.internetingishard.com/
----
<<list-links filter:"[tag<currentTiddler>]">>
`[attr=value]`selector matches and styles elementen met een specifieke attribute value:
```CSS
[type='radio'] {
margin: 20px 0px 20px 0px;
}
```
Met `border-radius` kun je de hoeken afronden - zowel met pixels als percentages (`border-radius: 50%` maakt een mooie cirkel)
https://developer.mozilla.org/en-US/docs/Web/CSS/border-style#values
Alles zit in een "box".
Belangrijke concepten:
* height
* width
* padding
* border
* margin
* overflow
{{css: box-model - afbeelding}}
om iets in een container te centreren:
```CSS
.center {
display: flex;
justify-content: center;
align-items: center;
}
```
Vb:
```HTML
<body>
<section class="hero is-fullheight has-background-black">
<div class="hero-body">
<div class="container">
<figure class="image center">
<img src="images/bulma-logo-white.png" style="max-width: 512px;">
</figure>
</div>
</div>
</section>
</body>
```
```CSS
/*! debug.css | MIT License | zaydek.github.com/debug.css */
*:not(path):not(g) {
color: hsla(210, 100%, 100%, 0.9) !important;
background: hsla(210, 100%, 50%, 0.5) !important;
outline: solid 0.25rem hsla(210, 100%, 100%, 0.5) !important;
box-shadow: none !important;
}
```
`text-align`
`text-align: justify;` zorgt ervoor dat alle tekstlijnen (behalve de laatste) de linker en rechter randen raken
`text-align: left` `text-align: right` `text-align: center`
```CSS
img {
width: 254px;
height: 20px;
}
```
`<strong></strong>` bold
`<u></u>` underline
`<em></em>` empahsize (italic)
`<s></s>` doorstrepen
`<hr>` horizontale lijn
`background-color: rgba(45, 45, 45, 0.1`
rgba = rood, groen, blauw - alpha level van opaciteit (1 = solid kleur, 0 = volledig transparant
`font-size: 27px`
`box-shadow` geeft schaduw volgende waarden kun je meegeven:
* `offset-x` hoe ver moet je de schaduw horizontaal duwen
* `offset-y` hoe ver moet je de schaduw verticaal duwen
* `blur-radius`
* `spread-radius` (optioneel)
* `color` (optioneel)
In die volgorde
Multiple box-shadows can be created by using commas to separate properties of each box-shadow element.
```CSS
box-shadow: 0 10px 20px rgba(0,0,0,0.19), 0 6px 6px rgba(0,0,0,0.23);
```
`opacity` 1 = opaque, niet transparant; 0.5 is halftransparant; 0 is transparant
`text-transform: lowercase` <br>
`text-transform: uppercase` <br>
`text-transform: capitalize` <br>
`text-transform: initial` default value<br>
`text-transform: inherent` gebruik die van de parent element<br>
`text-transform: none` default - originele tekst
`font-weight` hoe dik een tekst is
`line-height`
A pseudo-class is a keyword that can be added to selectors, in order to select a specific state of the element:
```CSS
a:hover {
color: red;
}
```
je kan een element `relative` positioneren tov. waar het normaal zou staan in een webpagina, je kan wijzigen left, tight, bottom, top
```CSS
p {
position: relative;
bottom: 10px;
}
```
andere elementen gaan wel doen alsof het op de normale plaats zit - let er ook op dat de HTML van je webpagina van boven naar onder gelezen kan worden voor screenreaders bijvoorbeeld.
The CSS offsets of top or bottom, and left or right tell the browser how far to offset an item relative to where it would sit in the normal flow of the document. You're offsetting an element away from a given spot, which moves the element away from the referenced side (effectively, the opposite direction). As you saw in the last challenge, using the top offset moved the h2 downwards. Likewise, using a left offset moves an item to the right
je kan een element ook `absolute` positioneren - locks the element in relatie tot zijn dichtsbijzinde parent container (`relative` ?), de rest gaat deze dan ook negeren
je hebt ook een `fixed` position een absolute positie die locked een element (denk aan een navbar altijd zichtbaar) - andere elementen weten niet meer waar zich dat bevindt
een element met een `fixed` position beweegt niet als de user scrolt, dat met een `absolute` wel
The next positioning tool does not actually use position, but sets the `float `property of an element. Floating elements are removed from the normal flow of a document and pushed to either the left or right of their containing parent element. It's commonly used with the width property to specify how much horizontal space the floated element requires
```HTML
<head>
<style>
#left {
float:left;
width: 50%;
}
#right {
float:right;
width: 40%;
}
… <section id="left">
<h2>Content</h2>
<p>Good stuff</p>
</section>
<aside id="right">
<h2>Sidebar</h2>
<p>Links</p>
</aside>
</body>
```
met de `z-index` kun je bepalen waar een element zich boven of onder een ander element bevindt - integers hoe hoger nummer, hoe meer naar voor (boven)
```CSS
.first {
background-color: red;
position: absolute;
z-index: 2;
}
.second {
background-color: blue;
position: absolute;
left: 40px;
top: 50px;
z-index: 1;
}
```
Om iets horizontaal te centreren kun je het een `margin: auto;` geven (block elementen) - wil je dit voor een image doen (inline element) kun je de `display: block;` gebruiken op de image
gebruik complementaire kleuren!
```HTML
<style>
body {
background-color: #FFFFFF;
}
.blue {
background-color: #0000FF;
}
.yellow {
background-color: #FFFF00;
}
div {
display: inline-block;
height: 100px;
width: 100px;
}
</style>
<div class="blue"></div>
<div class="yellow"></div>
```
leer over tertiare kleuren - plit-complementary color scheme. This scheme starts with a base color, then pairs it with the two colors that are adjacent to its complement.
In practice, one of the colors is usually dominant and the complement is used to bring visual attention to certain content on the page.
je kan ook de hue van de kleuren veranderen: `hsl()` hue (kleur met een kleurenwiel) saturation (element grijs in de kleur) lightness (wit of zwart 100% is wit) vb `hsl(180, 90%, 35%)`
voor kleur overgangen: `background: linear-gradient(gradient_direction, color 1, color 2, color 3, ...);` <br>
gradient_direction : 90deg van links naar rechts; 45deg van bottom left naar top right - de kleuren worden in de volgorde getoond van de argumenten vb `background: linear-gradient(90deg, red, yellow, rgb(204, 204, 255));`
je hebt ook `repeating-linear-gradient()`
```HTML
<style>
div{
border-radius: 20px;
width: 70%;
height: 400px;
margin: 50 auto;
background: repeating-linear-gradient(
90deg,
yellow 0px,
blue 40px,
green 40px,
red 80px
);
}
</style>
<div></div>
```
je kan een image aan een background toevoegen: background supports url()
met `transform` kun je de schaal van een element veranderen
```
p {
transform: scale(2);
}
```
```HTML
<style>
.ball {
width: 40px;
height: 40px;
margin: 50 auto;
position: fixed;
background: linear-gradient(
35deg,
#ccffff,
#ffcccc
);
border-radius: 50%;
}
#ball1 {
left: 20%;
}
#ball2 {
left: 65%;
transform: scale(1.5);
}
</style>
<div class="ball" id= "ball1"></div>
<div class="ball" id= "ball2"></div>
```
```CSS
p:hover {
transform: scale(2.1);
}
```
```HTML
<style>
div {
width: 70%;
height: 100px;
margin: 50px auto;
background: linear-gradient(
53deg,
#ccfffc,
#ffcccf
);
}
div:hover {
transform: scale(1.1);
}
</style>
<div></div>
```
```CSS
p {
transform: skewX(-32deg);
}
```
`skewY`
By manipulating different selectors and properties, you can make interesting shapes. One of the easier ones to try is a crescent moon shape. For this challenge you need to work with the `box-shadow `property that sets the shadow of an element, along with the `border-radius` property that controls the roundness of the element's corners.
You will create a round, transparent object with a crisp shadow that is slightly offset to the side - the shadow is actually going to be the moon shape you see.
In order to create a round object, the border-radius property should be set to a value of 50%.
You may recall from an earlier challenge that the box-shadow property takes values for offset-x, offset-y, blur-radius, spread-radius and a color value in that order. The blur-radius and spread-radius values are optional
maak een maansikkel:
```HTML
<style>
.center {
position: absolute;
margin: auto;
top: 0;
right: 0;
bottom: 0;
left: 0;
width: 100px;
height: 100px;
background-color: transparent;
border-radius: 50%;
box-shadow: 25px 10px 0 0 blue;
}
</style>
<div class="center"></div>
```
One of the most popular shapes in the world is the heart shape, and in this challenge you'll create one using pure CSS. But first, you need to understand the `::before` and `::after` pseudo-elements. These pseudo-elements are used to add something before or after a selected element. In the following example, a `::before` pseudo-element is used to add a rectangle to an element with the class heart:
```CSS
.heart::before {
content: "";
background-color: yellow;
border-radius: 25%;
position: absolute;
height: 50px;
width: 70px;
top: -50px;
left: 5px;
}
```
For the `::before` and `::after` pseudo-elements to function properly, they must have a defined `content` property. This property is usually used to add things like a photo or text to the selected element. When the ::before and ::after pseudo-elements are used to make shapes, the content property is still required, but it's set to an empty string. In the above example, the element with the class of heart has a ::before pseudo-element that produces a yellow rectangle with height and width of 50px and 70px, respectively. This rectangle has round corners due to its 25% border-radius and is positioned absolutely at 5px from the left and 50px above the top of the element.
maak een hart:
```HTML
<style>
.heart {
position: absolute;
margin: auto;
top: 0;
right: 0;
bottom: 0;
left: 0;
background-color: pink;
height: 50px;
width: 50px;
transform: rotate(-45deg);
}
.heart::after {
background-color: pink;
content: "";
border-radius: 50%;
position: absolute;
width: 50px;
height: 50px;
top: 0px;
left: 25px;
}
.heart::before {
content: "";
background-color: pink;
border-radius: 50%;
position: absolute;
width: 50px;
height: 50px;
top: -25px;
left: 0px;
}
</style>
<div class="heart"></div>
```
To animate an element, you need to know about the animation properties and the @keyframes rule. The animation properties control how the animation should behave and the @keyframes rule controls what happens during that animation. There are eight animation properties in total. This challenge will keep it simple and cover the two most important ones first:
animation-name sets the name of the animation, which is later used by @keyframes to tell CSS which rules go with which animations.
animation-duration sets the length of time for the animation.
@keyframes is how to specify exactly what happens within the animation over the duration. This is done by giving CSS properties for specific "frames" during the animation, with percentages ranging from 0% to 100%. If you compare this to a movie, the CSS properties for 0% is how the element displays in the opening scene. The CSS properties for 100% is how the element appears at the end, right before the credits roll. Then CSS applies the magic to transition the element over the given duration to act out the scene. Here's an example to illustrate the usage of @keyframes and the animation properties:
```CSS
#anim {
animation-name: colorful;
animation-duration: 3s;
}
@keyframes colorful {
0% {
background-color: blue;
}
100% {
background-color: yellow;
}
}
```
For the element with the anim id, the code snippet above sets the animation-name to colorful and sets the animation-duration to 3 seconds. Then the @keyframes rule links to the animation properties with the name colorful. It sets the color to blue at the beginning of the animation (0%) which will transition to yellow by the end of the animation (100%). You aren't limited to only beginning-end transitions, you can set properties for the element for any percentage between 0% and 100%.
```HTML
<style>
img:hover {
animation-name: width;
animation-duration: 500ms;
}
@keyframes width {
100% {
width: 40px;
}
}
</style>
<img src="https://bit.ly/smallgooglelogo" alt="Google's Logo" />
```
`animation-fill-mode: forwards;` dan blijft het op 100 procent staan je key-frame
```CSS
@keyframes rainbow {
0% {
background-color: blue;
top: 0px;
}
50% {
background-color: green;
top: 50px;
}
100% {
background-color: yellow;
top: 0px;
}
}
```
```HTML
<style>
#ball {
width: 70px;
height: 70px;
margin: 50px auto;
position: fixed;
left: 20%;
border-radius: 50%;
background: linear-gradient(
35deg,
#ccffff,
#ffcccc
);
animation-name: fade;
animation-duration: 3s;
}
@keyframes fade {
50% {
left: 60%;
opacity: 0.1;
}
}
</style>
<div id="ball"></div>
```
`animation-iteration-count: 3;` kan ook `infinite zijn`
stuiterende bal:
```HTML
<style>
#ball {
width: 100px;
height: 100px;
margin: 50px auto;
position: relative;
border-radius: 50%;
background: linear-gradient(
35deg,
#ccffff,
#ffcccc
);
animation-name: bounce;
animation-duration: 1s;
animation-iteration-count: infinite;
}
@keyframes bounce{
0% {
top: 0px;
}
50% {
top: 249px;
width: 130px;
height: 70px;
}
100% {
top: 0px;
}
}
</style>
<div id="ball"></div>
```
pulserend hart:
```HTML
<style>
.back {
position: fixed;
padding: 0;
margin: 0;
top: 0;
left: 0;
width: 100%;
height: 100%;
background: white;
animation-name: backdiv;
animation-duration: 1s;
animation-iteration-count: infinite;
}
.heart {
position: absolute;
margin: auto;
top: 0;
right: 0;
bottom: 0;
left: 0;
background-color: pink;
height: 50px;
width: 50px;
transform: rotate(-45deg);
animation-name: beat;
animation-duration: 1s;
animation-iteration-count: infinite;
}
.heart:after {
background-color: pink;
content: "";
border-radius: 50%;
position: absolute;
width: 50px;
height: 50px;
top: 0px;
left: 25px;
}
.heart:before {
background-color: pink;
content: "";
border-radius: 50%;
position: absolute;
width: 50px;
height: 50px;
top: -25px;
left: 0px;
}
@keyframes backdiv {
50% {
background: #ffe6f2;
}
}
@keyframes beat {
0% {
transform: scale(1) rotate(-45deg);
}
50% {
transform: scale(0.6) rotate(-45deg);
}
}
</style>
<div class="back"></div>
<div class="heart"></div>
```
pulserende sterren:
```HTML
<style>
.stars {
background-color: white;
height: 30px;
width: 30px;
border-radius: 50%;
animation-iteration-count: infinite;
}
.star-1 {
margin-top: 15%;
margin-left: 60%;
animation-name: twinkle-1;
animation-duration: 1s;
}
.star-2 {
margin-top: 25%;
margin-left: 25%;
animation-name: twinkle-2;
animation-duration: 1s;
}
@keyframes twinkle-1 {
50% {
transform: scale(0.5);
opacity: 0.5;
}
}
@keyframes twinkle-2 {
20% {
transform: scale(0.5);
opacity: 0.5;
}
}
#back {
position: fixed;
padding: 0;
margin: 0;
top: 0;
left: 0;
width: 100%;
height: 100%;
background: linear-gradient(black, #000099, #66c2ff, #ffcccc, #ffeee6);
}
</style>
<div id="back"></div>
<div class="star-1 stars"></div>
<div class="star-2 stars"></div>
```
meer pulserende sterren:
```HTML
<style>
.stars {
background-color: white;
height: 30px;
width: 30px;
border-radius: 50%;
animation-iteration-count: infinite;
}
.star-1 {
margin-top: 15%;
margin-left: 60%;
animation-duration: 1s;
animation-name: twinkle;
}
.star-2 {
margin-top: 25%;
margin-left: 25%;
animation-duration: 0.9s;
animation-name: twinkle;
}
.star-3 {
margin-top: 10%;
margin-left: 50%;
animation-duration: 1.1s;
animation-name: twinkle;
}
@keyframes twinkle {
20% {
transform: scale(0.5);
opacity: 0.5;
}
}
#back {
position: fixed;
padding: 0;
margin: 0;
top: 0;
left: 0;
width: 100%;
height: 100%;
background: linear-gradient(black, #000099, #66c2ff, #ffcccc, #ffeee6);
}
</style>
<div id="back"></div>
<div class="star-1 stars"></div>
<div class="star-2 stars"></div>
<div class="star-3 stars"></div>
```
In CSS animations, the animation-timing-function property controls how quickly an animated element changes over the duration of the animation. If the animation is a car moving from point A to point B in a given time (your animation-duration), the animation-timing-function says how the car accelerates and decelerates over the course of the drive.
There are a number of predefined keywords available for popular options. For example, the default value is ease, which starts slow, speeds up in the middle, and then slows down again in the end. Other options include ease-out, which is quick in the beginning then slows down, ease-in, which is slow in the beginning, then speeds up at the end, or linear, which applies a constant animation speed throughout.
vallende ballen
```HTML
<style>
.balls {
border-radius: 50%;
background: linear-gradient(
35deg,
#ccffff,
#ffcccc
);
position: fixed;
width: 50px;
height: 50px;
margin-top: 50px;
animation-name: bounce;
animation-duration: 2s;
animation-iteration-count: infinite;
}
#ball1 {
left:27%;
animation-timing-function: linear;
}
#ball2 {
left:56%;
animation-timing-function: ease-out;
}
@keyframes bounce {
0% {
top: 0px;
}
100% {
top: 249px;
}
}
</style>
<div class="balls" id="ball1"></div>
<div class="balls" id="ball2"></div>
```
The last challenge introduced the animation-timing-function property and a few keywords that change the speed of an animation over its duration. CSS offers an option other than keywords that provides even finer control over how the animation plays out, through the use of Bezier curves.
In CSS animations, Bezier curves are used with the cubic-bezier function. The shape of the curve represents how the animation plays out. The curve lives on a 1 by 1 coordinate system. The X-axis of this coordinate system is the duration of the animation (think of it as a time scale), and the Y-axis is the change in the animation.
The cubic-bezier function consists of four main points that sit on this 1 by 1 grid: p0, p1, p2, and p3. p0 and p3 are set for you - they are the beginning and end points which are always located respectively at the origin (0, 0) and (1, 1). You set the x and y values for the other two points, and where you place them in the grid dictates the shape of the curve for the animation to follow. This is done in CSS by declaring the x and y values of the p1 and p2 "anchor" points in the form: (x1, y1, x2, y2). Pulling it all together, here's an example of a Bezier curve in CSS code:
```CSS
animation-timing-function: cubic-bezier(0.25, 0.25, 0.75, 0.75);
```
In the example above, the x and y values are equivalent for each point (x1 = 0.25 = y1 and x2 = 0.75 = y2), which if you remember from geometry class, results in a line that extends from the origin to point (1, 1). This animation is a linear change of an element during the length of an animation, and is the same as using the linear keyword. In other words, it changes at a constant speed.
! Absolute basis
```HTML
<h2 style="color: blue;">CatPhotoApp</h2>
```
```HTML
<style>
h2 {
color: red;
}
</style>
```
gebruik een class om een element te stylen:
```HTML
<style>
.blue-text {
color: blue;
}
</style>
```
```CSS
h1 {
font-size: 30px;
}
```
```CSS
h2 {
font-family: sans-serif;
}
```
---
! Google Fonts
{{ CSS - importeer Google fonts}}
---
Family names are case-sensitive and need to be wrapped in quotes if there is a space in the name.
```HTML
<style>
.larger-image {
width: 500px;
}
</style>
```
```HTML
<style>
.thin-red-border {
border-color: red;
border-width: 5px;
border-style: solid;
}
</style>
```
meerdere klassen per element:
```HTML
<img class="class1 class2">
```
---
! border-radius
{{CSS - border-radius}}
---
```CSS
.green-background {
background-color: green;
}
```
---
! id-element
{{CSS - id-element}}
---
! Padding, Border, Margin
{{ CSS - Padding Border en Margin}}
---
! attr=value
{{CSS - attr=value}}
---
! px, in, mm, em, rem
{{CSS - px, in, mm, em, rem}}
---
Je kan heel je body stylen <br>
Als je een klass daaronder styled zal de waarde van de class voorrang hebben op de waarde in body <br>
Als je meerdere klassen met conflicterende waarden aan een element geeft zal degene die laats in de stylesheet staat gebruikt worden <br>
id-attributen hebben sowieso voorrang op class-attribeten <br>
inline styels `<h1 style="color: green;">` gaan voor op class-styles en id-styles<br>
`!important` vb `color: red !important;` gaat boven alles
je kan kleuren ook anders dan bij hun naam noemen : hexadecimaal met RGB `#00FF00` bijvoorbeeld is groen: heeft 00 (=laagst mogelijke) waarde rood, FF (=hoogst mogelijke) waarde groen en 00 waarde blauw
je kan ook inkorten naar #000 voor wit en #FFF voor zwart - minder keuze, maar eenvoudiger
of RGB waarden gebruiken: `rgb(255, 255, 255)` is wit terwijl `rgb(0, 0, 0)` zwart is - principe is hetzelfde als hexadecimaal
je kan ook zelf css variabelen maken `--penguin-skin: gray;` en die dan verder gebruiken door ze zo te noemen `background: var(--penguin-skin);` of met een fallback-kleur: `background: var(--penguin-skin, black);`
This means that if you do want to provide a browser fallback, it's as easy as providing another more widely supported value immediately before your declaration. That way an older browser will have something to fall back on, while a newer browser will just interpret whatever declaration comes later in the cascade.
When you create a variable, it is available for you to use inside the selector in which you create it. It also is available in any of that selector's descendants. This happens because CSS variables are inherited, just like ordinary properties.
To make use of inheritance, CSS variables are often defined in the :root element.
:root is a pseudo-class selector that matches the root element of the document, usually the html element. By creating your variables in :root, they will be available globally and can be accessed from any other selector in the style sheet
When you create your variables in :root they will set the value of that variable for the whole page.
You can then over-write these variables by setting them again within a specific element.
---
! De twee pinguïns die op het einde van de lessenreeks werden gemaakt
```
<style>
:root {
--penguin-skin: gray;
--penguin-belly: pink;
--penguin-beak: orange;
}
body {
background: var(--penguin-belly, #c6faf1);
}
.penguin {
/* Only change code below this line */
--penguin-belly: white;
/* Only change code above this line */
position: relative;
margin: auto;
display: block;
margin-top: 5%;
width: 300px;
height: 300px;
}
.right-cheek {
top: 15%;
left: 35%;
background: var(--penguin-belly, pink);
width: 60%;
height: 70%;
border-radius: 70% 70% 60% 60%;
}
.left-cheek {
top: 15%;
left: 5%;
background: var(--penguin-belly, pink);
width: 60%;
height: 70%;
border-radius: 70% 70% 60% 60%;
}
.belly {
top: 60%;
left: 2.5%;
background: var(--penguin-belly, pink);
width: 95%;
height: 100%;
border-radius: 120% 120% 100% 100%;
}
.penguin-top {
top: 10%;
left: 25%;
background: var(--penguin-skin, gray);
width: 50%;
height: 45%;
border-radius: 70% 70% 60% 60%;
}
.penguin-bottom {
top: 40%;
left: 23.5%;
background: var(--penguin-skin, gray);
width: 53%;
height: 45%;
border-radius: 70% 70% 100% 100%;
}
.right-hand {
top: 0%;
left: -5%;
background: var(--penguin-skin, gray);
width: 30%;
height: 60%;
border-radius: 30% 30% 120% 30%;
transform: rotate(45deg);
z-index: -1;
}
.left-hand {
top: 0%;
left: 75%;
background: var(--penguin-skin, gray);
width: 30%;
height: 60%;
border-radius: 30% 30% 30% 120%;
transform: rotate(-45deg);
z-index: -1;
}
.right-feet {
top: 85%;
left: 60%;
background: var(--penguin-beak, orange);
width: 15%;
height: 30%;
border-radius: 50% 50% 50% 50%;
transform: rotate(-80deg);
z-index: -2222;
}
.left-feet {
top: 85%;
left: 25%;
background: var(--penguin-beak, orange);
width: 15%;
height: 30%;
border-radius: 50% 50% 50% 50%;
transform: rotate(80deg);
z-index: -2222;
}
.right-eye {
top: 45%;
left: 60%;
background: black;
width: 15%;
height: 17%;
border-radius: 50%;
}
.left-eye {
top: 45%;
left: 25%;
background: black;
width: 15%;
height: 17%;
border-radius: 50%;
}
.sparkle {
top: 25%;
left: 15%;
background: white;
width: 35%;
height: 35%;
border-radius: 50%;
}
.blush-right {
top: 65%;
left: 15%;
background: pink;
width: 15%;
height: 10%;
border-radius: 50%;
}
.blush-left {
top: 65%;
left: 70%;
background: pink;
width: 15%;
height: 10%;
border-radius: 50%;
}
.beak-top {
top: 60%;
left: 40%;
background: var(--penguin-beak, orange);
width: 20%;
height: 10%;
border-radius: 50%;
}
.beak-bottom {
top: 65%;
left: 42%;
background: var(--penguin-beak, orange);
width: 16%;
height: 10%;
border-radius: 50%;
}
.penguin * {
position: absolute;
}
</style>
<div class="penguin">
<div class="penguin-bottom">
<div class="right-hand"></div>
<div class="left-hand"></div>
<div class="right-feet"></div>
<div class="left-feet"></div>
</div>
<div class="penguin-top">
<div class="right-cheek"></div>
<div class="left-cheek"></div>
<div class="belly"></div>
<div class="right-eye">
<div class="sparkle"></div>
</div>
<div class="left-eye">
<div class="sparkle"></div>
</div>
<div class="blush-right"></div>
<div class="blush-left"></div>
<div class="beak-top"></div>
<div class="beak-bottom"></div>
</div>
</div>
```
```
<style>
:root {
--penguin-size: 300px;
--penguin-skin: gray;
--penguin-belly: white;
--penguin-beak: orange;
}
@media (max-width: 350px) {
:root {
/* Only change code below this line */
--penguin-size: 200px;
--penguin-skin: black;
/* Only change code above this line */
}
}
.penguin {
position: relative;
margin: auto;
display: block;
margin-top: 5%;
width: var(--penguin-size, 300px);
height: var(--penguin-size, 300px);
}
.right-cheek {
top: 15%;
left: 35%;
background: var(--penguin-belly, white);
width: 60%;
height: 70%;
border-radius: 70% 70% 60% 60%;
}
.left-cheek {
top: 15%;
left: 5%;
background: var(--penguin-belly, white);
width: 60%;
height: 70%;
border-radius: 70% 70% 60% 60%;
}
.belly {
top: 60%;
left: 2.5%;
background: var(--penguin-belly, white);
width: 95%;
height: 100%;
border-radius: 120% 120% 100% 100%;
}
.penguin-top {
top: 10%;
left: 25%;
background: var(--penguin-skin, gray);
width: 50%;
height: 45%;
border-radius: 70% 70% 60% 60%;
}
.penguin-bottom {
top: 40%;
left: 23.5%;
background: var(--penguin-skin, gray);
width: 53%;
height: 45%;
border-radius: 70% 70% 100% 100%;
}
.right-hand {
top: 5%;
left: 25%;
background: var(--penguin-skin, black);
width: 30%;
height: 60%;
border-radius: 30% 30% 120% 30%;
transform: rotate(130deg);
z-index: -1;
animation-duration: 3s;
animation-name: wave;
animation-iteration-count: infinite;
transform-origin:0% 0%;
animation-timing-function: linear;
}
@keyframes wave {
10% {
transform: rotate(110deg);
}
20% {
transform: rotate(130deg);
}
30% {
transform: rotate(110deg);
}
40% {
transform: rotate(130deg);
}
}
.left-hand {
top: 0%;
left: 75%;
background: var(--penguin-skin, gray);
width: 30%;
height: 60%;
border-radius: 30% 30% 30% 120%;
transform: rotate(-45deg);
z-index: -1;
}
.right-feet {
top: 85%;
left: 60%;
background: var(--penguin-beak, orange);
width: 15%;
height: 30%;
border-radius: 50% 50% 50% 50%;
transform: rotate(-80deg);
z-index: -2222;
}
.left-feet {
top: 85%;
left: 25%;
background: var(--penguin-beak, orange);
width: 15%;
height: 30%;
border-radius: 50% 50% 50% 50%;
transform: rotate(80deg);
z-index: -2222;
}
.right-eye {
top: 45%;
left: 60%;
background: black;
width: 15%;
height: 17%;
border-radius: 50%;
}
.left-eye {
top: 45%;
left: 25%;
background: black;
width: 15%;
height: 17%;
border-radius: 50%;
}
.sparkle {
top: 25%;
left:-23%;
background: white;
width: 150%;
height: 100%;
border-radius: 50%;
}
.blush-right {
top: 65%;
left: 15%;
background: pink;
width: 15%;
height: 10%;
border-radius: 50%;
}
.blush-left {
top: 65%;
left: 70%;
background: pink;
width: 15%;
height: 10%;
border-radius: 50%;
}
.beak-top {
top: 60%;
left: 40%;
background: var(--penguin-beak, orange);
width: 20%;
height: 10%;
border-radius: 50%;
}
.beak-bottom {
top: 65%;
left: 42%;
background: var(--penguin-beak, orange);
width: 16%;
height: 10%;
border-radius: 50%;
}
body {
background:#c6faf1;
}
.penguin * {
position: absolute;
}
</style>
<div class="penguin">
<div class="penguin-bottom">
<div class="right-hand"></div>
<div class="left-hand"></div>
<div class="right-feet"></div>
<div class="left-feet"></div>
</div>
<div class="penguin-top">
<div class="right-cheek"></div>
<div class="left-cheek"></div>
<div class="belly"></div>
<div class="right-eye">
<div class="sparkle"></div>
</div>
<div class="left-eye">
<div class="sparkle"></div>
</div>
<div class="blush-right"></div>
<div class="blush-left"></div>
<div class="beak-top"></div>
<div class="beak-bottom"></div>
</div>
</div>
```
Gebruik je om elementen op een flexibele manier op een pagina te zetten.
je moet daarvoor de property `display: flex` aan een element toevoegen - dit maakt het element in een flex-container. <br>Kinderen van dat element kunnen dan in rijen of kolommen gerangschikt worden. Dit doe je door een `flex-direction` aan de parent item toe te voegen en dit een `row` (kinderen horizontaal) of `column` (kinderen verticaal) (of `row-reverse` en '`column-reverse`) te geven. <br>
Default is `row`
vb:
```HTML
<style>
#box-container {
display: flex;
height: 500px;
flex-direction: row-reverse;
}
#box-1 {
background-color: dodgerblue;
width: 50%;
height: 50%;
}
#box-2 {
background-color: orangered;
width: 50%;
height: 50%;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
met `justify-content` kun je zorgen dat de elementen op een bepaalde manier uitgelijnd zijn.
<<<
Recall that setting a flex container as a row places the flex items side-by-side from left-to-right. A flex container set as a column places the flex items in a vertical stack from top-to-bottom. For each, the direction the flex items are arranged is called the main axis. For a row, this is a horizontal line that cuts through each item. And for a column, the main axis is a vertical line through the items.
<<<
er zijn verschillende mogelijkheden:
* `justify-content: center` alligns alles naar het centrum
* `justify-content: flex-start` (''default'') pushed alles naar de top van de container
* `justify-content: flex-end` alligned alles naar het einde (rechts of onder dus)
* `justify-content: space-between` centered met wat extra plaats ertussen, eerste en laatste zitten tegen de rand
* `justify-content: space-around` idem als boven, maar komen niet tegen de rand - daar wordt een halve plaats opengelaten langs elke kant
* `justify-content: space-evenly` idem als hierboven, maar ipv een halve space een hele space aan elke kant
```HTML
<style>
#box-container {
background: gray;
display: flex;
height: 500px;
justify-content: center;
}
#box-1 {
background-color: dodgerblue;
width: 25%;
height: 100%;
}
#box-2 {
background-color: orangered;
width: 25%;
height: 100%;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
met `align-items` kun je alles aligneren langs de cross axis:
<<<
Flex containers also have a cross axis which is the opposite of the main axis. For rows, the cross axis is vertical and for columns, the cross axis is horizontal.
<<<
volgende waarden heb je:
* `align-items: flex-start` voor `rows` naar de top, voor `columns` naar links
* `align-items: flex-end` voor `rows` naar onder, voor `columns` naar rechts
* `align-items: center` centreren
* `align-items: stretch` (''default'')
* `align-items: baseline` denk aan de lijn waar je letters op rusten
```HTML
<style>
#box-container {
background: gray;
display: flex;
height: 500px;
align-items: center;
}
#box-1 {
background-color: dodgerblue;
width: 200px;
font-size: 24px;
}
#box-2 {
background-color: orangered;
width: 200px;
font-size: 18px;
}
</style>
<div id="box-container">
<div id="box-1"><p>Hello</p></div>
<div id="box-2"><p>Goodbye</p></div>
</div>
```
Je kan ook een flex-item splitsen over meerdere rijen (of kolommen)
dit doe je met `flex-wrap` dit wil zeggen dat extra items naar een nieuwe rij of kolom gaan, het afbreekpunt hangt van de grootte van de container en zijn items af.
je hebt:
* `flex-wrap: nowrap` (`default`) geen wrapping
* `flex-wrap: wrap` wraps items in verschillende lijnen van boven naar beneden voor `rows` en van links naar recht voor `columns`
* `flex-wrap: wrap-reverse` van onder naar boven voor `rows` en van rechts naar links voor `columns`
```HTML
<style>
#box-container {
background: gray;
display: flex;
height: 100%;
flex-wrap: wrap;
}
#box-1 {
background-color: dodgerblue;
width: 25%;
height: 50%;
}
#box-2 {
background-color: orangered;
width: 25%;
height: 50%;
}
#box-3 {
background-color: violet;
width: 25%;
height: 50%;
}
#box-4 {
background-color: yellow;
width: 25%;
height: 50%;
}
#box-5 {
background-color: green;
width: 25%;
height: 50%;
}
#box-6 {
background-color: black;
width: 25%;
height: 50%;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
<div id="box-3"></div>
<div id="box-4"></div>
<div id="box-5"></div>
<div id="box-6"></div>
</div>
```
met `flex-shrink` gaan items krimpen als ze niet volledig in de container passen. Je kan ze een waarde geven (nummers) hoe groter de nummer hoe harder ze krimpen
```HTML
<style>
#box-container {
display: flex;
height: 500px;
}
#box-1 {
background-color: dodgerblue;
width: 100%;
height: 200px;
flex-shrink: 1;
}
#box-2 {
background-color: orangered;
width: 100%;
height: 200px;
flex-shrink: 2;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
Ht tegonvergestelde van `flex-shrink` is `flex-grow`
```HTML
<style>
#box-container {
display: flex;
height: 500px;
}
#box-1 {
background-color: dodgerblue;
height: 200px;
flex-grow: 1;
}
#box-2 {
background-color: orangered;
height: 200px;
flex-grow: 2;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
`flex-basis` specifieert de initële grootte van een item voordat we daar met `flex-shrink` of `flex-grow` iets aan aanpassen
```HTML
<style>
#box-container {
display: flex;
height: 500px;
}
#box-1 {
background-color: dodgerblue;
height: 200px;
flex-basis: 10em;
}
#box-2 {
background-color: orangered;
height: 200px;
flex-basis: 20em;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
je kan ook een verkorte schrijfwijze gebruiken met de `flex` property vb: `flex: 1 0 10px;` wil zeggen `flex-grow: 1;`, `flex-shrink: 0;` en `flex-basis: 10px;` <br>
de default waarden zijn `flex: 0 1 auto;`
```HTML
<style>
#box-container {
display: flex;
height: 500px;
}
#box-1 {
background-color: dodgerblue;
flex: 2 2 150px;
height: 200px;
}
#box-2 {
background-color: orangered;
flex: 1 1 150px;
height: 200px;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
met `order` kun je de volgorde van de elementen bepalen - normaal komen ze aan bod in de volgorde die in de source HTML staan
```HTML
<style>
#box-container {
display: flex;
height: 500px;
}
#box-1 {
background-color: dodgerblue;
order: 2;
height: 200px;
width: 200px;
}
#box-2 {
background-color: orangered;
order: 1;
height: 200px;
width: 200px;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
`align-self` kun je elk element apart alligneren - aanvaard dezelfde waarde als `align-items` en overschrijft ook de waarde van `align-items`
```HTML
<style>
#box-container {
display: flex;
height: 500px;
}
#box-1 {
background-color: dodgerblue;
align-self: center;
height: 200px;
width: 200px;
}
#box-2 {
background-color: orangered;
align-self: flex-end;
height: 200px;
width: 200px;
}
</style>
<div id="box-container">
<div id="box-1"></div>
<div id="box-2"></div>
</div>
```
---
je hebt ook
De twee eigenschappen `flex-direction` en `flex-wrap` worden zodanig veel in combinatie met elkaar gebruikt dat men er een verkorte eigenschap `flex-flow` voor heeft gemaakt. Deze verkorte eigenschap aanvaardt de waarde(n) van deze twee eigenschappen gescheiden door een spatie. vb: `flex-flow: row wrap `
Een nieuwere standaard. Maakt van een HTML-element een grid waar je zaken in kunt plaatsen (waar je wilt).
Als je van een element de `display`-property naar `grid` zet maak je van eender welk element een grid <br>
de parent element is de container, kinderen zijn items
```HTML
<style>
.d1{background:LightSkyBlue;}
.d2{background:LightSalmon;}
.d3{background:PaleTurquoise;}
.d4{background:LightPink;}
.d5{background:PaleGreen;}
.container {
font-size: 40px;
width: 100%;
background: LightGray;
/* Only change code below this line */
display: grid;
/* Only change code above this line */
}
</style>
<div class="container">
<div class="d1">1</div>
<div class="d2">2</div>
<div class="d3">3</div>
<div class="d4">4</div>
<div class="d5">5</div>
</div>
```
Voeg kolommen toe. Als je alleen een grid definieert gebeurt er niet zoveel. Je moet ook de structuur definiëren. Je doet dit door `grid-template-columns`-property zoals bijvoorbeeld:
```CSS
.container {
display: grid;
grid-template-columns: 50px 50px;
}
```
dan maak je een grid van 2 kolommen van 50px
vb:
```HTML
<style>
.d1{background:LightSkyBlue;}
.d2{background:LightSalmon;}
.d3{background:PaleTurquoise;}
.d4{background:LightPink;}
.d5{background:PaleGreen;}
.container {
font-size: 40px;
width: 100%;
background: LightGray;
display: grid;
/* Only change code below this line */
grid-template-columns: 100px 100px 100px;
/* Only change code above this line */
}
</style>
<div class="container">
<div class="d1">1</div>
<div class="d2">2</div>
<div class="d3">3</div>
<div class="d4">4</div>
<div class="d5">5</div>
</div>
```
Vroeg rijen toe met `grid-template-rows`
```HTML
<style>
.d1{background:LightSkyBlue;}
.d2{background:LightSalmon;}
.d3{background:PaleTurquoise;}
.d4{background:LightPink;}
.d5{background:PaleGreen;}
.container {
font-size: 40px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 100px 100px 100px;
/* Only change code below this line */
grid-template-rows: 50px 50px;
/* Only change code above this line */
}
</style>
<div class="container">
<div class="d1">1</div>
<div class="d2">2</div>
<div class="d3">3</div>
<div class="d4">4</div>
<div class="d5">5</div>
</div>
```
Je kan absolute eenheden gebruiken als `px` en `em` maar ook relatieve:
* `fr` rij of kolom als een fractie van available space
* `auto` automatisch
* `%` als een percentage
je kan ze door elkaar gebruiken: `grid-template-columns: auto 50px 10% 2fr 1fr;`
met `grid-column-gap` zet je een leegte tussen de kolommen `grid-template-columns: auto 50px 10% 2fr 1fr;`
```HTML
<style>
.d1{background:LightSkyBlue;}
.d2{background:LightSalmon;}
.d3{background:PaleTurquoise;}
.d4{background:LightPink;}
.d5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
/* Only change code below this line */
grid-column-gap: 20px;
/* Only change code above this line */
}
</style>
<div class="container">
<div class="d1">1</div>
<div class="d2">2</div>
<div class="d3">3</div>
<div class="d4">4</div>
<div class="d5">5</div>
</div>
```
met `grid-row-gap` maak je gaps tussen de rijen
`grid-gap` is een shorthand van beiden: zet je daarnaast 1 waarde dan gebruikt het die waarde als gap tussen rijen en kolommen, zet je twee waarden dan gebruikt het de eerste als waarde voor de gap tussen de rijen en de tweede voor de gap tussen de kolommen
---
alles hierboven ging over de container
---
`grid-column` gebruiken we voor de grid itmes zelf
<<<
The hypothetical horizontal and vertical lines that create the grid are referred to as lines. These lines are numbered starting with 1 at the top left corner of the grid and move right for columns and down for rows, counting upward.
<<<
dus drie op drie telt naar vier!
met `grid-column` geef je lijn nummers mee waar ze moeten beginnen en starten: `grid-column: 1/3;`
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5 {
background: PaleGreen;
/* Only change code below this line */
grid-column: 2 / 4;
/* Only change code above this line */
}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
`grid-row` doet hetzelfde met rijen
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5 {
background: PaleGreen;
grid-column: 2 / 4;
/* Only change code below this line */
grid-row: 2 / 4;
/* Only change code above this line */
}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
in CSS grid zit elk element in een cell. <br>
je kan de elementen in een cell positioneren met `justify-self`. standaard staat dit op `stretch`, maar je kan dit ook op `start` (links) `center` of `end` rechts zetten - dit werkt dus horizontaal
```HTML
<style>
.item1{background: LightSkyBlue;}
.item2 {
background: LightSalmon;
/* Only change code below this line */
justify-self: center;
/* Only change code above this line */
}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
verticaal doen we dit met `align-self`
alle items tegelijkertijd horizontaal uitlijnen doen we met `justify-items` in de container
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
/* Only change code below this line */
justify-items: center;
/* Only change code above this line */
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
verticaal doen we dit met `align-items`
Je kan cellen groeperen in een area door deze een costum naam te geven
dit doe je met `grid-template-areas`
```CSS
grid-template-areas:
"header header header"
"advert content content"
"footer footer footer";
```
<<<
Note: Every word in the code represents a cell and every pair of quotation marks represent a row. In addition to custom labels, you can use a period (.) to designate an empty cell in the grid.
<<<
Hoe plaats je nu items in een cel? Met `grid-area`
```CSS
.item1 {
grid-area: header;
}
```
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5 {
background: PaleGreen;
/* Only change code below this line */
grid-area: footer;
/* Only change code above this line */
}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
grid-template-areas:
"header header header"
"advert content content"
"footer footer footer";
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
Je kan `grid-area` ook gebruiken zonder cellen een naam te geven. vb: `item1 { grid-area: 1/1/2/4; }` lees je als: `grid-area: horizontal line to start at / vertical line to start at / horizontal line to end at / vertical line to end at;`
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5 {
background: PaleGreen;
/* Only change code below this line */
grid-area: 3/1/4/4;
/* Only change code above this line */
}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr 1fr 1fr;
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
In plaats van elke rij of kolom met `grid-template-columns` of `grid-template-rows` te definiëren kun je er veel tegelijkertijd specifiëren met `repeat` o specify the number of times you want your column or row to be repeated, followed by a comma and the value you want to repeat.
`grid-template-rows: repeat(100, 50px);`
`grid-template-columns: repeat(2, 1fr 50px) 20px;` is eigenlijk: `grid-template-columns: 1fr 50px 1fr 50px 20px;`
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
/* Only change code below this line */
grid-template-columns: repeat(3, 1fr);
/* Only change code above this line */
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
`minmax` gebruiken we ook met `grid-template-columns` and `grid-template-rows` t's used to limit the size of items when the grid container changes size. To do this you need to specify the acceptable size range for your item.
`grid-template-columns: 100px minmax(50px, 200px);`
In the code above, grid-template-columns is set to create two columns; the first is 100px wide, and the second has the minimum width of 50px and the maximum width of 200px.
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
/* Only change code below this line */
grid-template-columns: repeat(3, minmax(90px, 1fr));
/* Only change code above this line */
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
`auto-fill ` `repeat(auto-fill, minmax(60px, 1fr));`
When the container changes size, this setup keeps inserting 60px columns and stretching them until it can insert another one. Note: If your container can't fit all your items on one row, it will move them down to a new one.
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 100px;
width: 100%;
background: LightGray;
display: grid;
/* Only change code below this line */
grid-template-columns: repeat(auto-fill, minmax(60px, 1fr));
/* Only change code above this line */
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
.container2 {
font-size: 40px;
min-height: 100px;
width: 100%;
background: Silver;
display: grid;
grid-template-columns: repeat(3, minmax(60px, 1fr));
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
<div class="container2">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
auto-fit works almost identically to auto-fill. The only difference is that when the container's size exceeds the size of all the items combined, auto-fill keeps inserting empty rows or columns and pushes your items to the side, while auto-fit collapses those empty rows or columns and stretches your items to fit the size of the container.
```HTML
<style>
.item1{background:LightSkyBlue;}
.item2{background:LightSalmon;}
.item3{background:PaleTurquoise;}
.item4{background:LightPink;}
.item5{background:PaleGreen;}
.container {
font-size: 40px;
min-height: 100px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: repeat(auto-fill, minmax(60px, 1fr));
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
.container2 {
font-size: 40px;
min-height: 100px;
width: 100%;
background: Silver;
display: grid;
/* Only change code below this line */
grid-template-columns: repeat(auto-fit, minmax(60px, 1fr));
/* Only change code above this line */
grid-template-rows: 1fr 1fr 1fr;
grid-gap: 10px;
}
</style>
<div class="container">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
<div class="container2">
<div class="item1">1</div>
<div class="item2">2</div>
<div class="item3">3</div>
<div class="item4">4</div>
<div class="item5">5</div>
</div>
```
CSS Grid can be an easy way to make your site more responsive by using media queries to rearrange grid areas, change dimensions of a grid, and rearrange the placement of items.
In the preview, when the viewport width is 300px or more, the number of columns changes from 1 to 2. The advertisement area then occupies the left column completely.
```HTML
<style>
.item1 {
background: LightSkyBlue;
grid-area: header;
}
.item2 {
background: LightSalmon;
grid-area: advert;
}
.item3 {
background: PaleTurquoise;
grid-area: content;
}
.item4 {
background: lightpink;
grid-area: footer;
}
.container {
font-size: 1.5em;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: 1fr;
grid-template-rows: 50px auto 1fr auto;
grid-gap: 10px;
grid-template-areas:
"header"
"advert"
"content"
"footer";
}
@media (min-width: 300px){
.container{
grid-template-columns: auto 1fr;
grid-template-rows: auto 1fr auto;
grid-template-areas:
"advert header"
"advert content"
"advert footer";
}
}
@media (min-width: 400px){
.container{
grid-template-areas:
/* Only change code below this line */
"header header"
"advert content"
"footer footer";
/* Only change code above this line */
}
}
</style>
<div class="container">
<div class="item1">header</div>
<div class="item2">advert</div>
<div class="item3">content</div>
<div class="item4">footer</div>
</div>
```
Turning an element into a grid only affects the behavior of its direct descendants. So by turning a direct descendant into a grid, you have a grid within a grid.
For example, by setting the display and grid-template-columns properties of the element with the item3 class, you create a grid within your grid.
```HTML
<style>
.container {
font-size: 1.5em;
min-height: 300px;
width: 100%;
background: LightGray;
display: grid;
grid-template-columns: auto 1fr;
grid-template-rows: auto 1fr auto;
grid-gap: 10px;
grid-template-areas:
"advert header"
"advert content"
"advert footer";
}
.item1 {
background: LightSkyBlue;
grid-area: header;
}
.item2 {
background: LightSalmon;
grid-area: advert;
}
.item3 {
background: PaleTurquoise;
grid-area: content;
/* Only change code below this line */
grid-template-columns: auto 1fr;
display: grid;
/* Only change code above this line */
}
.item4 {
background: lightpink;
grid-area: footer;
}
.itemOne {
background: PaleGreen;
}
.itemTwo {
background: BlanchedAlmond;
}
</style>
<div class="container">
<div class="item1">header</div>
<div class="item2">advert</div>
<div class="item3">
<div class="itemOne">paragraph1</div>
<div class="itemTwo">paragraph2</div>
</div>
<div class="item4">footer</div>
</div>
```
! creëer een media query
met media queries kun je de presentatie van content aanpassen aan verschillende viewport sizes
mediaqueries bestaan uit een mediatype en als dat mediatype gematch wordt aan het scherm van het toestel waarmee gekeken wordt, wordt die stijl gebruikt.
je kunt er zoveel hebben als je wilt
vb van een mediaquery dat de inhoud toont wanneer de breedte van het scherm minder is dan 100px
```CSS
@media (max-width: 100px) { /* CSS Rules */ }
```
en deze laat de content zien als de hoogte meer dan 350 px is:
```CSS
@media (min-height: 350px) { /* CSS Rules */ }
```
de css wordt dus alleen gebruikt als de mediatype matches the device being used.
---
! maak een afbeelding responsive
is simpel, eigenlijk moet je alleen het volgende toevoegen
```CSS
img {
max-width: 100%;
height: auto;
}
```
door de max-width van 100 provent zal de image nooit breder worden dan de container, de height van auto zorgt ervoor dat de juiste dimensies behouden blijven.
---
! gebruik een retina-afbeelding zodat je in hogere resolutie kunt tonen
de makkelijkste manier om hiervoor te zorgen is door te definiëren dat de maximum `width` en `height` de helft van de oorspronkelijke waarde is.
---
! maak tekst responsive
ipv `em` of `px` te gebruiken kun je viewport units gebruiken
* `vw` viewport width `10vw` is 10% van de width
* `vh` viewport height `3vh` is 3 procent van de height
* `vmin` viewport minimum `70vmin` would be 70% of the viewport's smaller dimension (height or width).
* `vmax` viewport max `100vmax` would be 100% of the viewport's bigger dimension (height or width).
vb:
```CSS
body { width: 30vw; }
```
[[Officiële Website|https://bulma.io/]]
Een kopie van bulma dd. 2021-03-23 kun je [[hier|https://computers.heggenmus.eu/websites/css/bulma-0.9.1/]] vinden
```HTML
<!DOCTYPE html>
<html>
<head>
<meta charset="utf-8">
<meta name="viewport" content="width=device-width, initial-scale=1">
<title>Hello Bulma!</title>
<link rel="stylesheet" href="https://cdn.jsdelivr.net/npm/bulma@0.9.1/css/bulma.min.css">
</head>
<body>
<section class="section">
<div class="container">
<h1 class="title">
Hello World
</h1>
<p class="subtitle">
My first website with <strong>Bulma</strong>!
</p>
</div>
</section>
</body>
</html>
```
```HTML
<!--
hero: https://bulma.io/documentation/layout/hero/
section: https://bulma.io/documentation/layout/section/
image: https://bulma.io/documentation/elements/image/
columns: https://bulma.io/documentation/columns/basics/
media: https://bulma.io/documentation/layout/media-object/
icon: https://bulma.io/documentation/elements/icon/
breadcrumb: https://bulma.io/documentation/components/breadcrumb/
level: https://bulma.io/documentation/layout/level/
-->
<!-- hero: https://bulma.io/documentation/layout/hero/ -->
<section class="hero">
<div class="hero-body">
<!-- ... -->
</div>
</section>
<!-- section: https://bulma.io/documentation/layout/section/ -->
<section class="section">
<div class="container">
<!-- ... -->
</div>
</section>
<!-- image: https://bulma.io/documentation/elements/image/ -->
<figure class="image is-128x128">
<img src="https://bulma.io/images/placeholders/128x128.png">
</figure>
<!-- columns: https://bulma.io/documentation/columns/basics/ -->
<div class="columns">
<div class="column">
<!-- ... -->
</div>
</div>
<!-- media: https://bulma.io/documentation/layout/media-object/ -->
<article class="media">
<figure class="media-left">
<!-- ... -->
</figure>
<div class="media-content">
<div class="content">
<!-- ... -->
</div>
</div>
</article>
<!-- icon: https://bulma.io/documentation/elements/icon/ -->
<span class="icon has-text-success">
<i class="fas fa-check-square"></i>
</span>
<!-- breadcrumb: https://bulma.io/documentation/components/breadcrumb/ -->
<nav class="breadcrumb" aria-label="breadcrumbs">
<ul>
<li><a href="#"><!-- ... --></a></li>
<li class="is-active"><a href="#" aria-current="page"><!-- ... --></a></li>
</ul>
</nav>
<!-- level: https://bulma.io/documentation/layout/level/ -->
<div class="level">
<div class="level-item">
<!-- ... -->
</div>
</div>
```
Onze [[wandel|https://wandelingen.pdhlh.eu/]] en [[fiets|https://fietsen.pdhlh.eu/]]-websites zijn gebouwd met oa w3.css (//I know, sue me//)
Je kan dit [[hier|https://www.w3schools.com/w3css/w3css_downloads.asp]] downloaden of via een mirror dd. 2021-03-15 [[hier|https://computers.heggenmus.eu/websites/css/w3.css]]
Alle uitleg staat ook op de [[officiële site|https://www.w3schools.com/w3css/default.asp]] <br>
Onder een referentie-gids voor mezelf
<<list-links filter:"[tag<currentTiddler>] +[sort[list]]">>
<<list-links filter:"[tag<currentTiddler>]">>
Naast klassen kun je een element ook een `id`-attribuut geven - deze moeten uniek zijn en worden maar aan 1 element uniek gegeven worden
```HTML
<h2 id="cat-photo-app">
```
deze kunnen ook gestyled worden met CSS:
```CSS
#cat-photo-element {
background-color: green;
}
```
Een `id` heeft een hogere prioriteit dan een `class`, dus als een element een `class` en een `id` hebben met verschillende waarde voor hetzelfde style-element heeft die van de `id` voorrang
Google heeft vele lettertypes die je gratis op je website kan gebruiken.
Deze staan op [[de Google fonts library|https://fonts.google.com/]]. <br>
Je kan de font's URL kopiëren en deze in je HTML pasten.
Voorbeeld met de Lobster font, voor de style element:
```
<link href="https://fonts.googleapis.com/css?family=Lobster" rel="stylesheet" type="text/css">
```
Nu kan je Lobster gebruiken als font in je CSS als de FAMILY_NAME bijvoorbeeld:
```
font-family: FAMILY_NAME, GENERIC_NAME;
```
De GENERIC_NAME is optioneel en is een fallback voor als de andere, eerste font niet geladen kan worden.
```HTML
<div class="image">
<p class="caption">The local semi- pro soccer team in Seattle, WA plays an international friendly</p>
</div>
```
```CSS
.image {
background-image: url("https://content.codecademy.com/courses/web-101/unit-4/htmlcss1-img_soccer.jpeg");
background-size: cover;
background-position: center;
height: 300px;
}
```
https://developer.mozilla.org/en-US/docs/Web/CSS/color_value
Elk HTML-element bestaat uit `padding`, `border`, `margin`
`padding` stuurt de space tussen een elements content en zijn `border` hoe meer padding, hoe meer afstand
`margin` stuurt de space tussen een element `border` en de omliggende elementen, hoe meer `margin` hoe meer afstand - je kan een `margin` ook negatief maken, dan krijg je minder afstand
`padding` kan ook anders aan elke kant van een element zijn - `padding-top` `padding-right` `padding-bottom` `padding-left`
idem met `margin`: `margin-top` `margin-right` `margin-bottom` `margin-left`
je moet ze niet allemaal apart benoemen:
```CSS
padding: 10px 20px 10px 20px;
```
De `padding` zal veranderen met op de eerste plaats top, tweede rechts, derde onder en vierde links (mee met de wijzers van de klok dus)
idem voor `margin`
---
Zie ook het ''Boxmodel'':
{{CSS - box model}}
je kan grootte zetten met `px` of `in` of `mm` dit zijn absolute waarden <br>
je kan je waarden ook relatief maken tov. iets anders met `em` en `rem` bijvoorbeeld - `em` is gebaseerd op de font-size van het element, verander je die dan zal de padding bijvoorbeeld ook veranderen
Zoals in [[CSS - Syntax]] uitgelegd worden de selectoren gebruikt om te bepalen welke elementen we moeten gaan vormgeven.
Je kan verwijzen naar één soort HTML-element:
```CSS
p {
color: blue;
text-align: left;
font-size: 10px;
}
```
Hier worden alle p-elementen aangepast.
Je kan verwijzen naar meerdere soorten HTML-elementen tegelijkertijd:
```CSS
p, h1, h2 {
color: blue;
text-align: left;
font-size: 10px;
}
```
Zowel alle p, als h1, als h2-elementen worden aangepast
Je kan alle elementen ineens aanpassen:
```CSS
* {
color: blue;
text-align: left;
font-size: 10px;
}
```
Je kan verwijzen naar een id van een HTML-element
```CSS
#voornaam {
color: blue;
text-align: left;
font-size: 10px;
}
```
Het HTML-element met id="voornaam" zal aangepast worden
Je kan ook verwijzen naar een klasse van HTML-elementen
```CSS
.achternaam {
color: blue;
text-align: left;
font-size: 10px;
}
```
Alle HTML-elementen met klasse .achternaam zal aangepast worden
Je kan ook verwijzen naar een combinatie van element en klasse
```CSS
p.achternaam {
color: blue;
text-align: left;
font-size: 10px;
}
```
Alle p-elementen met klasse achternaam zullen aangepast worden
Een CSS element bestaat uit een ''selector ''gevolgd door fancy haakjes waarbinnen door puntkomma gescheiden ''declarations ''staan die bestaan uit een door een dubbelpunt gescheiden ''property ''en ''value''.
* ''Selector ''verwijst naar een te stijlen HTML element
* ''Declaratie ''verwijzen hoe je dit HTML-element wilt wijzigen, met:
** ''eigenschappen'': wat wil je juist wijzigen
** ''waarden'': naar wat wil je het wijzigen
Een voorbeeld:
```CSS
p {
color: blue;
text-align: left;
font-size: 10px;
}
```
hierin is //p// de ''selector ''- je gaat dus HTML-elementen met p aanpassen
//Color:blue; text-align: left; font-size: 10px;// zijn drie ''declaraties ''<br>
//color//, //text-align// en //font-size// zijn de ''eigenschappen ''<br>
//blue//, //left //en //10 px //zijn de ''waarden''
Dit wil dus zeggen dat voor alle p-elementen we:
* tekstkleur blauw gaan gebruiken
* de tekst links gaan aligneren
* en een tekengrootte van 10 pixels voor de tekst gaan gebruiken
```CSS
h1 {
font-family: Garamond;
}
p {
font-size: 18px;
}
p {
font-weight: bold;
}
h1 {
text-align: right;
}
h1 {
color: red;
background-color: blue;
}
.overlay {
opacity: 0.5;
}
.main-banner {
background-image: url("images/mountains.jpg");
}
p {
color: blue !important;
}
.main p {
color: red;
}
```
Om eens te bekijken:
https://datatables.net/
Elke cel kan volgende soorten data bevatten:
<div class="tc-table-of-contents">
<<toc-selective-expandable 'Datatypes' sort[title]>>
</div>
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
2de film van TerenceMalick.
Zeer mooie film, mooi traag verhaal, prachtige landschappen. Een aanrader.
https://www.vlaamsbouwmeester.be/sites/default/files/uploads/FASE_1_Metropolitaan_kustlandschap_2100_Analysefase_Eindrapport_low.pdf
|!Schrijver|{{!!schrijver}}|
Was goed en vlot geschreven, interessant - al blijf je je afvragen of het echt zo gegaan is en hoeveel gespin er in het boek zit.
|!Schrijver|{{!!schrijver}}|
Over Tante Tien Poets, misschien niet het beste deel van de reeks.
|!Schrijver|{{!!schrijver}}|
Ik had de film al gezien, maar het boek was veel beter dan de ook al uitstekende film.
Zeker te lezen, een absolute aanrader/
`DEEL(tekst; begingetal; aantal tekens)`
engels MID()
Is een [[Spreadsheet - Functie]]
geeft van een tekst een deel van de tekst als resultaat bestaande uit een aantal tekens gerekend vanaf de opgegeven positie en op basis van het aantal opgegeven tekens.
tekst is de tekst waar je een deel van nodig hebt.
begingetal: de positie van het eerste teken. Het eerste teken in de tekst heeft waarde 1
aantal tekens: het aantal tekens dat je met DEEL uit de tekst wilt halen.
vb
`=DEEL("vierkantswortel";5;4)` geeft `kant` als resultaat
Zie ook [[LINKS]] en [[RECHTS]]
Als je ik niet bent, heb je hier niet veel te zoeken :-)
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Heerlijk ouderwetse film-noir zoals ze ze nu niet meer maken
```
First, you should definitely check out Projectify: https://thaddeusjiang.github.io/Projectify/
(that's the resurrected version, the old version used to live at https://projectify.wiki/ but it's a parking page now)
Like others are saying, I find TiddlyWiki to be like a sturdy boot: the more I use it, the more it fits how I do things. And you can sort of get there by making these tiny changes that add up over time to become something pretty powerful.
I start by making tiddlers for my friends and tagging them Friend. Now I can do something like <<list-links filter:"[tag[Friend]]">> to see all my friends in my wiki. Okay.
Then I make a tiddler for a book I lent out, "Gideon the Ninth". I tag it Lent. I add a field to it called lenttoperson ("lent to person") and write the name of one of my friends in there.
Then I make a tiddler (call it whatever you want) and tag it $:/tags/ViewTemplate. That means "Show this tiddler when viewing other tiddlers". Inside that tiddler I do this:
<$list filter="[all[current]tag[Lent]]"> ''Lent out to'': <$link to={{!!lenttoperson}} /> </$list>
That means, "If the current thing has the tag Lent, show a link to whatever is in the lenttoperson field." Now, when I look at my "Gideon the Ninth" tiddler I can see that I lent it to someone.
Then I could make another tiddler (call it whatever you like), tag it $:/tags/ViewTemplate (same trick as before) and write this in it:
<$list filter="[all[current]tag[Friend]]"> <<list-links filter:"[tag[Lent]search:lenttoperson<currentTiddler>]">> </$list>
That means, "If the current thing is tagged Friend list out anything I've lent them." And it'll show on everything you've tagged Friend.
I can also write [tag[Lent]] into advanced search's filter box to see everything I've lent out, regardless of who I've lent it to.
Writing it all out, it seems really complicated. But I didn't do it with a plugin, or do it all at once. I just kept poking around until I had something that worked reasonably well for me. Anytime I got frustrated, I fixed the thing that was frustrating me and kept going.
```
''WAT IS HET?''
Het zijn gegevens in een tabel. <br>
Het vertelt ons iets over dingen (vb. mens, lokaal) En eigenschappen van dingen (naam, verantwoordelijke locatie) <br>
Maar wat het verschil er tussen is, is een redelijk vage grens.
''HOE TABEL LEZEN?''
Rij het bestaat (existentie) <br>
Rij: beschrijvend
* Alleen placeholder in tabel
* Om goed te lezen moet je de achterliggende zin kennen
Tabel gaat over 1 ding <br>
Kolom eigenschappen <br>
Rij 1 uniek exemplaar
''TABELKENMERKEN''
* Unieke naam (cfr. bestanden in mappen op je harde schijf)
* 1 of meer kolommen
* Nul of meer rijen
* Kolom heeft unieke naam
* Kolom heeft gegevenstype of geen waarde
** Geen waarde is een NULL value
** Een lege string =/= niets (NULL)
* Volgorde rijen, kolommen is niet belangrijk
$$a^1 = a$$
$$a^0 = 1$$
$$a^\frac{m}{n} = (a^m)^\frac{1}{n} = (a^\frac{1}{n})^m$$
$$\sqrt[n]{ab} = \sqrt[n]{a}\sqrt[n]{b}$$
$$\sqrt[n]{a \over b} = {\sqrt[n]{a} \over \sqrt[n]{b}}$$
$$\sqrt[n]{a^m} = (\sqrt[n]{a})^m$$
$$\sqrt[n]{\sqrt[m]{a}} = \sqrt[mn]{a}$$
$$\sqrt[np]{a^mp} = \sqrt[n]{a^m}$$
$$\sqrt[n]{a^n} = a$$
`=EN(conditie1, conditie2,....)`
geeft waarde WAAR als alle condities WAAR zijn
zie ook [[OF]] en [[NIET]]
https://support.google.com/docs/answer/3093301?hl=nl
Werk zeker met velden
```html
<ul>
<$list filter="[tag[Contact]has[phone]sort[]]">
<li><$link /></li>
</$list>
<ul>
```
https://realpython.com/python-enumerate/
https://www.groene.nl/artikel/ontsnappen-aan-de-vrijheid
https://web.archive.org/web/20110603061707/http://www.promeijn.nl/Filosofie%20en%20vrijmetselarij/Filosofie%20bouwstukken/filosofiepietromeijn-eric.html
<$button message="tm-new-tiddler" param="FilmTemplate">Voeg een film toe</$button>
Ik kon nooit onthouden welke films ik gezien heb, vandaar een lijstje:
<$list filter="[tag[Film]!title[FilmTemplate]sort[gezien]reverse[]]">
<$link /><br>
</$list>
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Korte Bespreking
Zie [[Hier|https://spreadsheetpoint.com/filter-function-google-sheets/]] en [[hier|https://exceljet.net/excel-functions/excel-filter-function]] voor een uitleg
---
In [[Excel]] is de syntax als volgt `=FILTER(array; include; [als_leeg])`
Formules zijn wat een spreadsheet een spreadsheet maken.
Formules gebruiken [[numerieke waardes|Numerieke waarden]] of [[tekst|Tekst]] uit andere cellen om daar bewerkingen mee te doen.<br>
Het resultaat van deze bewerking krijgen we te zien in de cel waar de formule instaat.
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
van: https://www.reddit.com/r/photoclass_2022/comments/vaawae/32_digital_workflow/
Post-processing refers here to everything that happens between the moment you are done shooting until the image has found its final destination (either in print or on the web). We will cover (very basic) photo editing concepts, but before that, let’s review the different steps usually involved in post-processing. This is what we call a workflow, which you can think of as a pipeline or a conveyor belt, each step taking the result from the previous task, modifying the image and giving it to the next task in line.
* You have shot an image, using all the information from the previous lessons. It is now living happily on your memory card, in the form of a weirdly named jpg or raw file.
* The first step is to download the files on a computer, either directly from the camera, via a card reader or indirectly, via a self-powered external hard drive (for redundancy).
* Hopefully, you have a photo library somewhere on your computer. It can either be managed by dedicated software (DAM, which we will discuss tomorrow) or simply be a bunch of folders on a drive. You will then add the new images to your library, a step called ingestion.
* Once all the images are inserted in the library comes the time for reviewing and tagging. You will go through your images in full screen and sort them in different groups, deleting the worst ones and marking the best ones for further work. This is also the step where you should add relevant keywords to your images, to make it easy to find them again when the need arises.
Now that you have a fair idea of which photos you want to work on, you can begin the image editing proper. Again, there are many steps involved:
* If you want to do any cropping, you should do so now, at the very start. This can either be reframing or changing aspect ratio and rotating the image to get a level horizon.
* Some software, like Adobe Lightroom, provides different image profiles, matching the in-camera jpg processing. This should also be chosen at the beginning, along with lens corrections if needed.
* Noise reduction is best applied early on, as it can produce artefacts if applied late in the workflow.
* White balance is chosen at this stage if you shot in raw. jpg users can do minor adjustments but should restrain from big modifications.
* Exposure and contrast are then adjusted, usually via either levels or curves, which we will cover in a later lesson.
* Finally, saturation and midtone contrast are tweaked.
At this point, you should have covered the basic image adjustments. Chances are that this will be enough for your purposes, though of course you can always do more:
* Local adjustments are similar modifications to what we did earlier, except that they only affect part of the image. This is a very powerful tool, which we will talk about more in the “levels and masks lesson” in a few days.
* You could apply a number of further effects here, including black and white conversion, toning, tonemapping, etc. Just remember that it’s easy to go overboard, and that the effect should not be more important than the image itself…
Once you feel you are done editing, the last stage is publication, and exporting your image in a format that will fit the medium for which it is intended. There are three major steps:
* Resizing. 1200×900 is a common and useful size for online use, for instance, while printers will want 240 or 300dpi with the physical dimensions of the print.
* Sharpening: this is best done last, after resizing and knowing how the image will be used. The point is not to remove motion blur but to accentuate the edges so that the image appears sharper to our eyes.
* Colour profile conversion: this is a vast and complex subject, the details of which we will not discuss here. In a nutshell, every device displays colours differently, and using the right profile helps said device in showing the image accurately – as the photographer intended. The bottom line is: for web, convert to sRGB, for print use ~AdobeRGB.
Zorg dat je websites ook voor mensen met verminderde mogelijkheden toegankelijkheid is
! Alternatieve tekst voor een image
Wordt gebruikt voor mensen met een screenreader, als de image niet laad of door zoekmachines om te weten wat er in de afbeelding staat <br>
Voeg het altijd toe! met een `alt`-attribuut - een korte beschrijving van de image
```HTML
<img src="importantLogo.jpeg" alt="Company logo"
```
---
! Weet wanneer een alt-text leeg mag/moet zijn
Als een image al uitgelegd wordt in omliggende tekst kun je overwegen om een lege `alt`-attribuut toe te voegen om de mensen niet te verwarren:
```HTML
`<img src="visualDecoration.jpeg" alt="">`
```
Voor een achtergrond-image die niet via CSS gestyled werd moet je dit ook doen.
Heeft je image een caption kun je mss. best wel een relevante `alt`-attribuut geven.
---
! Gebruik headings om een hiërarchische relatie tussen de verschillende elementen aan te tonen
Screenreaders kunnen gezet worden om alleen maar de `h1` tot en met `h6` elementen te lezen.
Zorg dus voor een goede semantische betekenis!
Gebruik ze niet voor de grootte van de elementen - laat een `h2` volgen op een `h1` en dus geen `h3`: verander de grootte met CSS
Elke pagina moet minstens één `h1` element hebben.
---
! Ga direct naar de inhoud met het main element
In HTML 5 heb he verschillende elementen die op dezelfde manier getoond worden als `div` maar met een semantische betekenis.
Enkele voorbeelden:
* `main`
* `header`
* `footer`
* `nav`
* `article`
* `section`
Die geven aan wat voor soort info er in het element zit
De `main`-element gebruiken we om de belangrijkste inhoud aan te duiden. Niet gebruiken voor navigatie, linken, banners,.. die over meerdere pagina's gebruikt worden.
Helpende technologie kan alleen het `main`-element eruit halen (Jump to main content)
Je zou er één per pagina moeten hebben.
---
! Het article -element
`article` gebruiken onafhankelijke, zelf-contained inhoud. (werkt goed voor blog's, forum post, nieuws-artikkelen.
Je moet nadenken of je dit kunt gebruiken - kan de tekst los van de rest van de pagina staan?
`section` gebruiken we om thematisch gerelateerde content te groeperen (een book is het `article` een `section` is een hoofdstuk
Als er geen relatie is kun je best `div` gebruiken
---
! maak screen reader navigatie makkelijker met de header landmark
`header` gebruik we om introductie informatie of navigatie-links in onder te brengen. Meestal is dit een stuk dat op meerdere pagina's staat.
helpende technologie kan daar makkelijk naar toe springen
---
! maak screen reader navigatie makkelijker met de nav landmark
`nav` gebruiken we om aan te geven dat er een sectie is met de belangrijkste navigatie links in je tekst (in een `footer` gebruiken we dit standaard niet - in een `header` kunnen we dit gebruiken.
helpende technologie kan daar makkelijk naar toe springen
---
! maak screen reader navigatie makkelijker met de footerlandmark
`footer` gebruiken we vaak voor copyright en links naar gerelateerde pagina's en verschijnt onderaan een HTML pagina
---
! uitgewerkt voorbeeld van al bovenstaande
```HTML
<body>
<header>
<h1>Training</h1>
<nav>
<ul>
<li><a href="#stealth">Stealth & Agility</a></li>
<li><a href="#combat">Combat</a></li>
<li><a href="#weapons">Weapons</a></li>
</ul>
</nav>
</header>
<main>
<section id="stealth">
<h2>Stealth & Agility Training</h2>
<article><h3>Climb foliage quickly using a minimum spanning tree approach</h3></article>
<article><h3>No training is NP-complete without parkour</h3></article>
</section>
<section id="combat">
<h2>Combat Training</h2>
<article><h3>Dispatch multiple enemies with multithreaded tactics</h3></article>
<article><h3>Goodbye world: 5 proven ways to knock out an opponent</h3></article>
</section>
<section id="weapons">
<h2>Weapons Training</h2>
<article><h3>Swords: the best tool to literally divide and conquer</h3></article>
<article><h3>Breadth-first or depth-first in multi-weapon training?</h3></article>
</section>
</main>
<footer>© 2018 Camper Cat</footer>
</body>
```
---
! maak audio elementen makkelijker toegankelijk met audio-element
`audio` geeft semantische betekenis als je dit rond een geluid-element hangt. Je hebt ook een tekst alternatief nodig voor de doven. Dit kun je doen door text-in de buurt of een link naar een transcript
`audio` heeft support voor `controls` en dan toen je de default play, pause, stop buttons van de browser.
vb:
```HTML
<audio id="meowClip" controls>
<source src="audio/meow.mp3" type="audio/mpeg">
<source src="audio/meow.ogg" type="audio/ogg">
</audio>
```
---
! Figure (en figcation)
`figure` en het bijhorende `figcaption` gebruiken we tezamen. Onder `figure` steken we een visueel its. Onder `figcaption` de caption.
Voor slechtzienden kunnen we in het geval van datavisulaisatie bijvoorbeeld in de `figcaption` met een korte beschrijven van de trends.
```HTML
<figure>
<img src="roundhouseDestruction.jpeg" alt="Photo of Camper Cat executing a roundhouse kick">
<br>
<figcaption>
Master Camper Cat demonstrates proper form of a roundhouse kick.
</figcaption>
</figure>
```
---
! verbeter form field toegankelijkheid met de label element
`label` wraps de tekst voor een specifieke control-item (de naam van de label meestal) - dit maakt de form leesbaarder door de betekenis aan het item te hangen.<br>
de `for` atribuut die aan een `label` hangt verbindt deze label met de form control en wordt door screenreaders gebruikt.
de waarde van de `for` atribuut moet gelijk zijn aan de `id` atribuut van de form control
vb:
```HTML
<form>
<label for="name">Name:</label>
<input type="text" id="name" name="name">
</form>
```
---
! voeg fieldset elementen toe aan radio buttons
elke keuze geven we een `label` met een `for` atribuut die het aan de `id` hangt van het corresponding item (zie ook `label` element) <br>
`fieldset` gebruiken we om alle samenhangende radio-buttons te groeperen
`legend` gebruiken we om een omschrijving te geven
vb:
```HTML
<form>
<fieldset>
<legend>Choose one of these three items:</legend>
<input id="one" type="radio" name="items" value="one">
<label for="one">Choice One</label><br>
<input id="two" type="radio" name="items" value="two">
<label for="two">Choice Two</label><br>
<input id="three" type="radio" name="items" value="three">
<label for="three">Choice Three</label>
</fieldset>
</form>
```
---
! voeg een toegankelijke date-picker toe
formulieren hebben vaak een `input` veld, je kan de juiste soort kiezen met het `type` attribuut
voorbeelden zijn `text` en `submit` maar je hebt ook `date` dan krijg je, als alles goed gaat , een date-picker - oudere browsers renderen dat wel als `text` dus een `label` of `placeholder` tekst met de wat uitleg is handig
```HTML
<label for="input1">Enter a date:</label>
<input type="date" id="input1" name="input1">
```
---
! standaardiseer de tijd met datetime
Bij `time` kun je `datetime` atribuut gebruiken, dit houdt een gestandaardiseerde versie van de tijd vast die gebruikt wordt door hulpsoftware
```HTML
<p>Master Camper Cat officiated the cage match between Goro and Scorpion <time datetime="2013-02-13">last Wednesday</time>, which ended in a draw.</p>
```
---
! met custom CSS kun je sommige zaken alleen zichtbaar maken voor screenreaders
vb om dit te doen:
```CSS
.sr-only {
position: absolute;
left: -10000px;
width: 1px;
height: 1px;
top: auto;
overflow: hidden;
}
```
let op:
`display: none` en `visibilty: hidden` verbergt de content ook voor screenreaders
nul-waarde voor pixels-size `width: 0px; height: 0px;` haalt een element uit de normale flow en worden dus ook genegeerd door screenreaders
---
! high-contrast text
Er wordt aangeraden om ten minsten een 4.5 to 1 contrast ratio te gebruiken voor tekst vs achtergrond
1 op 1 is dezelfde kleur; 21:1 is wit tegen zwart
we vergelijken hiermee relatieve luminance van de twee kleuren (online zeer er vele tools te vinden die dat doen)
---
! denk aan de kleurenblinden
kleur mag je niet gebruiken om alleen info over te brengen - screenreaders negeren ze
voor en achtergrond kleuren moeten voldoende contrast hebben voor kleurenblinden
denk ook goed na over de kleuren die je gebruikt
---
! geef links een meaning door een descriptive tekst aan te geven
niet zomaar klik hier, lees meer
---
! accesskey
`acceskey` kunnen we gebruiken om met knoppen naar links te surfen
je kan dit voor elke element gebruiken, maar is zeker handig voor interactieve elementen
vb
```HTML
<button accesskey="b">Important Button</button>
```
---
! tabindex
`tabindex` gebruiken we om keyboardfocus te geven - op een tag - zegt dat erop gefocused kan worden
de waarde kan positiefn negatief of nul zijn
geef je `tabindex = 0` dan krijg je focus met keyboard:
```HTML
<div tabindex="0">I need keyboard focus!</div>
```
negatief wilt meestal zeggen dat je iets niet kunt bereiken met het keyboard? maar dat zou ons nu te ver lijden
je gebruikt `tabindex` ook voor de exacte volgorde van te tabben
eerst ga je naar `tabindex="1"`, daarna naar 2,3, ....<br>
Alle cijfertjes op dan ga je naar de default en `tabindex="0"` items --> je kan dus de standaard waarden wijzigen
GEMIDDELDE(range of waardes)
gebruiken we om het gemiddelde te berekenen van de waardes (ind e range)
Zie ook [[ Statisitiek - Gemiddelde]]
`=GEMIDDELDE.ALS(criteria_bereik; criterium; [gemiddeld_bereik])`
Werkt een beetje als [[SOM.ALS]] en [[AANTAL.ALS]] maar dan met een gemiddelde
Je hebt ook [[GEMIDDELDEN.ALS]]
`GEMIDDELDEN.ALS(gemiddeld_bereik; criteria_bereik1; criterium1; [criteria_bereik2; criterium2; ...])`
Broertje van [[AANTALLEN.ALS]] en [[SOMMEN.ALS]] en [[GEMIDDELDE.ALS]]
|!Schrijver|{{!!schrijver}}|
Vlot geschreven - maar wel erg eind jaren 80.
Zie [[hier|https://opensource.com/resources/what-is-git]], [[hier|https://mvthanoshan.medium.com/ubuntu-a-beginners-guide-to-git-github-44a2d2fda0b8]] en [[hier|https://stackoverflow.com/questions/19576116/how-to-add-multiple-files-to-git-at-the-same-time]]
Een boek met uitleg kun je [[hier|https://git-scm.com/book/en/v2]] vinden <<fnote "mirror dd 2021-03-16 voor [[epub-versie|https://computers.heggenmus.eu/Git/boeken/pro%20git/progit.epub]] en [[pdf-versie|https://computers.heggenmus.eu/Git/boeken/pro%20git/progit.pdf]]">>
Alhoewel deze website op Github staat, begrijp ik niet voor 100 procent hoe dat Git en Github juist werkt. <br>
Nota's en aanverwanten kun je hieronder vinden.
<<showfnotes>>
---
<<toc-selective-expandable Git>>
---
[[Odin basic commands|https://theodinproject.com/paths/foundations/courses/foundations/lessons/git-basics]]
als je al een local codebase hebt: ga naar directory en typ `git init`
maakt `.git` aan - dat is je repo --> doe je dit weg, dan track je NIET meer met git
maar er is nog niets gecommited
```
git status
```
Dan zou je nu alleen maar untracked files krijgen nu
in een map die getrackd wordt kunnen ook files ingnored worden door een `.gitignore` file te maken <br> in die file zet je alle files (eventueel met wildcards die je wilt ignoren
de `.gitignore` file moet je wel commiten anders worden de files in de toekomst niet geïgnored
! working directory - staging area - .git directory (repository)
working directory (lokaal?) waar we in werken - untracked en modified files
staging directory, de files die we willen committen naar de repo - zo kunnen we kiezen wat we willen committen - kun we detail werken en meegeven wat je aangepast hebt
!! add files to staging area
```
git add -A
```
alle files die untraced zijn of gewijzigd werden
of individueel: `git add .gitignore` bijvoorbeeld
om files uit stagging te halen `git reset <file>` of `git reset` om alles weg te halen
''commited files ''
```
git commit -m "boodschap wat je aangepast hebt"
```
als je dan `git status` doet zie je dat de working directory leeg is omdat er geen modified of untracked files meer zijn
```
git log
```
dan zie je de commit die je gedaan hebt
normaal werk je niet direct op de masterbranch - eerst maak je een branch voor de desired feature
```
git branch <naam van de branch>
git checkout <naam van de branch>
```
git branch maakt een branch, met git checkout kun je aan een andere branch werken met `git branch` kun je zien in welke branch je zit (daar staat een `*` voor
je kan in je branch staggen en comitten zoals hiervoor - niet naar master of repo
wil je deze branch pushen naar remote repo:
```
git push -u origin <naam van de branch>
git branch -a
git pull
git push
```
merge a branch (met master)
```
git checkout master
git pull origin master
git branch --merged
git merge <naam van branch>
git push origin master
```
delet a branch
```
git branch --merged
git branch -d <naam van branch>
git branch -a
git pus origin --delete <naam van branch>
```
`git --version`
```
git config --global user.name
git config --global user.email
git config --list
```
--> user en email gezet = goed
```
git help <verb>
git <verb> --help
git help config
```
dan zie je de manuels
eerst clone je de repo:
```
git clone <url> <where to clone>
```
als je een `.` zet voor `<where to clone>` dan clonen we het in de directory waar je nu inzit
view info:
```
git remote -v
git branch -a
```
!! wat na changes hoe push je ze?
eerst lokaal saven
met `git diff` kun je zien wat er werd aangepast
`git status` zie je wat
naar stagging
`git add -A`
dan
`git commit -m "boodschap"`
nu staat lokaal alles juist --> nog pushen zodat andere er ook aan kunnen
twee zaken doen, eerst pullen dan pushen
```
git pull origin master
git push origin master
```
de pull is om alle changes eerst binnen te halen van anderen
de push is om jou wijzigingen toe te voegen
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
4de middelbaar en oh help
<<list-links filter:"[tag<currentTiddler>]">>
Een goniometrische cirkel is een cirkel waarvan het middelpunt de oorsprong is van een orthonormaal assenstelsel en waarvan de straal als eenheid wordt gekozen.
Deze cirkel bestaat uit 4 kwadranten.
Een [[geörienteerde hoek|Hoofdwaarde geörienteerde hoek]] stellen we in zo'n cirkel voor met als beginbeen het positieve gedeelte van de $$x$$-as.
<br>Het snijpint van de geörienteerde hoek op de cirkel noemen we het beeldpunt.<br>Elke hoek heeft één beeldpunt
<<toc-selective-expandable [[Goniometrische getallen]]>>
!!! Hoofdwaarde van een georienteerde hoek
<section>
{{Hoofdwaarde geörienteerde hoek}}
</section>
<p></p>
---
<p></p>
!!! Goniometrische cirkel
{{Goniometrische cirkel}}
!!! Goniometrische getallen
{{Goniometrische getallen: Sinus, Cosinus, Tangens}}
{{Goniometrische getallen: cotangens, secans, cosecans}}
!!!! Gevolgen
{{Goniometrische getallen: gevolgen}}
!!!grondformules
{{Grondformules van de goniometrie}}
!!!Goniometrische getallen van bijzondere hoeken
{{Goniometrische getallen van bijzondere hoeken}}
Afbeelding invoegen
$$cot~\alpha = \dfrac{cos~\alpha}{sin~\alpha}~~~~~~$$met$$~~sin~\alpha \not = 0$$<br><br>
$$cot~\alpha = \dfrac{1}{tan~\alpha}~~~~~~$$met$$~~tan~\alpha \not = 0$$
$$sec~\alpha = \dfrac{1}{cos~\alpha}~~~~~~$$met$$~~cos~\alpha \not = 0$$<br><br>
$$csc~\alpha = \dfrac{1}{sin~\alpha}~~~~~~$$met$$~~sin~\alpha \not = 0$$
Tekentabel
|!$$\alpha$$|!I|!II|!III|!IV|
|$$cos~\alpha$$|$$+$$|$$-$$|$$-$$|$$+$$|
|$$sin~\alpha$$|$$+$$|$$+$$|$$-$$|$$-$$|
|$$tan~\alpha$$|$$+$$|$$-$$|$$+$$|$$-$$|
|$$cot~\alpha$$|$$+$$|$$-$$|$$+$$|$$-$$|
Bijzondere hoeken
|!$$\alpha$$|!$$0°$$|!$$90°$$|!$$180°$$|!$$-90°$$|
|$$cos~\alpha$$| $$1$$| $$0$$| $$-1$$| $$0$$|
|$$sin~\alpha$$| $$0$$| $$1$$| $$0$$| $$-1$$|
|$$tan~\alpha$$| $$0$$| $$\not\in \R$$| $$0$$| $$\not\in \R$$|
|$$cot~\alpha$$| $$\not\in \R$$| $$0$$| $$\not\in \R$$| $$0$$|
zie ook [[Sinus - Cosinus - Tangens - Cotangens]]
{{gonioGetal_Sin_COS_TAN.jpg}}
$$cos~\alpha = \dfrac{|ON|}{|OM|} = \dfrac{|ON|}{1} = |ON|$$
$$sin~\alpha = \dfrac{|MN|}{|OM|} = \dfrac{|MN|}{1} = |MN|$$
$$tan~\alpha = \dfrac{|MN|}{|ON|} = \dfrac{sin~\alpha}{cos~\alpha}~met~cos\alpha \not = 0~~~dus~~~\alpha \not = 90° + k \cdot 180°$$
$$sin²~\alpha + cos²~\alpha = 1$$
$$1 + cot²~\alpha = csc²~\alpha$$<br><br>
$$1 + tan²~\alpha = sec²~\alpha$$
```
On the Summary tab, you can choose between MP4 and MKV formats. I find MKV has better support for embedded subtitles. Align A/V Start is enabled by default and I don't ever change that.
The dimensions tab is important to check if you are encoding down to another resolution from the source material. 854x480 and 640x360 are 16:9 aspect ratio like 1920x1080, just scaled down.
On the Filters tab, this is mostly to deal with interlaced video like you'd find on older DVDs. If you know the source is not interlaced these can be set to Off. But it usually doesn't hurt to leave it on the defaults even if the source video is not interlaced. You can also Denoise and Sharpen here but I almost always leave these off.
The Video tab is most important. For Video Codec you're probably going to want either H.264 10-bit or H.265 10-bit. Framerate should always be Constant and Same as source. The encoder preset is a tradeoff between how long the encode takes and how good the quality to filesize ratio is. Placebo isn't worth it, so I always go with Very Slow.
H.264 encoder tunings are useful. If you're encoding a live action film or realistic computer graphics, tune for film. If you're encoding a 2D animated cartoon, tune for animation. For H.265 I always leave the Encoder Tune to default. For both H.264 and H.265 I usually leave Encoder Level on Auto.
The next most important setting on the Video tab is the choice of going with Constant Quality or Avg Bitrate. For H.264 encodes I always go with the constant quality slider. Values from 18 to 26 will adjust the quality from excellent to approximately YIFY quality, with the best filesize for the quality for any of those settings as long as you have the preset on Very Slow.
For H.265 I tend to prefer to use Avg Bitrate instead of Constant Quality. I also put in these strings in the Extra Options field:
For most videos: rc-lookahead=120:bframes=12:ref=6:subme=7
For videos set in space or have a lot of dark scenes: rc-lookahead=120:bframes=12:ref=6:subme=7:aq-mode=3
I choose bitrates based on the resolution that I am encoding to. 4k/UHD (3840x2160) can look good with a bitrate of 5250 kbps. 1080p can usually look good at 1500 kbps. 720p at 760 kbps. 480p at 340 kbps. This varies by source material and will require some testing. Use the Preview feature.
For Audio I tend to go with the standard 2-channel 160 kbps AAC, but if you're going for the most space efficient files you can cut it down to 96 or 128 kbps.
It's worth clicking Selection Behavior on the Subtitles tab to at least have it grab any English subtitles since you're taking the time to do an encode.
This is all fairly crude compared to what the scene groups like PSA and HETeam put out, but I think it's a good starting point. Be aware that encodes will be slow with these settings (I've been told these are crazy settings), but WTF, I want small files that look good, and it takes time! Do be aware that it is beneficial to have the highest available quality source files for re-encoding.
Edit: I should've mentioned that 10-bit color helps reduce banding among similar shades of color/gentle gradients. I've had an issue with 12-bit H.265 in one device and so I always go with 10-bit.
Also if you're encoding TV episodes to a lower resolution or something it is very much worth creating your own custom preset. As an example, set your Video settings to H.265 10-bit, Framerate "Same as source" and Constant, Avg bitrate 340 kbps (2-Pass Encoding, and Turbo first pass), Encoder Preset Very Slow, with the aq-mode=3 settings listed above and click Save New Preset -> name it 480p H.265 dark and click Custom next to Dimensions and put in 854x480 -> click Add. This is useful for encoding an HD TV show with a lot of dark scenes to standard definition.
But if you want to learn all the settings yourself (something I've been too lazy to do), /u/mduell is right, you're just going to have to read the documentation. Take a look at this for H.264. Try this for H.265.
And the settings I've provided do skew more toward "I want the smallest file size with still reasonable quality" than it does "I want excellent quality with a reasonable file size". But without knowing the details of all the settings, you should be able to adjust the bitrate or constant quality slider for variation between those two preferences.
```
van https://www.reddit.com/r/handbrake/comments/bhqxve/handbrake_settings_explained/
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Zeer mooie film over de liefde tussen een man en zijn besturingssyteem.
Als je veel tags aanmaakt, maar weet dat je ze later (bijna nooit gaat gebruiken) kan de tagpicker overbevolkt worden.
Om er (tijdelijk) zaken uit te verwijderen kun je dit doen:
maak een tiddler aan die je `$:/config/HideTags` noemt.<br>
Zet hierin een lijst van tags - gescheiden door spaties - van tags die je niet wilt zien.
Pas dan volgende tiddler aan:
`$:/core/macros/tag-picker`
en zet daar `-[enlist{$:/config/HideTags}]` achter: `nonSystemTagsFilter="[tags[]!is[system]search:title<userInput>sort[]]`
Dan krijg je dus:
`<$vars refreshTitle=<<qualify "$:/temp/NewTagName/refresh">> nonSystemTagsFilter="[tags[]!is[system]search:title<userInput>sort[]] -[enlist{$:/config/HideTags}]" systemTagsFilter="[tags[]is[system]search:title<userInput>sort[]]">`
# bepaal de resultaattabel van de joins in de FROM-clause
# verwijder de rijen die niet voldoen aan de WHERE-clause
# maak groepen op basis van de expressies in de GROUP BY-clause
# verwijder de rijen die niet voldoen aan de HABING-clause
# voor elke rij: bereken de verschillende kolommen in de SELECT-clause
# Herhaal 1-5 per elementaire SELECT, en pas de SET OPERATOREN toe
# sorteer volgen de specificaties in de ORDER BY-clause
Hou rekening met subqueries!
[[Zoeken op het internet|https://duckduckgo.com/?kl=be-nl&kp=-2&kz=1&k7=282a36&k8=f8f8f2&k9=50fa7b&kae=t&kt=p&ks=m&kw=n&km=l&ko=s&kj=282a36&ka=p&kaa=bd93f9&ku=-1&kx=f1fa8c&ky=44475a&kaf=1&kai=1&kf=1&kam=osm&KAJ=m]]
* [[Film]]
* [[Boeken en strips]]
* [[Muziek]]
* [[Wandelen]]
* [[Huis]]
* [[Webcams]]
* [[Verkeer]]
* [[Foto en Video]]
---
[[Inbox]]
<$button message="tm-new-tiddler" param="InboxTemplate">Voeg iets aan de inbox toe</$button>
<<list-links "[tag[Inbox]![InboxTemplate]]">>
---
[[AlleTags]]
Dit is mijn extern informaticabestand.
Een lijst met tips en trucs waar ik iets aan heb, maar andere misschien ook.
---
Inhoud:
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
---
[[Typoefeningen|https://www.keybr.com/]]
---
[[Iets over copyright en aansprakelijkheid]]
---
Backup staat [[hier|https://backuptiddlywiki.heggenmus.eu/pdhlh-computers.html]]
Engels: UPPER
=HOOFLETTERS(tekst , tussen "" of celverwijzing)
Zet alles in hoofdletters
<<image-basic "2022.10.14 - Doc Scanner_page(1).jpg" width:"15%" align:"left" >>
Een hoek kan op twee manieren geörienteerd worden, in positieve of negatieve zin. <br>
Positief wil zeggen tegenwijzerzin.<br>
De hoofdwaarde van een geörienteerde hoek is de waarde tussen $$]-180° , 180°]$$<br>
Een georiënteerde hoek heeft oneindig veel waarde $$\alpha + k \cdot 360°$$
[[VERT.ZOEKEN]] maar dan met kolommen en rijen gewisseld
HTML (Hyper Text Markup Language) is de standaard opmaaktaal voor webpagina's. <br>
Documenten in HTML kunnen geopend en gelezen worden door een webbrowser om vervolgens als webpagina weergegeven te worden. <br>
HTML-documenten bevatten op de eerste plaats semantische structuur en tekstuele inhoud van een webpagina; de opmaak en visuele weergave kunnen er ook in worden beschreven, maar daar wordt tegenwoordig gewoonlijk [[CSS]] voor gebruikt.
Zie ook:
* https://marksheet.io/
* https://htmlreference.io/
* https://www.internetingishard.com/
----
<<list-links "[tag[HTML]sort[list]]" >>
Voor structuur:
* `header`
* `h1`
* `h2`
* `h3`
* `nav`
* `footer`
* `article`
* `section`
Voor tekst
* `p`
* `ul`
* `ol`
* `li`
* `blockquote`
Inline:
* `a`
* `strong`
* `em`
* `q`
* `abbr`
* `small`
Generiek
* `div`
* `span`
[[bron|https://marksheet.io/html-semantics.html]]
```HTML
<!DOCTYPE html>
<html>
<head>
<meta charset="utf-8">
<title>MarkSheet</title>
<meta name="description" content="A simple HTML and CSS tutorial">
</head>
<body>
<p>Hello World!</p>
</body>
</html>
```
Als je weet waar een afbeelding staat, kun je ze koppelen en tonen op je website.<br>
Best een afbeelding die op je eigen site staat opgeslagen gebruiken met een relatieve koppeling.
Om een afbeelding te koppelen gebruik je de tag `<img>` hier is geen closing-tag voor nodig. Op de plaats van dit element zie je dan de afbeelding.
vb:
```HTML
<img src="een_olifant.jpg" alt="als we de afbeelding een_olifant.jpg niet kunnen tonen drukken we deze alternatieve tekst af">
```
Je kan ook een ''titel'' toevoegen:
```HTML
<img src="een_olifant.jpg" alt="als we de afbeelding een_olifant.jpg niet kunnen tonen drukken we deze alternatieve tekst af" title="Dit krijg je te zien als je op de afbeelding met je muisaanwijzer gaat staan">
```
met ''height'' en ''width'' kun je de grote van de afbeelding wijzigen:
```HTML
<img src="een_olifant.jpg" width="50" height="70" alt="als we de afbeelding een_olifant.jpg niet kunnen tonen drukken we deze alternatieve tekst af" title="Dit krijg je te zien als je op de afbeelding met je muisaanwijzer gaat staan">
```
Bovenstaande is in pixels, je kan ook percentages gebruiken en je moet niet zowel width als height gebruken
''Afbeeldingen als hyperlinks:''
```HTML
<p>
<a href="ga_naar_een_olifant.html">
<img src="een_olifant.jpg" alt="als we de afbeelding een_olifant.jpg niet kunnen tonen drukken we deze alternatieve tekst af" title="Dit krijg je te zien als je op de afbeelding met je muisaanwijzer gaat staan">
</a>
</p>
```
Doe je aan de hand van het ankerelemen `<a>` met een href-attribuut om alles bij elkaar te brengen. <br />
Je hebt ook nog een web-adres en een stukje tekst nodig.
Vb:
```HTML
<p><a href="https://www.google.com">Google</a> is een zoekmachine.</p>
```
Geeft:
<p><a href="https://www.google.com">Google</a> is een zoekmachine.</p>
''Absolute hyperlinks'' hebben zoals in het voorbeeld boven een volledige URL waar ze naartoe moeten gaan.
''Relatieve hyperlinks'' maakt links tussen resources in hetzelfde domein, domeininfo mag weggelaten worden in de URL.
Vb:
```HTML
<p><a href="test.html">test</a> is een testpagina.</p>
```
Gebruik `../` om aan te geven dat je een niveau hoger moet in de mapstructuur van je site-foldders:
Vb:
```HTML
<p><a href="../testmap/test.html">test</a> is een testpagina in een testmap in een andere folder dan waar we nu inzitten.</p>
```
---
''Linken naar locaties in een webpagina''
Je moet niet altijd naar heel de webpagina linken, je kan ook linken naar een locatie in een webpagina.
Koppelingslocaties moet je een naam hebben gegeven om te herkennen, dit doe je door een leeg anker-element met een naam toe te voegen:
vb:
```HTML
<a name="test"></a>
```
En dan kun je naar de plaats test in dat document koppelen:
''Binnen dezelfde pagina''
```HTML
<p><a href="#test">Ga naar test</a></p>
```
''Binnen dezelfde website''
```HTML
<p><a href="test.html#test">Ga naar test op test</a></p>
```
of zelfs ''naar een andere website'' al is dat neit aan te raden als je die niet zelf onder controle hebt:
```HTML
<p><a href="http://www.test.html#test">Ga naar test op test-website</a></p>
```
---
Je moet niet naar websites linken, je kan ook ''naar bestanden linken
''
vb
```HTML
<h1>Download onze folder</h1>
<p><a href="folder.pdf">folder</a></p>
```
Of naar ''e-mailadressen''
```HTML
<p>Stuur een <a href="mailto:mail@mail.com">mail</a></p>
```
Maar opgepast, dan hebben spammers ook je mailadres
! Genummerde lijsten
```HTML
<ol>
<li>lijst item 1</li>
<li>lijst item 2</li>
</ol>
```
vb
<ol>
<li>lijst item 1</li>
<li>lijst item 2</li>
</ol>
! Lijst met opsommingstekens
```HTML
<ul>
<li>lijst item 1</li>
<li>lijst item 2</li>
</ul>
```
vb
<ul>
<li>lijst item 1</li>
<li>lijst item 2</li>
</ul>
! Definitielijsten
```HTML
<dl>
<dt>Eerste term</dt>
<dd>Uitleg over eerste term</dd>
<dt>Tweede term</dt>
<dd>Uitleg over tweede term</dd>
</dl>
```
vb:
<dl>
<dt>Eerste term</dt>
<dd>Uitleg over eerste term</dd>
<dt>Tweede term</dt>
<dd>Uitleg over tweede term</dd>
</dl>
Deze zijn onbetrouwbaar hoe je ze te zien krijgt
! Lijsten nesten
Lijsten kun je nesten, dwz meerdere sublijsten in een lijst gebruiken, let goed op je begin en eindtags
|!tag|!Beschrijving|
|`<!DOCTYPE>`||
|`<html>`||
|`<head>`|Hierinstaat metadata - info over het document - niet zichtbaar op het scherm|
|`<title>`|Titel van het document, wat je bovenaan in je tabblad ziet staan|
|`<body`|De Body van het document, wat je op je website ziet staan|
|`<h1> t/m <h6>`|Hoofdingen, titels|
|`<p>`|Een paragraaf|
|`<br>`|Een line-break|
|`<hr>`|Een lijn|
|`<!--(...)-->`|Iets in commentaar|
''Opmaak''
|!tag|!Beschrijving|
Open en closen we met `<table> </table>`
Elke rij openen en closen we met `<tr> </tr>`
Titelrij, elke cel openen en closen we met `<th> </th>`
Andere rijen, elke cel openen en closen we met `<td> </td>`
vb:
```HTML
<table>
<tr>
<th>Kop 1</th>
<th>Kop 2</th>
</tr>
<tr>
<td>cel 1.1</td>
<td>cel 1.2</td>
</tr>
<tr>
<td>cel 2.1</td>
<td>cel 2.2</td>
</tr>
</table>
```
Geeft:
<table>
<tr>
<th>Kop 1</th>
<th>Kop 2</th>
</tr>
<tr>
<td>cel 1.1</td>
<td>cel 1.2</td>
</tr>
<tr>
<td>cel 2.1</td>
<td>cel 2.2</td>
</tr>
</table>
Als je ik niet bent, heb je hier niet veel te zoeken :-)
Zie hiervoor [[hier|https://www.youtube.com/watch?v=Ku5iVxgMNPQ]]??
<div class="tc-table-of-contents">
<<toc-selective-expandable 'hulp voor spreadsheets' sort[title]>>
</div>
Als je ik niet bent, heb je hier niet veel te zoeken :-)
<a rel="license" href="http://creativecommons.org/licenses/by-sa/4.0/"><img alt="Creative Commons-Licentie" style="border-width:0" src="https://i.creativecommons.org/l/by-sa/4.0/88x31.png" /></a><br />Dit werk valt onder een <a rel="license" href="http://creativecommons.org/licenses/by-sa/4.0/">Creative Commons Naamsvermelding-GelijkDelen 4.0 Internationaal-licentie</a>.
<hr>
Uitsluiting aansprakelijkheid: alles is volledig voor uw eigen risico
Het gebruik dat u maakt van de handleidingen, tips en bestanden die u aantreft op deze website of op een andere website waarnaar ik verwijs, is geheel voor uw eigen risico.
In geen enkel opzicht kan ik aansprakelijk worden gesteld voor schade of gevolgschade van welke aard dan ook, die optreedt als gevolg van genoemd gebruik. Dit geldt ook wanneer ik een fout heb gemaakt in een handleiding, welke de oorzaak is van schade.
---
Alles is ook geschreven ter info voor mezelf, heb ik ergens betere info opgeslagen....
|!Schrijver|{{!!schrijver}}|
Was eerder een zeer uitgebreide, en bij momenten slecht gedocumenteerde, blog-artikel dan een echt boek
Alles wat ik snel noteer om later te bekijken of noteren krijgt de tag Inbox
<<list-links "[tag[Inbox]]">>
`INDEX(verwijzing; rij; kolom; bereik)`
handig om iets op te zoeken tezamen met [[VERGELIJKEN]] als de te zoeken waarde niet in de meest linkse kolom zit.
Zie ook [[Spreadsheet - Formule - Zoeken met INDEX en VERGELIJKEN]] en [[Spreadsheet - Formule - De laatste waarde in een kolom vinden]]
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Integer, engels INT
geeft de integere waarde van een getal dwz. alles achter de komma negeren we.
vb:
=INTEGER(2330,45) geeft als uitkomst -2330
Als je ik niet bent, heb je hier niet veel te zoeken :-)
`=ISFOUT(waarde)`
geeft `WAAR` als waarde een fout is
Broertje van [[ISFOUT2]] en [[ISBN]]
`=ISFOUT2(waarde)`
retourneert `WAAR` als waarde een fout is, ''behalve'' `#N/A`
Broertje van [[ISFOUT]] en [[ISNB]]
`=ISNB(waarde)`
retourneert `WAAR` als de waarde (of celverwijzing `#N/A` bevat, anders `ONWAAR`
Broertje van [[ISFOUT]] en [[ISFOUT2]]
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Film uit Guatamala in een voor mij onverstaanbare taal over een meisje (17 jaar?) die uitgehuwelijkt gaat worden, maar liever met een kennis naar de VS wilt vluchten.<br>
De kennis maakt haar zwanger en loopt dan weg zonder haar.
Mooie, trage film. De landschappen waren prachtig.
Voor dierenwelzijn krijgt de film wel een nul - het slachten van een varken moet ik echt niet zien.
Javascript is een veelgebruikte scripttaal om webpagina's interactief te maken en webapplicaties te ontwikkelen. Het script wordt door middel van HTML overgebracht in de webbrowser en wordt hierin uitgevoerd.
Javascript ken ik absoluut niet - ~~ooit, als ik tijd heb...~~ ~~--> ik heb nu blijkbaar tijd :-)~~ en nu geen zin meer
Zie ook
* https://jgthms.com/javascript-in-14-minutes/
* https://javascript.info/ - ~~ik zit nu aan https://javascript.info/ifelse~~
----
<<list-links "[tag[JavaScript]sort[title]]" >>
Was een Nederlandse schrijver van oa. [[Gimmick!]]
<<list-links filter:"[contains:schrijver<currentTiddler>]">>
`&&`
```JS
alert( true && true ); // true
alert( false && true ); // false
alert( true && false ); // false
alert( false && false ); // false
```
```JS
let hour = 12;
let minute = 30;
if (hour == 12 && minute == 30) {
alert( 'The time is 12:30' );
}
```
```JS
if (1 && 0) { // evaluated as true && false
alert( "won't work, because the result is falsy" );
}
```
!! iets extra in JS: AND vind de eerste falsy waarde
```JS
result = value1 && value2 && value3;
```
* Evaluates operands from left to right.
* For each operand, converts it to a boolean. If the result is false, stops and returns the original value of that operand.
* If all operands have been evaluated (i.e. all were truthy), returns the last operand.
Gelijklopend aan OR, maar dan met falsy ipv truthy
Maar `&&` gaat voor op `||`
gebruik deze manier nooit om if te vervangen
Nota's bij https://www.w3schools.com/js/js_array_methods.asp
! `toString()`
Met `toString()` maak je van een array een string:
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.toString(); \\ Banana,Orange,Apple,Mango
```
! `join()`
ook met `join()` kun je arrays naar strings brengen, je kan zelfs de seperator kiezen
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.join(" * "); \\ Banana * Orange * Apple * Mango
```
! popping and pushing
om elementen toe te voegen of te verwijderen
Popping items out of an array, or pushing items into an array.
!! `pop()`
met `pop()` verwijderen we het laatste element van een array
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.pop(); // Removes the last element ("Mango") from fruits
```
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
var x = fruits.pop(); // the value of x is "Mango" --> het gepopte element
```
!! `push()`
met `push()` voegen we (aan het einde) van een array een element toe
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.push("Kiwi"); // Adds a new element ("Kiwi") to fruits
```
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
var x = fruits.push("Kiwi"); // the value of x is 5 --> het aantal elementen in de array na de toevoeging
```
! shifting
Shifting is hetzelfde als poppen maar dan aan het begin van de array
!! `shift()`
`shift()` verwijderd het eerste element en shift alle andere elementen naar een lagere index
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.shift(); // Removes the first element "Banana" from fruits
```
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
var x = fruits.shift(); // the value of x is "Banana"
```
!! `unshift()`
`unshift()` voegt aan het begin van de array een nieuw element toe
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.unshift("Lemon"); // Adds a new element "Lemon" to fruits
```
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.unshift("Lemon"); // Returns 5
```
! Veranderen van elementen
je kan een element via zij indexnummer bereiken - deze beginnen te tellen bij nul (nul is dus het eerste element, een het tweede,...)
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits[0] = "Kiwi"; // Changes the first element of fruits to "Kiwi"
```
met length kun je gemakkelijk een element toevoegen aan het einde van een arrya (wat is dan het verschil met `push()` ?)
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits[fruits.length] = "Kiwi"; // Appends "Kiwi" to fruits
```
Je kan elementen deleten met `delete` - waar een element stond staat nu `undefined` - best pop() of shift() gebruiken dus
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
delete fruits[0]; // Changes the first element in fruits to undefined
```
! splicing een array
met `splice()` kun je in het midden van een array elementen toevoegen en verwijderen
het eerste element bepaalt waar we elementen moeten toegevoegd worden <br>
het tweede element hoeveel er verwijderd moeten worden <br>
de rest welke er teogevoegd moeten worden
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.splice(2, 0, "Lemon", "Kiwi"); \\ Banana,Orange,Lemon,Kiwi,Apple,Mango
```
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.splice(2, 2, "Lemon", "Kiwi"); // Banana,Orange,Lemon,Kiwi
```
```JS
var fruits = ["Banana", "Orange", "Apple", "Mango"];
fruits.splice(1, 1); // Removes the second element of fruits Banana,Apple,Mango --> zonder undefiened
```
! concatenation
`concat()` maakt een nieuwe array door meerdere array's aan elkaar te plakken - je maakt een nieuwe array, je verandert de bestaande niet
```JS
var myGirls = ["Cecilie", "Lone"];
var myBoys = ["Emil", "Tobias", "Linus"];
var myChildren = myGirls.concat(myBoys); // Concatenates (joins) myGirls and myBoys
//Cecilie,Lone,Emil,Tobias,Linus
```
```JS
var arr1 = ["Cecilie", "Lone"];
var arr2 = ["Emil", "Tobias", "Linus"];
var arr3 = ["Robin", "Morgan"];
var myChildren = arr1.concat(arr2, arr3); // Concatenates arr1 with arr2 and arr3
```
je kan er ook strings aanplakken
```JS
var arr1 = ["Emil", "Tobias", "Linus"];
var myChildren = arr1.concat("Peter"); \\Emil,Tobias,Linus,Peter
```
! slicing an array
met `slice()` kun je een stuk van een array nemen en in een nieuwe array plakken - je verandert de oorspronkelijke array niet
```JS
var fruits = ["Banana", "Orange", "Lemon", "Apple", "Mango"];
var citrus = fruits.slice(1); \\ citrus is dus Orange,Lemon,Apple,Mango --> we beginnen aan index 1 - het tweede element en nemen de rest dus
```
```JS
var fruits = ["Banana", "Orange", "Lemon", "Apple", "Mango"];
var citrus = fruits.slice(3); \\ Apple, Mango dus
```
je kan twee elementen meegeven, het tweede element is het eind-element - tot die index gaan we, we nemen die index niet mee
```JS
var fruits = ["Banana", "Orange", "Lemon", "Apple", "Mango"];
var citrus = fruits.slice(1, 3); \\Orange Lemon
```
tweede element is dus optioneel
! automatic to string
JavaScript automatically converts an array to a comma separated string when a primitive value is expected.
This is always the case when you try to output an array.
---
Andere zaken:
`array.reverse()` draait een array om
Samenvatting van: https://javascript.info/arrow-functions-basics
JE kan functies ook veel korter schrijven, met arrow functions
het ziet er ongeveer zo uit:
```JS
let func = (arg1, arg2, ... , argN) => expression
```
dit is de kortere versie van:
```JS
let func = function(arg1, arg2, ..., argN) {
return expression;
};
```
En een echt voorbeeld:
```JS
let sum = (a, b) => a + b;
/* This arrow function is a shorter form of:
let sum = function(a, b) {
return a + b;
};
*/
alert( sum(1, 2) ); // 3
```
''opmerking''
Als we maar een argument hebben kunnen we de haakjes weglaten:
```JS
let double = n => n * 2;
// roughly the same as: let double = function(n) { return n * 2 }
alert( double(3) ); // 6
```
Als we geen argumenten hebben moeten we haakjes zetten:
```JS
let sayHi = () => alert("Hello!");
sayHi();
```
We kunnen er ook voorwaarden insteken:
```JS
let age = prompt("What is your age?", 18);
let welcome = (age < 18) ?
() => alert('Hello') : // indien true, dus onder 18
() => alert("Greetings!"); //indien false dus 18 of meer
welcome();
```
je kan ook multilen arrow functies schrijven `{}` en een `return` is dan verplicht:
```JS
let sum = (a, b) => { // the curly brace opens a multiline function
let result = a + b;
return result; // if we use curly braces, then we need an explicit "return"
};
alert( sum(1, 2) ); // 3
```
---
Oefening
Herschrijf met arrow funties het volgenden:
```JS
function ask(question, yes, no) {
if (confirm(question)) yes();
else no();
}
ask(
"Do you agree?",
function() { alert("You agreed."); },
function() { alert("You canceled the execution."); }
);
```
oplossing:
```JS
ask ("Do you agree?",
() => alert("You agreed."),
() => alert("You canceled the execution.")
)
```
```JS
// This comment occupies a line of its own
alert('Hello');
alert('World'); // This comment follows the statement
/* An example with two messages.
This is a multiline comment.
*/
alert('Hello');
alert('World');
/* Commenting out the code
alert('Hello');
*/
alert('World');
```
To declare a constant (unchanging) variable, use const instead of let:
Variables declared using const are called “constants”. They cannot be reassigned. An attempt to do so would cause an error:
```JS
const myBirthday = '18.04.1982';
myBirthday = '01.01.2001'; // error, can't reassign the constant!
```
There is a widespread practice to use constants as aliases for difficult-to-remember values that are known prior to execution.
Such constants are named using capital letters and underscores.
```JS
const COLOR_RED = "#F00";
const COLOR_GREEN = "#0F0";
const COLOR_BLUE = "#00F";
const COLOR_ORANGE = "#FF7F00";
// ...when we need to pick a color
let color = COLOR_ORANGE;
alert(color); // #FF7F00
```
Er zijn acht basis datatypes
* [[Number|JS - DataTypes - Numbers]]
* [[BigInt|JS - DataTypes - BigInt]]
* [[String|JS - DataTypes - String]]
* [[Boolean|JS - DataTypes - Boolean]]
* [[null|JS - DataTypes - null]]
* [[undefined|JS - DataTypes - undefined]]
* [[objects and Symbols|JS - DataTypes - objects and symbols]]
* [[typeof|JS - DataTypes - typeoff]]
Elk type kan aan een variabele gegeven worden en kan veranderen in het programma:
```JS
// no error
let message = "hello";
message = 123456;
```
Voor extra grote nummers (groter dan 9007199254740991)
```JS
// the "n" at the end means it's a BigInt
const bigInt = 1234567890123456789012345678901234567890n;
```
Relatief nieuw
`true` of `false`
```JS
let nameFieldChecked = true; // yes, name field is checked
let ageFieldChecked = false; // no, age field is not checked
let isGreater = 4 > 1;
alert( isGreater ); // true (the comparison result is "yes")
```
Niets, leeg, waarde onbekend
```JS
let age = null;
```
```JS
let n = 123;
n = 12.345;
```
Zoals integers als floating points
Je kan er dingen mee doen: `+` `*` `/` `-`,...
Je hebt ook speciale waarden:
`Infinity` oftewel oneindig - een nummer groter dan alle andere nummers
Krijg je door te delen door nul of door het direct aan te halen:
```JS
alert( 1 / 0 ); // Infinity
alert( Infinity ); // Infinity
```
`NaN` is een foutboodschap , alle `NaN` resulteren verder in `NaN`
```JS
alert( "not a number" / 2 ); // NaN, such division is erroneous
alert( "not a number" / 2 + 5 ); // NaN
```
objects are used to store collections of data and more complex entities.
The symbol type is used to create unique identifiers for objects.
```JS
let str = "Hello";
let str2 = 'Single quotes are ok too';
let phrase = `can embed another ${str}`;
```
Double and single quotes are “simple” quotes. There’s practically no difference between them in JavaScript.
Backticks are “extended functionality” quotes. They allow us to embed variables and expressions into a string by wrapping them in `${…}`, for example
```JS
let name = "John";
// embed a variable
alert( `Hello, ${name}!` ); // Hello, John!
// embed an expression
alert( `the result is ${1 + 2}` ); // the result is 3
alert( "the result is ${1 + 2}" ); // the result is ${1 + 2} (double quotes do nothing)
```
The typeof operator returns the type of the argument. It’s useful when we want to process values of different types differently or just want to do a quick check.
```JS
typeof undefined // "undefined"
typeof 0 // "number"
typeof 10n // "bigint"
typeof true // "boolean"
typeof "foo" // "string"
typeof Symbol("id") // "symbol"
typeof Math // "object" (1)
typeof null // "object" (2)
typeof alert // "function" (3)
```
waarde is niet toegekend
```JS
let age;
alert(age); // shows "undefined"
```
Document Object Model - een boomstructuur voorstelling van de inhoud van een webpagina - a tree of nodes?
! Nodes targetten met selectors
Dit kan via CSS attributen of via relationele attributen
```JS
const container = document.querySelector('#container');
// select the #container div (don't worry about the syntax, we'll get there)
console.dir(container.firstElementChild);
// select the first child of #container => .display
const controls = document.querySelector('.controls');
// select the .controls div
console.dir(controls.previousElementSibling);
// selects the prior sibling => .display
```
! DOM methoden
{{JS - DOMmethoden}}
{{JS - DOM methoden events}}
Hoe maken we iets interactief op een webpagine
je kan dit op drie manieren:
''methode 1''
ofwel hang je functies direct aan een HTML-atribuut
```HTML
<button onclick="alert('Hello World')">Click Me</button>
```
Niet ideaal, je kan maar 1 actie aan iets hangen en je HTML wordt onleesbaar
''methode 2''
html:
```HTML
<button id="btn">Click Me</button>
```
JS
```JS
const btn = document.querySelector('#btn');
btn.onclick = () => alert("Hello World");
```
iets beter, javascript apart -> maar nog telkens maar een mogelijkheid
''methode 3''
de beste, maar moeilijkste
```HTML
<!-- the html file -->
<button id="btn">Click Me Too</button>
```
```JS
// the JavaScript file
const btn = document.querySelector('#btn');
btn.addEventListener('click', () => {
alert("Hello World");
});
```
We kunnen de drie methodes ook gebruiken met named functions:
```HTML
<!-- the html file -->
<!-- METHOD 1 -->
<button onclick="alertFunction()">CLICK ME BABY</button>
```
```JS
function alertFunction() {
alert("YAY! YOU DID IT!");
}
// METHOD 2
btn.onclick = alertFunction;
// METHOD 3
btn.addEventListener('click', alertFunction);
```
---
je kan meer informatie over een event in de console krijgen
```JS
btn.addEventListener('click', function (e) {
console.log(e);
});
```
```JS
btn.addEventListener('click', function (e) {
console.log(e.target);
});
```
```JS
btn.addEventListener('click', function (e) {
e.target.style.background = 'blue';
});
```
---
Hoe maken we de code efficienter als we vele gelijkaardige listeners aan vele elementen willen koppelen?
`suerySelectorAll('selector')` kunnen we ze allemaal vast krijgen en dan erdoor gaan als volgt:
```HTML
<div id="container">
<button id="1">Click Me</button>
<button id="2">Click Me</button>
<button id="3">Click Me</button>
</div>
```
```JS
// buttons is a node list. It looks and acts much like an array.
const buttons = document.querySelectorAll('button');
// we use the .forEach method to iterate through each button
buttons.forEach((button) => {
// and for each one we add a 'click' listener
button.addEventListener('click', () => {
alert(button.id);
});
});
```
Al bovenstaande is maar het topje van de ijsberg
sommige handige events zijn:
* click
* dbclick
* keypress
* keydown
* keyup
een meer complete lijst: https://www.w3schools.com/jsref/dom_obj_event.asp
HTMLcode wordt door je webbrowser geconverteerd naar een DOM
!! Query selectors
* //element//.querySelector(//selector//) geeft het eerste element terug dat matched
* //element//.querySelectorAll(//selector//) geeft een nodelist terug van alle elementen die matchen
een nodelist is geen array maar het gedraagt zich een beetje als een array - sommige methoden missen - je kan het wel omvormen naar een array door Array.form() of spread operator (https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Operators/Spread_syntax)
!! Element creation
* document.createElement(tagName, [options]) creëert een nieuw element van tag type tagName.[options]
```JS
const div = document.createElement('div');
```
Dit element komt niet in de DOM terecht, maar in het geheugen, je kan ze dan nog manipuleren voordat het in de DOMgezet wordt.
Aan de DOM toevoegen doen we met een van de volgende methoden
!! Elementen toevoegen
* //parentNode//.appendChild(//childNode//) voegt childNode toe aan het laatste element van parentNode
* //parentNode//.insertBEfore(//newNode//, //referenceNode//) voegt newNode toe ijn parentNode voor referenceNode
!! Remove Elements
* //parentNode//.removeChild(//child//) verwijdert child van parentNode en returns een referentie child
!! Elementen wijzigen
Van zodra je een referentie naar een element vasthebt kun je de properties wijzigen.
```JS
const div = document.createElement('div');
// create a new div referenced in the variable 'div'
```
!! Adding inline styles
```JS
div.style.color = 'blue';
// adds the indicated style rule
div.style.cssText = 'color: blue; background: white';
// adds several style rules
div.setAttribute('style', 'color: blue; background: white');
// adds several style rules
```
zie zeker ook http://domenlightenment.com/#6.2
je kan geen kebab-style gebruiken, wel camelcase
```JS
div.style.background-color // doesn't work - attempts to subtract color from div.style.background
div.style.backgroundColor // accesses the divs background-color style
div.style['background-color'] // also works
div.style.cssText = "background-color: white" // ok in a string
```
zie zeker ook: https://developer.mozilla.org/en-US/docs/Web/HTML/Attributes
!! Editing Attributes
```JS
div.setAttribute('id', 'theDiv');
// if id exists update it to 'theDiv' else create an id
// with value "theDiv"
div.getAttribute('id');
// returns value of specified attribute, in this case
// "theDiv"
div.removeAttribute('id');
// removes specified attribute
```
!! werken met classes
```JS
div.classList.add('new');
// adds class "new" to your new div
div.classList.remove('new');
// remove "new" class from div
div.classList.toggle('active');
// if div doesn't have class "active" then add it, or if
// it does, then remove it
```
best een class toggeleln ipv inline css toevoegen
!! adding text content
```JS
div.textContent = 'Hello World!'
// creates a text node containing "Hello World!" and
// inserts it in div
```
!! adding HTML content
```JS
div.innerHTML = '<span>Hello World!</span>';
// renders the html inside div
```
Pas op, kan gevaarlijk zijn !
---
! voorbeeld
HTML:
```HTML
<!-- your html file: -->
<body>
<h1>
THE TITLE OF YOUR WEBPAGE
</h1>
<div id="container"></div>
</body>
```
JS:
```JS
// your javascript file
const container = document.querySelector('#container');
const content = document.createElement('div');
content.classList.add('content');
content.textContent = 'This is the glorious text-content!';
container.appendChild(content);
```
en de DOM
```HTML
<!-- The DOM -->
<body>
<h1>
THE TITLE OF YOUR WEBPAGE
</h1>
<div id="container">
<div class="content">
This is the glorious text-content!
</div>
</div>
</body>
```
```
Important note: Your JavaScript, for the most part, is run whenever the JS file is run, or when the script tag is encountered in the HTML. If you are including your JavaScript at the top of your file, many of these DOM manipulation methods will not work because the JS code is being run before the nodes are created in the DOM. The simplest way to fix this is to include your JavaScript at the bottom of your HTML file so that it gets run after the DOM nodes are parsed and created.
```
---
oplossing oefening:
```HTML
<!DOCTYPE html>
<html>
<head>
<title>Dom-manipulatie Oefeningen</title>
<meta charset="UTF-8"/>
</head>
<body>
<h1>
THE TITLE OF YOUR WEBPAGE
</h1>
<div id="container"></div>
<script>
// Your JavaScript goes here!
// voorbeeld van the odin project
const container = document.querySelector('#container');
const content = document.createElement('div');
content.classList.add('content');
content.textContent = 'This is the glorious text-content!';
container.appendChild(content);
// onderstaande onder HTML of CSS te gebruiken
// voeg een <p>-element toe met rode tekst toe dat zegt "Hey I'm red!"
const rodeP = document.createElement('p');
rodeP.textContent = "Hey I'm red!";
rodeP.style.color = 'red';
container.appendChild(rodeP);
// voeg een blauw <h3>-element toe met de text dat zegt "I'm a blue h3!"
const blauweH3 = document.createElement('h3');
blauweH3.textContent = "I'm a blue h3!";
blauweH3.style.cssText = 'color:blue';
container.appendChild(blauweH3);
// een <div> element met een black border and roze achtergornd met devolgende elementen daarin:
// een <h1> dat zegt "I'm in a div"
// een <p> dat zegt "ME TOO!"
// hint: after creating the div with createElement, append the <h1> and <p> to it before adding it to the container.
const flashyDiv = document.createElement('div');
flashyDiv.setAttribute('style', 'background-color: pink; border-color:black; border-style: solid');
const h1InDiv = document.createElement('h1');
const pInDiv = document.createElement('p');
h1InDiv.textContent = "I'm in a div";
pInDiv.textContent= "ME TOO!";
flashyDiv.appendChild(h1InDiv);
flashyDiv.appendChild(pInDiv);
container.appendChild(flashyDiv);
</script>
</body>
</html>
```
Nota's bij https://developer.mozilla.org/en-US/docs/Learn/JavaScript/Building_blocks/Functions
Functies zitten overal in javascript, eigenlijk overal waar je iets met `()` aanroept en je geen standaard built in taal structuur is
standaard manier om een functie te maken of aan te roepen:
```JS
function myFunction() {
alert('hello');
}
myFunction();
// calls the function once
```
je kan ook een anonymous function gebruiken al je bijvoorbeeld ergens op klikt:
```JS
myButton.onclick = function() {
alert('hello');
// I can put as much code
// inside here as I want
}
```
andere manieren om functies te maken zijn niet aangeraden
---
Soms moet je parameters aan een functie kunnen meegen - dat zijn de zaken die je tussen `()` zet
Let's talk a bit about scope — a very important concept when dealing with functions. When you create a function, the variables and other things defined inside the function are inside their own separate scope, meaning that they are locked away in their own separate compartments, unreachable from code outside the functions.
The top level outside all your functions is called the global scope. Values defined in the global scope are accessible from everywhere in the code.
It is a bit like a zoo. The lions, zebras, tigers, and penguins are kept in their own enclosures, and only have access to the things inside their enclosures — in the same manner as the function scopes. If they were able to get into other enclosures, problems would occur. At best, different animals would feel really uncomfortable inside unfamiliar habitats — a lion or tiger would feel terrible inside the penguins' watery, icy domain. At worst, the lions and tigers might try to eat the penguins!
---
functies kunnen in functies opgeroepen worden, dit doe je om complexe code leesbaar te houden
```JS
function myBigFunction() {
let myValue = 1;
subFunction1(myValue);
subFunction2(myValue);
subFunction3(myValue);
}
function subFunction1(value) {
console.log(value);
}
function subFunction2(value) {
console.log(value);
}
function subFunction3(value) {
console.log(value);
}
```
let op de scope!
---
nota bij https://javascript.info/function-basics
Built in functions `alert(message)` `prompt(message, default)` `confirm(question)`
```JS
function name(parameters) {
...body...
}
```
A variable declared inside a function is only visible inside that function. Maar een variabel outside een functie (in de globale scope) kan wel in de functie
```JS
function showMessage() {
let message = "Hello, I'm JavaScript!"; // local variable
alert( message );
}
showMessage(); // Hello, I'm JavaScript!
alert( message ); // <-- Error! The variable is local to the function
```
```JS
let userName = 'John';
function showMessage() {
userName = "Bob"; // (1) changed the outer variable
let message = 'Hello, ' + userName;
alert(message);
}
alert( userName ); // John before the function call
showMessage();
alert( userName ); // Bob, the value was modified by the function
```
The outer variable is only used if there’s no local one.
```JS
function showMessage(from, text) { // arguments: from, text
alert(from + ': ' + text);
}
showMessage('Ann', 'Hello!'); // Ann: Hello! (*)
showMessage('Ann', "What's up?"); // Ann: What's up? (**)
```
```JS
function showMessage(from, text) {
from = '*' + from + '*'; // make "from" look nicer
alert( from + ': ' + text );
}
let from = "Ann";
showMessage(from, "Hello"); // *Ann*: Hello
// the value of "from" is the same, the function modified a local copy
alert( from ); // Ann
```
If a parameter is not provided, then its value becomes undefined.
Alternatieve default paramaeters
```JS
function showMessage(text) {
if (text === undefined) {
text = 'empty message';
}
alert(text);
}
showMessage(); // empty message
```
```JS
// if text parameter is omitted or "" is passed, set it to 'empty'
function showMessage(text) {
text = text || 'empty';
...
}
```
! returning a value
A function can return a value back into the calling code as the result.
```JS
function sum(a, b) {
return a + b;
}
let result = sum(1, 2);
alert( result ); // 3
```
```JS
function checkAge(age) {
if (age >= 18) {
return true;
} else {
return confirm('Do you have permission from your parents?');
}
}
let age = prompt('How old are you?', 18);
if ( checkAge(age) ) {
alert( 'Access granted' );
} else {
alert( 'Access denied' );
}
```
Functions should be short and do exactly one thing. If that thing is big, maybe it’s worth it to split the function into a few smaller functions. Sometimes following this rule may not be that easy, but it’s definitely a good thing.
```JS
function min(a, b) {
if a < b {
return a;
}
else {
return b;
}
}
```
```JS
let year = prompt('In which year was ECMAScript-2015 specification published?', '');
if (year == 2015) alert( 'You are right!' );
```
```JS
if (year == 2015) {
alert( "That's correct!" );
alert( "You're so smart!" );
}
```
best altijd tussne curlye brackets zetten
```JS
if (0) { // 0 is falsy
...
}
if (1) { // 1 is truthy
...
}
let cond = (year == 2015); // equality evaluates to true or false
if (cond) {
...
}
```
```JS
let year = prompt('In which year was the ECMAScript-2015 specification published?', '');
if (year == 2015) {
alert( 'You guessed it right!' );
} else {
alert( 'How can you be so wrong?' ); // any value except 2015
}
```
```JS
let year = prompt('In which year was the ECMAScript-2015 specification published?', '');
if (year < 2015) {
alert( 'Too early...' );
} else if (year > 2015) {
alert( 'Too late' );
} else {
alert( 'Exactly!' );
}
```
```JS
let accessAllowed;
let age = prompt('How old are you?', '');
if (age > 18) {
accessAllowed = true;
} else {
accessAllowed = false;
}
alert(accessAllowed);
```
```JS
let result = condition ? value1 : value2;
```
```JS
let accessAllowed = (age > 18) ? true : false;
```
```JS
let age = prompt('age?', 18);
let message = (age < 3) ? 'Hi, baby!' :
(age < 18) ? 'Hello!' :
(age < 100) ? 'Greetings!' :
'What an unusual age!';
alert( message );
```
is hetzelfde als
```JS
if (age < 3) {
message = 'Hi, baby!';
} else if (age < 18) {
message = 'Hello!';
} else if (age < 100) {
message = 'Greetings!';
} else {
message = 'What an unusual age!';
}
```
Nota's bij https://www.w3schools.com/js/js_if_else.asp
* Gebruik `if` als je code wilt uitvoeren als een conditie waar is
* Gebruik `else` als je code wilt uitvoeren als een conditie niet waar is
* Gebruik `else if` als je een nieuwe conditie wilt testen nadat de eerste conditie onwaar was
* Gebruik `switch` om te specifieren dat er vele alternatieve block code moeten uitgevoerd worden
```JS
if (conditie) {
// code uit te voeren alsl conditie waar is
}
```
```JS
if (condition) {
// block of code to be executed if the condition is true
} else {
// block of code to be executed if the condition is false
}
```
```JS
if (condition1) {
// block of code to be executed if condition1 is true
} else if (condition2) {
// block of code to be executed if the condition1 is false and condition2 is true
} else {
// block of code to be executed if the condition1 is false and condition2 is false
}
```
alert - boodschap (modal) die je kunt wegklikken na op OK gedrukt te hebben
```JS
alert("Hello");
```
prompt kan twee argumenten krijgen:
```JS
result = prompt(title, [default]);
```
`title` is de text die we tonen aan de gebruiker
`default` is de optioneel reeds ingevulde defaultwaarde
```JS
let age = prompt('How old are you?', 100);
alert(`You are ${age} years old!`); // You are 100 years old!
```
Voor IE (wie gebruikt dat nog) kun je best een default waarde meegeven:
```JS
let test = prompt("Test", ''); // <-- for IE
```
confirm, daarmee krijg je ook een annuleer-knop
antwoord is `true` als je op OK klikt en `false` als je op annuleren drukt
```JS
let isBoss = confirm("Are you the boss?");
alert( isBoss ); // true if OK is pressed
```
2 tekortkomingen bij de werkwijze boven:
* de browser beslist waar de modal komt te staan
* ook hoe het eruit ziet bepaalt de browser
maar ze zijn simpel!
! eerste oefeningen
```HTML
<!DOCTYPE HTML>
<html>
<script src="alert.js"></script>
<body>
</body>
</html>
```
en
```JS
// alert( "I'm JavaScript" );
let name = "John";
let admin;
admin = name;
alert (admin);
```
! tweede oefening
Create a web-page that asks for a name and outputs it.
```JS
let name = prompt("What is your name?", "")
alert(name)
```
! if ...Else
Using the if..else construct, write the code which asks: ‘What is the “official” name of JavaScript?’
If the visitor enters “ECMAScript”, then output “Right!”, otherwise – output: “You don’t know? ECMAScript!”
```JS
let question = prompt("What is the “official” name of JavaScript?","")
if (question == "ECMAScript") {
message = "Right!"
}
else {
message = "You don't know? “ECMAScript”!"
}
alert(message)
```
Of korter wat het officiele antwoord is:
```HTML
<!DOCTYPE html>
<html>
<body>
<script>
'use strict';
let value = prompt('What is the "official" name of JavaScript?', '');
if (value == 'ECMAScript') {
alert('Right!');
} else {
alert("You don't know? ECMAScript!");
}
</script>
</body>
</html>
```
```JS
let value = prompt("Type a number", "")
if (value > 0) {
alert(1)
} else if (value < 0) {
alert(-1)
} else {
alert(0)
}
```
```JS
/*<!DOCTYPE html>
<html>
<head>
<title>Check The Login</title>
<meta charset="UTF-8"/>
</head>
<body>
<script> */
// Your JavaScript goes here!
// mijn oplossing van deze test: https://javascript.info/logical-operators
let persoon = prompt("Who's there?", "");
if (persoon === "" || persoon === null) {
alert("Canceled");
}
else if (persoon !== ("Admin")) {
alert("I don't know you");
}
else {
let wachtwoord = prompt("Password?","");
if (wachtwoord === "" || wachtwoord === null) {
alert("Canceled");
}
else if (wachtwoord !== "TheMaster") {
alert("Wrong password");
}
else {
alert("Welcome!")
}
}
/* Oplossing van de site: */
let userName = prompt("Who's there?", '');
if (userName === 'Admin') {
let pass = prompt('Password?', '');
if (pass === 'TheMaster') {
alert( 'Welcome!' );
} else if (pass === '' || pass === null) {
alert( 'Canceled' );
} else {
alert( 'Wrong password' );
}
} else if (userName === '' || userName === null) {
alert( 'Canceled' );
} else {
alert( "I don't know you" );
}
/* </script>
</body>
</html> */
```
Drie basistypes:
* [[Numbers|JS - DataTypes - Numbers]]
* [[Strings|JS - DataTypes - String]]
* [[Booleans|JS - DataTypes - Boolean]]
```JS
let highFives = 0;
++highFives;
1
++highFives;
2
--highFives;
1
```
```JS
highFives = 0;
highFives++;
0
highFives++;
1
highFives;
2
```
```JS
let score = 10;
score += 7;
17
score -= 3;
14
score *= 2;
7
score /= 7;
1
```
```JS
let longString = "My long string is long";
longString.slice(3, 14);
"long string"
```
```JS
let sillyString = "hELlo THERE, hOW ARE yOu doINg?";
sillyString[0].toUpperCase() + sillyString.slice(1).toLowerCase();
"Hello there, how are you doing?"
```
`&&` and <br>
`||` or <br>
`!` not
```JS
let isWeekend = false;
let hadShower = true;
let hasApple = false;
let hasOrange = true;
let shouldGoToSchool = !isWeekend && hadShower && (hasApple || hasOrange);
shouldGoToSchool;
true
```
`===` means “are these two numbers equal?” and `=` means “save the value on the right in the variable on the left. <br>
double equals, or `==`) that means “equal-ish.” Use this to see whether two values are the same, even if one is a string and the other is a number.
```JS
let myTopThreeDinosaurs = ["T-Rex", "Velociraptor", "Stegosaurus"];
```
lege array : `[]`
```JS
let dinosaurs = [
"T-Rex",
"Velociraptor",
"Stegosaurus",
"Triceratops",
"Brachiosaurus",
"Pteranodon",
"Apatosaurus",
"Diplodocus",
"Compsognathus"
]
```
```JS
dinosaurs[0];
"T-Rex"
dinosaurs[3];
"Triceratops
```
```JS
let dinosaurus[0] = "Tyrnanosaurus Rex#
```
```JS
let dinosaurs = [];
dinosaurs[0] = "T-Rex";
dinosaurs[1] = "Velociraptor";
dinosaurs[2] = "Stegosaurus";
dinosaurs[3] = "Triceratops";
dinosaurs[4] = "Brachiosaurus";
dinosaurs[5] = "Pteranodon";
dinosaurs[6] = "Apatosaurus";
dinosaurs[7] = "Diplodocus";
dinosaurs[8] = "Compsognathus";
dinosaurs;
["T-Rex", "Velociraptor", "Stegosaurus", "Triceratops", "Brachiosaurus", "Pteranodon", "Apatosaurus", "Diplodocus", "Compsognathus"]
dinosaurs[33] = "Philosoraptor";
dinosaurs;
["T-Rex", "Velociraptor", "Stegosaurus", "Triceratops", "Brachiosaurus", "Pteranodon", "Apatosaurus", "Diplodocus", "Compsognathus", undefined × 24 "Philosoraptor"]
```
```JS
let dinosaursAndNumbers = [3, "dinosaurs", ["triceratops", "stegosaurus", 3627.5], 10];
dinosaursAndNumbers[2];
["triceratops", "stegosaurus", 3627.5]
dinosaursAndNumbers[2][0];
"triceratops"
```
Properties and methods help you work with arrays. Properties gen-erally tell you something about the array, and methods usually do something to change the array or return a new array. Let’s have a look
```JS
let maniacs = ["Yakko", "Wakko", "Dot"];
maniacs.length;
3
maniacs[maniacs.length -1];
"Dot"
```
```JS
let animals = [];
animals.pus("Cat");
1
animals.push("Dog");
2
animals.push("Lama");
3
animals;
["Cat", "Dog", "Lama"]
Animals.length;
3
animals.unshift("Monkey");
animals;
["Monkey", "Cat", "Dog", "Lama"]
animals.unshift("Polar Bear");
animals;
["Polar Bear", "Monkey", "Cat", "Dog", "Lama"]
let lastAnimal = animals.pop()
lastAnimal;
"Lama"
animals;
["Polar Bear", "Monkey", "Cat", "Dog"]
animals.pop();
"Dog"
animals;
["Polar Bear", "Monkey", "Cat"]
animals.unshift(lastAnimal);
4
animals;
["Lama", "Polar Bear", "Monkey", "Cat"]
animals.unshift(animals.pop());
animals;
["Cat", "Lama", "Polar Bear", "Monkey"]
let firstAnimal = animals.shift();
firstAnimal;
"Cat"
animals;
["Lama", " Polar Bear", "Monkey"]
```
firstArray.concat(otherArray)
```JS
let furryAnimals = ["Alpaca", "Ring-tailed Lemur", "Yeti"];
let scalyAnimals = ["Boa Constrictor", "Godzilla"];
lett furryAndScalyAnimals = furryAnimals.concat(scalyAnimals);
furryAndScalyAnimals;
["Alpaca", "Ring-tailed Lemur", "Yeti", "Boa Constrictor", "Godzilla"]
furryAnimals;["Alpaca", "Ring-tailed Lemur", "Yeti"]
scalyAnimals;["Boa Constrictor", "Godzilla"]
let featheredAnimals = ["Macaw", "Dodo"]
let allAnimals = furryAnimals.cocnat(scalyAnimals, featheredAnimals);
allAnimals;
["Alpaca", "Ring-tailed Lemur", "Yeti", "Boa Constrictor", "Godzilla", "Macaw", "Dodo"]
```
```JS
let colors = ["red", "green", "blue"]
colors.indexOf("blue")
2
colors.indexOf("purple");
-1
let insects = ["Bee", "Ant", "Bee", "Bee", "Ant"];
insects.indexOf("Bee");
0
```
```JS
let boringAnimals = ["Monkey", "Cat", "Fish", "Lizard"];
boringAnimals.join();
"Monkey,Cat,Fish,Lizard"
boringAnimals.join(" - ");
"Monkey - Cat - Fish - Lizard"
boringAnimals.join("*")
"Monkey*Cat*Fish*Lizard"
boringAnimals.join(" sees ")
"Monkey sees Cat sees Fish sees Lizard"
let ages = [11, 14, 79];
ages.join(" ");
"11 14 79"
```
```JS
Math.random();
Math.random() * 10;
Math.floor(3.456486546);
3
Math.floor(Math.random() *10);
Math.floor(Math.random() * 4);
2 // could be 0, 1, 2, or 3
let randomWords = ["Explosion", "Cave", "Princess", "Pen"];
let randomIndex = Math.floor(Math.random() * 4);
randomWords[randomIndex];
randomWords[Math.floor(Math.random() * 4)];
let randomBodyParts = ["Face", "Nose", "Hair"];
let randomAdjectives = ["Smelly", "Boring", "Stupid"];
let randomWords = ["Fly", "Marmot", "Stick", "Monkey", "Rat"];
let randomInsult = [
"Your",
randomBodyParts[Math.floor(Math.random() * randomBodyParts.length)],
"is",
"like",
"a",
randomAdjectives[Math.floor(Math.random() * randomAdjectives.length)],
randomWords[Math.floor(Math.random() * randomWords.length)] + "!!!"
].join(" ");
```
Nota's bij https://javascript.info/logical-operators
Er zijn er vier:
* `||` OR
* `&&` AND
* `!` NOT
* `??` nullish coalescing (of zoiets)
! OR
{{JS - OR}}
! AND
{{JS - AND}}
! NOT
{{JS - NOT}}
---
oefeningen
```JS
if (age >= 14 && age <= 90)
```
```JS
if (!(age >14 && age <90))
if (age <14 || age >90)
```
Nota's bij https://developer.mozilla.org/en-US/docs/Learn/JavaScript/Building_blocks/Looping_code
Met loops kunnen we hetzelfde opnieuw en opnieuw over doen
een loop heeft meestal volgende features
* een counter, een beginwaarde, die kan veranderen
* een condition, een test (true false) die bepaalt of we nog in de loop moeten zitten - meestal stoppen we als de counter een bepaalde waarde heeft
* een iterator, meestal verhogen we hiermee de counter totdat deze niet meer true is
vb in pseudocode
```
loop(food = 0; foodNeeded = 10) {
if (food >= foodNeeded) {
exit loop;
// We have enough food; let's go home
} else {
food += 2; // Spend an hour collecting 2 more food
// loop will then run again
}
}
```
! Standaard voor een loop
```JS
for (initializer; condition; final-expression) {
// code to run
}
```
<<<
The keyword for, followed by some parentheses.
Inside the parentheses we have three items, separated by semi-colons:
* An initializer — this is usually a variable set to a number, which is incremented to count the number of times the loop has run. It is also sometimes referred to as a counter variable.
* A condition — as mentioned before, this defines when the loop should stop looping. This is generally an expression featuring a comparison operator, a test to see if the exit condition has been met.
* A final-expression — this is always evaluated (or run) each time the loop has gone through a full iteration. It usually serves to increment (or in some cases decrement) the counter variable, to bring it closer to the point where the condition is no longer true.
Some curly braces that contain a block of code — this code will be run each time the loop iterates.
<<<
```JS
const cats = ['Bill', 'Jeff', 'Pete', 'Biggles', 'Jasmin'];
let info = 'My cats are called ';
const para = document.querySelector('p');
for (let i = 0; i < cats.length; i++) {
info += cats[i] + ', ';
}
para.textContent = info; // My cats are called Bill, Jeff, Pete, Biggles, Jasmin,
```
maar beter
```JS
for (let i = 0; i < cats.length; i++) {
if (i === cats.length - 1) {
info += 'and ' + cats[i] + '.';
} else {
info += cats[i] + ', ';
}
}
```
With for — as with all loops — you must make sure that the initializer is incremented or, depending on the case, decremented, so that it eventually reaches the point where the condition is not true. If not, the loop will go on forever, and either the browser will force it to stop, or it will crash. This is called an infinite loop.
! Exiting loops with breaks
een `break` statement kun je gebruiken om uit een loop te gaan voordat we echt helemaal rond zijn
(zie ook `switch` statements die dit ook gebruiken)
```HTML
<label for="search">Search by contact name: </label>
<input id="search" type="text">
<button>Search</button>
<p></p>
```
en de javascript
```JS
const contacts = ['Chris:2232322', 'Sarah:3453456', 'Bill:7654322', 'Mary:9998769', 'Dianne:9384975'];
const para = document.querySelector('p');
const input = document.querySelector('input');
const btn = document.querySelector('button');
btn.addEventListener('click', function() {
let searchName = input.value.toLowerCase();
input.value = '';
input.focus();
for (let i = 0; i < contacts.length; i++) {
let splitContact = contacts[i].split(':');
if (splitContact[0].toLowerCase() === searchName) {
para.textContent = splitContact[0] + '\'s number is ' + splitContact[1] + '.';
break;
} else if (i === contacts.length - 1) {
para.textContent = 'Contact not found.';
}
}
});
```
<<<
First of all, we have some variable definitions — we have an array of contact information, with each item being a string containing a name and phone number separated by a colon.
Next, we attach an event listener to the button (btn) so that when it is pressed some code is run to perform the search and return the results.
We store the value entered into the text input in a variable called searchName, before then emptying the text input and focusing it again, ready for the next search. Note that we also run the toLowerCase() method on the string, so that searches will be case-insensitive.
Now on to the interesting part, the for loop:
* We start the counter at 0, run the loop until the counter is no longer less than contacts.length, and increment i by 1 after each iteration of the loop.
* Inside the loop, we first split the current contact (contacts[i]) at the colon character, and store the resulting two values in an array called splitContact.
* We then use a conditional statement to test whether splitContact[0] (the contact's name, again lower-cased with toLowerCase()) is equal to the inputted searchName. If it is, we enter a string into the paragraph to report what the contact's number is, and use break to end the loop.
After (contacts.length-1) iterations, if the contact name does not match the entered search the paragraph text is set to "Contact not found.", and the loop continues looping until the condition is no longer true.
<<<
! skipping itterations with continue
met continue skippen we de volgende stap inee nloop
```JS
let num = input.value;
for (let i = 1; i <= num; i++) {
let sqRoot = Math.sqrt(i);
if (Math.floor(sqRoot) !== sqRoot) {
continue;
}
para.textContent += i + ' ';
}
```
<<<
In this case, the input should be a number (num). The for loop is given a counter starting at 1 (as we are not interested in 0 in this case), an exit condition that says the loop will stop when the counter becomes bigger than the input num, and an iterator that adds 1 to the counter each time.
Inside the loop, we find the square root of each number using Math.sqrt(i), then check whether the square root is an integer by testing whether it is the same as itself when it has been rounded down to the nearest integer (this is what Math.floor() does to the number it is passed).
If the square root and the rounded down square root do not equal one another (!==), it means that the square root is not an integer, so we are not interested in it. In such a case, we use the continue statement to skip on to the next loop iteration without recording the number anywhere.
If the square root is an integer, we skip past the if block entirely, so the continue statement is not executed; instead, we concatenate the current i value plus a space on to the end of the paragraph content.
<<<
! while and do ... while
```JS
initializer
while (condition) {
// code to run
final-expression
}
```
```JS
initializer
do {
// code to run
final-expression
} while (condition)
```
---
! Oplossingen van de oefeningen
```JS
let output = document.querySelector('.output');
output.innerHTML = '';
let i = 10;
for (i; i >=0; i--) {
if (i === 10) {
const para = document.createElement('p');
para.textContent = "Countdown 10";
output.appendChild(para);
}
else if ( i === 0 ) {
const para = document.createElement('p');
para.textContent = "Blast off!";
output.appendChild(para);
}
else {
const para = document.createElement('p');
para.textContent = i;
output.appendChild(para);
}
}
// const para = document.createElement('p');
// para.textContent = ;
// output.appendChild(para);
```
nota's bij https://javascript.info/while-for
! The While loop
```JS
while (condition) {
// code
// so-called "loop body"
}
```
Zolang conditie thruthy is blijven we in de loop:
vb:
```JS
let i = 0;
while (i < 3) { // shows 0, then 1, then 2
alert( i );
i++;
}
```
Elke keer dat we er een keer doorgaan noemen we dat een itteratie
! do while loop
```JS
do {
// loop body
} while (condition);
```
zolang na de loop while thruthy bliljft gaan we door de loop
```JS
let i = 0;
do {
alert( i );
i++;
} while (i < 3);
```
deze versie moet je alleen maar gebruiken als je sowieso een keer door de functie moeten gaan, of de while waar is of niet
! The for loop
```JS
for (begin; condition; step) {
// ... loop body ...
}
```
```JS
for (let i = 0; i < 3; i++) { // shows 0, then 1, then 2
alert(i);
}
```
|begin|`i = 0`|voeren we een keer uit bij het binnenkomen van de loop|
|conditie|`i < 3`|checken we voor elke itteratie, van zodra false dan stopt de loop|
|body|`alert(i)`|loopt zolang de conditie true is|
|stap|`i++`|wordt uitgevoerd na elke itteratie|
```JS
// for (let i = 0; i < 3; i++) alert(i)
// run begin
let i = 0
// if condition → run body and run step
if (i < 3) { alert(i); i++ }
// if condition → run body and run step
if (i < 3) { alert(i); i++ }
// if condition → run body and run step
if (i < 3) { alert(i); i++ }
// ...finish, because now i == 3
```
!! skipping in een for loop
je kan in principe elke stap skippen:
```JS
let i = 0; // we have i already declared and assigned
for (; i < 3; i++) { // no need for "begin"
alert( i ); // 0, 1, 2
}
```
```JS
let i = 0;
for (; i < 3;) {
alert( i++ );
}
```
```JS
for (;;) {
// repeats without limits
}
```
--> deze loopt eeuwig
! Breaking the loop
we kunnen geforceerd uit een loop gaan; zelfs indien nog true met `break`
```JS
let sum = 0;
while (true) {
let value = +prompt("Enter a number", '');
if (!value) break; // (*)
sum += value;
}
alert( 'Sum: ' + sum );
```
! ga naar de volgende itteratie
`continue` is een lichtere versie van `break`
je stopt niet heel de loop, maar alleen de itteratie waar je op dat moment inzit
met volgend voorbeeld krijg je alleen maar oneven getallen
```JS
for (let i = 0; i < 10; i++) {
// if true, skip the remaining part of the body
if (i % 2 == 0) continue;
alert(i); // 1, then 3, 5, 7, 9
}
```
je kan dat ook zo schrijven, maar dan nest je meer
```
for (let i = 0; i < 10; i++) {
if (i % 2) {
alert( i );
}
}
```
! Labels voor break / continue
soms moeten we uit meerdere geneste loopen tegelijkertijd ontsnappen
```JS
for (let i = 0; i < 3; i++) {
for (let j = 0; j < 3; j++) {
let input = prompt(`Value at coords (${i},${j})`, '');
// what if we want to exit from here to Done (below)?
}
}
alert('Done!');
```
Als we zouden breken blijven we in de innerloop zitten en we moeten uit alle loops, hoe doen we dat?
een label is een identifier met een dubbelpunt voor een loop:
```JS
labelName: for (...) {
...
}
```
met break labelnaam kun je uit de loop geraken:
```JS
outer: for (let i = 0; i < 3; i++) {
for (let j = 0; j < 3; j++) {
let input = prompt(`Value at coords (${i},${j})`, '');
// if an empty string or canceled, then break out of both loops
if (!input) break outer; // (*)
// do something with the value...
}
}
alert('Done!');
```
---
Antwoorden op de vragen
```JS
for (let i = 0; i < 10; i++) {
// if true, skip the remaining part of the body
if (i % 2 != 0) continue;
alert(i); // 1, then 3, 5, 7, 9
}
```
Klopt ook
```JS
let i = 0;
while (i < 3) {
alert( `number ${i}!`);
i++;
}
```
```JS
let getal = 0
while (getal < 100) {
getal = prompt('Tik een getal in groter dan nul', "");
if (!getal) break;
if (getal >= 100) break;
}
```
doet hetzelfe als de oplossing van de site
```JS
let eindwaarde = prompt('Tot welke waarde moet ik de priemgetallen leveren?');
if (eindwaarde < 2) {
alert('Eindwaarde moet 2 of groter zijn');
eindwaarde = prompt('Tot welke waarde moet ik de priemgetallen leveren?');
}
else {
for (let i = 2; i <= eindwaarde; i++) {
let geenPriemgetal = 0;
for (let j = 2; j <= i; j++) {
let modulus = (i % j);
if (modulus == 0) {
if (i == j) {
geenPriemgetal = geenPriemgetal;
}
else {
geenPriemgetal++;
}
}
else {
geenPriemgetal = geenPriemgetal
}
}
if (geenPriemgetal == 0) {
alert(i);
}
else {
continue;
}
}
}
```
de mijne werkt, maar die van de site is iets eleganter:
```JS
let n = 10;
nextPrime:
for (let i = 2; i <= n; i++) { // for each i...
for (let j = 2; j < i; j++) { // look for a divisor..
if (i % j == 0) continue nextPrime; // not a prime, go next i
}
alert( i ); // a prime
}
```
`!`
Converts the operand to boolean type: true/false.
Returns the inverse value
```JS
alert( !true ); // false
alert( !0 ); // true
alert( !!"non-empty string" ); // true
alert( !!null ); // false
```
NOT gaat voor op AND dat dan weer voor gaat op NOT
Samenvatting van [[W3Schools|https://www.w3schools.com/js/js_numbers.asp]]
Javascript heeft maar een soort nummer die geschreven kunnen worden met of zonder cijfertjes achter de komma/
```JS
var x = 3.14; // A number with decimals
var y = 3; // A number without decimals
```
Altijd een punt gebruiken ipv een komma voor een komma.
Je kan ook een wetenschappelijke notatie gebruiken:
```JS
var x = 123e5; // 12300000
var y = 123e-5; // 0.00123
```
Javasscript nummers zijn altijd 64-bbit floating point (of zoiets)
Integers zijn precies tot 15 cijfers
```JS
var x = 999999999999999; // x will be 999999999999999
var y = 9999999999999999; // y will be 10000000000000000
```
De cijfertjes na de komma kunnen er 17 zijn, maar berekeningen hiermee zijn niet altijd accuraat:
```JS
var x = 0.2 + 0.1; // x will be 0.30000000000000004
```
Je kan dit oplossen door te vermenigvuldigen en delen:
```JS
var x = (0.2 * 10 + 0.1 * 10) / 10; // x will be 0.3
```
''opgelet'' `+` wordt in javascript zowel gebruikt om op te tellen als samen te voegen:
```JS
var x = 10;
var y = 20;
var z = x + y; // z will be 30 (a number)
```
en
```JS
var x = "10";
var y = "20";
var z = x + y; // z will be 1020 (a string)
```
en
```JS
var x = 10;
var y = "20";
var z = x + y; // z will be 1020 (a string)
```
en
```JS
var x = "10";
var y = 20;
var z = x + y; // z will be 1020 (a string)
```
en
```JS
var x = 10;
var y = 20;
var z = "The result is: " + x + y // 1020
```
en
```JS
var x = 10;
var y = 20;
var z = "30";
var result = x + y + z; // 3030
```
Strings in Javascript kunnen ook numerieke waarden bevatten:
```JS
var x = 100; // x is a number
var y = "100"; // y is a string
```
Als je numerieke operaties op een string probeert uit te voeren zal javascript de string proberen om te vormen naar een nummer ''behalve voor `+`''
```JS
var x = "100";
var y = "10";
var z = x / y; // z will be 10
```
```JS
var x = "100";
var y = "10";
var z = x * y; // z will be 1000
```
```JS
var x = "100";
var y = "10";
var z = x - y; // z will be 90
```
maar:
```JS
var x = "100";
var y = "10";
var z = x + y; // z will not be 110 (It will be 10010)
```
! `Nan`
{{JS - Numbers - NaN}}
! `Infinity`
{{JS - Numbers - Infinity}}
`Infinity` of `-Infinity` is de waarde die je krijgt als een getal groter is dan de groots mogelijke waarde of als je deelt door nul:
```JS
var myNumber = 2;
while (myNumber != Infinity) { // Execute until Infinity
myNumber = myNumber * myNumber;
}
```
```JS
var x = 2 / 0; // x will be Infinity
var y = -2 / 0; // y will be -Infinity
```
```JS
typeof Infinity; // returns "number"
```
`NaN` is een gereserveerd woord en staat voor Not A Number
als je berekeningen wilt doen met een non-numerische string zul je dit als uitkomst krijgen:
```JS
var x = 100 / "Apple"; // x will be NaN (Not a Number)
```
maar zoals je weet:
```JS
var x = 100 / "10"; // x will be 10
```
je kan `isNaN()` gebruiken om te achterhalen of een iets een nummer is:
```JS
var x = 100 / "Apple";
isNaN(x); // returns true because x is Not a Number
```
''let op'' als je `NaN` gebruikt in een mathematische bewerking zul je altijd `NaN` als waarde terug krijgen:
```JS
var x = NaN;
var y = 5;
var z = x + y; // z will be NaN
```
of met een string en optelling:
```JS
var x = NaN;
var y = "5";
var z = x + y; // z will be NaN5
```
`NaN` is een nummer:
```JS
typeof NaN; // returns "number"
```
unary - binary - operand
```JS
let x = 1;
x = -x;
alert( x ); // -1, unary negation was applied
let x = 1, y = 3;
alert( y - x ); // 2, binary minus subtracts values
```
Volgende wiskundige bewerkingen worden toegestaan:
* optelling `+`
* aftrekken `-`
* vermenigvuldigen `*`
* delen `/`
* remainder `%` (modulus = de rest van een deling)
* machtsverheffing `**`
```JS
alert( 5 % 2 ); // 1, a remainder of 5 divided by 2
alert( 8 % 3 ); // 2, a remainder of 8 divided by 3
alert( 2 ** 2 ); // 2² = 4
alert( 2 ** 3 ); // 2³ = 8
alert( 2 ** 4 ); // 2⁴ = 16
alert( 4 ** (1/2) ); // 2 (power of 1/2 is the same as a square root)
alert( 8 ** (1/3) ); // 2 (power of 1/3 is the same as a cubic root)
```
```JS
let s = "my" + "string";
alert(s); // mystring
alert( '1' + 2 ); // "12"
alert( 2 + '1' ); // "21"
alert(2 + 2 + '1' ); // "41" and not "221"
alert('1' + 2 + 2); // "122" and not "14"
alert( 6 - '2' ); // 4, converts '2' to a number
alert( '6' / '2' ); // 3, converts both operands to numbers
```
```JS
// No effect on numbers
let x = 1;
alert( +x ); // 1
let y = -2;
alert( +y ); // -2
// Converts non-numbers
alert( +true ); // 1
alert( +"" ); // 0
let apples = "2";
let oranges = "3";
alert( apples + oranges ); // "23", the binary plus concatenates strings
let apples = "2";
let oranges = "3";
// both values converted to numbers before the binary plus
alert( +apples + +oranges ); // 5
// the longer variant
// alert( Number(apples) + Number(oranges) ); // 5
```
```JS
let x = 2 * 2 + 1;
alert( x ); // 5
let a = 1;
let b = 2;
let c = 3 - (a = b + 1);
alert( a ); // 3
alert( c ); // 0
```
```JS
let a, b, c;
a = b = c = 2 + 2;
alert( a ); // 4
alert( b ); // 4
alert( c ); // 4
```
```JS
let n = 2;
n += 5; // now n = 7 (same as n = n + 5)
n *= 2; // now n = 14 (same as n = n * 2)
alert( n ); // 14
let n = 2;
n *= 3 + 5;
alert( n ); // 16 (right part evaluated first, same as n *= 8)
```
```JS
let counter = 2;
counter++; // works the same as counter = counter + 1, but is shorter
alert( counter ); // 3
let counter = 2;
counter--; // works the same as counter = counter - 1, but is shorter
alert( counter ); // 1
```
```JS
let counter = 1;
let a = ++counter; // (*)
alert(a); // 2
let counter = 1;
let a = counter++; // (*) changed ++counter to counter++
alert(a); // 1
let counter = 0;
counter++;
++counter;
alert( counter ); // 2, the lines above did the same
let counter = 0;
alert( ++counter ); // 1
let counter = 0;
alert( counter++ ); // 0
let counter = 1;
alert( 2 * ++counter ); // 4
let counter = 1;
alert( 2 * counter++ ); // 2, because counter++ returns the "old" value
```
```JS
let a = (1 + 2, 3 + 4);
alert( a ); // 7 (the result of 3 + 4)
// three operations in one line
for (a = 1, b = 3, c = a * b; a < 10; a++) {
...
}
```
```JS
let a = 1, b = 1;
let c = ++a; // ?
let d = b++; // ?
```
a = 2 <br>
b = 2<br>
c = 2<br>
d = 1
```JS
let a = 1, b = 1;
alert( ++a ); // 2, prefix form returns the new value
alert( b++ ); // 1, postfix form returns the old value
alert( a ); // 2, incremented once
alert( b ); // 2, incremented once
```
```JS
"" + 1 + 0 // 10
"" - 1 + 0 // -1
true + false // 1
6 / "3" // 2
"2" * "3" // 6
4 + 5 + "px" // "9px"
"$" + 4 + 5 // "$45"
"4" - 2 // 2
"4px" - 2 // NaN
" -9 " + 5 // -9 5
" -9 " - 5 // -14
null + 1 // 1
undefined + 1 // NaN
" \t \n" - 2 // -2
```
zie ook [[deze pagina|https://www.w3schools.com/js/js_arithmetic.asp]] op w3schools
OF `||` OR
```JS
alert( true || true ); // true
alert( false || true ); // true
alert( true || false ); // true
alert( false || false ); // false
```
als een operator niet boolean zullen we dat eerst naar een boolean omvormen voor de evaluatie
het nummer `1` is bijvoorbeel `true`, terwijl `0` `false` is
```JS
if (1 || 0) { // works just like if( true || false )
alert( 'truthy!' );
}
```
meestal gebruiken we `||` in een `if` statement om na te gaan of een van de voorwaarden `true` is
```JS
let hour = 9;
if (hour < 10 || hour > 18) {
alert( 'The office is closed.' );
}
```
```JS
let hour = 12;
let isWeekend = true;
if (hour < 10 || hour > 18 || isWeekend) {
alert( 'The office is closed.' ); // it is the weekend
}
```
!! iets extra in JS: OR vind de eerste truthy waarde
dit werkt als volgt:
```JS
result = value1 || value2 || value3;
```
* we gaan evalueren van links naar rechts
* we converteren alles naar een booleaanse waarde - als `true` dan stopppen en geven deze waarde door aan de operand
* als alles `false` is geven we de laatste waarde door
we geven deze waarde door in zijn originele vorm (zonder de omvorming)
```JS
alert( 1 || 0 ); // 1 (1 is truthy)
alert( null || 1 ); // 1 (1 is the first truthy value)
alert( null || 0 || 1 ); // 1 (the first truthy value)
alert( undefined || null || 0 ); // 0 (all falsy, returns the last value)
```
voorbeelden die intressant kunnen zjn
```JS
let firstName = "";
let lastName = "";
let nickName = "SuperCoder";
alert( firstName || lastName || nickName || "Anonymous"); //SuperCoder
```
```JS
true || alert("not printed");
false || alert("printed");
```
```HTML
<!DOCTYPE html>
<html>
<head>
<title>Page Title</title>
<meta charset="UTF-8"/>
</head>
<body>
<script>
// Your JavaScript goes here!
console.log("Hello, World!")
</script>
</body>
</html>
```
Statements in JS eindig je best met een ;
```JS
alert('Hello');
alert('World');
```
Met `string.split()` maak je van een string een array
Je kan meegeven op welke tekens in de string je moet splitsen:
`string.split(',')` zal op elke komma splitsen:
```JS
const str = 'Apple,Orange,Mango,Cherry';
const fruits = str.split(',');
console.log(fruits);
// ['Apple', 'Orange', 'Mango', 'Cherry']
```
je kan ook tussen elk teken apart splitsen door een empty string mee te geven
```JS
const str = 'apple';
const chars = str.split('');
console.log(chars);
// ['a', 'p', 'p', 'l', 'e']
```
Als je een tweede argument meegeeft kun je het aantal itmes in je array beperken:
```JS
const str = 'Apple,Orange,Mango,Cherry';
const fruits = str.split(',', 2);
console.log(fruits);
// ['Apple', 'Orange']
```
Zet deze best tussen dubbele aanhalingstekens, dan heb je geen probleem met enkele aanhalingstekens in je string
```JS
alert( "I'm JavaScript" );
```
---
Een uitgebreide lijst van strings-objecten kun je hier vinden: https://developer.mozilla.org/en-US/docs/Web/JavaScript/Reference/Global_Objects/String
---
```JS
/* <!DOCTYPE html>
<html>
<head>
<title>MDN - Strings</title>
<meta charset="UTF-8"/>
</head>
<body>
<script> */
// Your JavaScript goes here!
//console.log("Hello, World!")
//Oefeningen en notities op basis van https://developer.mozilla.org/en-US/docs/Learn/JavaScript/First_steps/Strings
let string = 'The revolution will not be televised.';
// string -- maar dit werkt zo niet, je moet naar de console wegschrijven:
console.log(string);
//alert(string);
// altijd tussen haakjes zetten, anders krijg je errors
/* let badString1 = This is a test;
let badString2 = 'This is a test;
let badString3 = This is a test'; */
// je kan een string wel definiëren aan de hand van een variabele:
let badString = string;
console.log(badString);
//alert(badString);
// badString heeft nu dezelfde waarde als string
// je kan enkele en dubbele haakjes gebruiken:
let sgl = 'Single quotes.';
let dbl = "Double quotes";
console.log(sgl);
console.log(dbl);
/* Nooit mixen, dit geeft een error: let badQuotes = 'What on earth?";
De browser denkt dat je je string niet gesloten hebt
Dit is van belang als er in je string vliegende komma's enkel of dubbel staan
*/
let sglDbl = 'Would you eat a "fish supper"?';
let dblSgl = "I'm feeling blue.";
console.log(sglDbl);
console.log(dblSgl);
/* dit geeft dus een fout:
let bigmouth = 'I've got no right to take my place...';
*/
/* Je kan ook tekens 'escapen' dan worden die niet herkend als speciale JS-tekens
Dit doe je met een backslash \
*/
let bigmouth = 'I\'ve got no right to take my place...';
console.log(bigmouth);
/* CONCATENATING STRINGS - oftewel aan elkaar plakken
dit doe je met +
*/
let one = 'Hello, ';
let two = 'how are you?';
let joined = one + two;
console.log(joined);
let multiple = one + one + one + one + two;
console.log(multiple);
// je kan ook variabelen en strings mixen
let response = one + 'I am fine - ' + two;
console.log(response);
// wat gebeurt er als je een string en een nummer probeert op te tellen (of samen te voegen)
console.log ('Front ' + 242);
let myDate = '19' + '67';
console.log(typeof myDate);
// de Number object probeert alles naar een nummer om te vormen
let myString = '123';
let myNum = Number(myString);
console.log(myNum);
console.log(typeof myNum);
// toString() doet net het omgekeerde, maakt van alles een string
let myNum2 = 123;
let myString2 = myNum2.toString();
console.log(myString2);
console.log(typeof myString2);
/* TEMPLATE LITERALS zijn een nieuwere versie van strings,
je moet ze dan tussen backticks ` ` zetten
dit soort strings kun je op een andere manier refereren
*/
// normale string
let song = "Fight the Youth";
// vormen we om naar een template literal (je had dit natuurlijk in de vorige stap inneens kunnen doen):
song = `Fight the Youth`;
let score = 9;
let highestScore = 10;
// en je zou een output kunnen maken als volgt:
let output = 'I like the song "' + song + '". I gave it a score of ' + (score/highestScore *100) + '%.';
console.log(output);
/* maar met een template literal is dit makkelijker geschreven
dan moet je maar een keer de haakjes openen en sluiten en dien je de variabelen als variabelen door te geven
dooor de variabelen tussen een placeholder ${} te zetten
*/
output = `I like the song "${ song }". I gave it a score of ${ score/highestScore *100}%.`;
console.log(output);
/* je kan er complexe zaken mee:
let examScore = 45;
let examHighestScore = 70;
examReport = `You scored ${ examScore }/${ examHighestScore } (${ Math.round(examScore/examHighestScore*100) }%). ${ examScore >= 49 ? 'Well done, you passed!' : 'Bad luck, you didn\'t pass this time.' }`;
*/
/* als je in een string een nieuwe lijn wilt beginnen moet je dit doen met \n in een traditionele string
maar een template string respecteert line breaks
*/
output = 'I like the song "' + song + '".\nI gave it a score of ' + (score/highestScore * 100) + '%.';
console.log(output);
// is dus gelijk aan
output = `I like the song "${ song }".
I gave it a score of ${ score/highestScore * 100 }%.`;
console.log(output);
/*
</script>
</body>
</html> */
```
```JS
/* <!DOCTYPE html>
<html>
<head>
<title>Javascrit String Methods</title>
<meta charset="UTF-8"/>
</head>
<body>
<script> */
// Your JavaScript goes here!
// Noties bij https://www.w3schools.com/js/js_string_methods.asp
// Wat kun je allemaal doen met een string?
// length geeft de lengte terug van een string
var txt = "ABCDEFGHIJKLMNOPQRSTUVWXYZ";
var sln = txt.length;
console.log(sln); // 26
// zoek een string in een string
// indexOf() geeft de positie van de eerste keer dat een specifieke text in een string voorkomt
// pas op 0 = de eerste positie, 1 de tweede....
var str = "Please locate where 'locate' occurs!";
var pos = str.indexOf("locate");
console.log(pos); // 7 want het is het achtste teken waar het begint
// lastIndexOf() geeft de laastet occurence van een specifieke text in een string weer
pos = str.lastIndexOf("locate")
console.log(pos) //21 - want daar begint de laatste keer locate (op positie 22 dus in mensentaal)
// je krijgt -1 terug bij indexOf() en lastIndexOf() als de tekst niet gevonden wordt
pos = str.lastIndexOf("John")
console.log(pos) // -1 komt niet voor
// bij beiden kun je een tweede element meegeven - een startpositie vanwaar je moet begint te zoeken
pos = str.lastIndexOf("locate", 15);
console.log(pos); // 7 want na 15 staat het op index 7
// met search() kun je ook naar een string in een string zoeken
pos = str.search("locate");
console.log(pos);
//toch zijn search() en indexOf() niet het zelfde
// search() kan geen tweede argument aan
// indexOf() kan geen reguliere expressies aan
// HAAL DELEN UIT EEN STRING met:
// slice(start, end)
// substring(start, end)
// substr(start, length)
//slice() haalt een deel van een string en geeft het resultaat als een nieuwe string
str = "Apple, Banana, Kiwi";
var res = str.slice(7, 13);
console.log(res); //Banana - want is wat op index 7 begint (positie acht dus) en eindigt op index 13
// als je het tweede argument weglaat krijg je alles tot het einde
res = str.slice(7);
console.log(res); //Banana, Kiwi
// je kan ook negatieve waarde invoeren, dan tel je van achter naar voor
res = str.slice(-12, -6);
console.log(res); // Banana
res = str.slice(-12);
console.log(res); //Banana, Kiwi
// substring() is hetzelfde als slice() maar kan geen negatieve waarden aan
res = str.substring(7, 13);
console.log(res); //Banana
// substr() is ongeveer gelijk aan slice()
// het tweede argument geeft hier de LENGTE aan van wat je eruit moet halen
res = str.substr(7, 6);
console.log(res); //Banana
// ook hier, laat je het tweede argument weg, dan krijg je de rest van de string
res = str.substr(7);
console.log(res); //Banana, Kiwi
// je kan ook hier negatieve waarden meegeven en dan begin je van het einde te tellen
res = str.substr(-4);
console.log(res); //Kiwi
// replacing string inhoud
// doe je met replace()
// verander je een waarde in een andere waarde
// je verandert niet de string waarin je replaced, je maakt een nieuwe string aan
str = "Please visit Microsoft!";
var n = str.replace("Microsoft", "W3Schools");
console.log(n); // Please visit W3Schools!"
// standaard verander je alleen de eerste keer dat je iets tegenkomt:
str = "Please visit Microsoft and Microsoft!";
n = str.replace("Microsoft", "W3Schools");
console.log(n); // Please visit W3Schools and Microsoft!
// standaard case sensitive, dit zal dus niet werken
str = "Please visit Microsoft!";
n = str.replace("MICROSOFT", "W3Schools");
console.log(n); // Please visit Microsoft!
// wil je een zoektocht case-insentive maken dan moet je er /i achter zetten
n = str.replace(/MICROSOFT/i, "W3Schools");
console.log(n); // Please visit W3Schools!
// wil je alle keren dat je iets tegenkomt dan moet je er /g bij zetten
str = "Please visit Microsoft and Microsoft!";
n = str.replace(/Microsoft/g, "W3Schools");
console.log(n); // Please visit W3Schools an W3Schools!"
// van hoofd naar kleine letter en omgekeerd
// toUpperCase()
var text1 = "Hello World!";
var text2 = text1.toUpperCase();
console.log(text2); //HELLO WORLD!
// toLowerCase()
text2 = text1.toLowerCase();
console.log(text2); //hello world!
// concatination concat() is hetzelfde als + (ongeveer)
text1 = "Hello";
text2 = "World";
var text3 = text1.concat(" " , text2);
console.log(text3); //Hello World
//trim() removes whitespaces aan het begin en einde van een string
str = " Hello World! ";
console.log(str.trim()); // Hello World!
// je kan ook padding aan een string toevoeegen
let str2 = "5";
str = str2.padStart(4,0);
console.log(str); // 00005
str = str2.padEnd(4,0);
console.log(str); // 5000
// je kan op drie manieren iets uit een string halen
// charAt(position)
// charCodeAt(position)
// Property acces []
//charAt() geeft de positie op een specifieke positie (index) in een string
str = "HELLO WORLD";
console.log(str.charAt(0)); //H
//charCodeAt() geeft de unicode van het teken weer
console.log(str.charCodeAt(0)); //72
// property acces
console.log(str[0]); // H
// dit kan wat onvoorspelbaar zijn! je behandelt een string als een array
// je kan dan beter eerst een array van een string maken
// dit doe je met split()
/* </script>
</body>
</html> */
```
Nota's bij https://www.w3schools.com/js/js_switch.asp
`switch` statement :
```JS
switch(expression) {
case x:
// code block
break;
case y:
// code block
break;
default:
// code block
}
```
Het werkt als volgt:
* we evalueren een keer de switch expression
* Het resultaat vergelijken we met waarden van elke case
* Als er een match is doen we dat stukje code
* geen match dan doen we de default code
komen we aan een `break` dan stoppen we de switch en gaan we verder daarbuiten <br> ''pas op'' als je `break` vergeet gaan we toch verder naar het volgende stukje code uit de switch-blok
`default` moet niet het laatste stukje code zijn, maar dan moet je wel met `break` eindigen
je kan meerdere `case` hetzelfde code block laten utivoeren
```JS
switch (new Date().getDay()) {
case 4:
case 5:
text = "Soon it is Weekend";
break;
case 0:
case 6:
text = "It is Weekend";
break;
default:
text = "Looking forward to the Weekend";
}
```
If multiple cases matches a case value, the first case is selected.
If no matching cases are found, the program continues to the default label.
If no default label is found, the program continues to the statement(s) after the switch.
Switch gebruikt een strikte vergelijking `===` in de case statement
```JS
var x = "0";
switch (x) {
case 0:
text = "Off";
break;
case 1:
text = "On";
break;
default:
text = "No value found";
}
```
er zal bij bovenstaande geen match gevonden worden voor x
Meestal worden waarden naar de juiste types omgevormd, maar soms wil je ze zelf aanpassen
`String(value)` gebruiken we om een waarde naar een string om te vormen:
```JS
let value = true;
alert(typeof value); // boolean
value = String(value); // now value is a string "true"
alert(typeof value); // string
```
Numeric conversion happens in mathematical functions and expressions automatically.
```JS
alert( "6" / "2" ); // 3, strings are converted to numbers
```
met `number(value)` veranderen we een value direct naar een number
```JS
let str = "123";
alert(typeof str); // string
let num = Number(str); // becomes a number 123
alert(typeof num); // number
let age = Number("an arbitrary string instead of a number");
alert(age); // NaN, conversion failed
```
Numbers worden zo omgezet
|!Waarde|!Wordt|
|`undefined`|`NaN`|
|`null`|`0`|
|`true` and `false`|`0` en `1`|
|`string`|Alle spaties worden verwijdert, als wat overblijft leeg is krijgen we `0`, anders lezen we de nummer van de string, geeft dit een fout dan `null`|
```JS
alert( Number(" 123 ") ); // 123
alert( Number("123z") ); // NaN (error reading a number at "z")
alert( Number(true) ); // 1
alert( Number(false) ); // 0
```
Booleaanse conversie zouden de makkelijkste zijn, we doen dit met `Boolean(value)`
Alles dat intuïtief leeg is (den `0` `null` `undefined` `NaN`) wordt `false` <br>
Al de rest wordt `true`
```JS
alert( Boolean(1) ); // true
alert( Boolean(0) ); // false
alert( Boolean("hello") ); // true
alert( Boolean("") ); // false
alert( Boolean("0") ); // true, want is een string waar iets in zit
alert( Boolean(" ") ); // spaces, also true (any non-empty string is true)
```
```JS
"use strict";
// this code works the modern way
...
```
Blijkbaar kunnen er hier meer speciale functies mee opgezet worden, zie [[https://javascript.info/strict-mode]]
A variable is a “named storage” for data. We can use variables to store goodies, visitors, and other data.
To create a variable in JavaScript, use the let keyword.
Now, we can put some data into it by using the assignment operator =:
```JS
let message;
message = 'Hello!';
alert(message); // shows the variable content
```
```JS
let message = 'Hello!'; // define the variable and assign the value
alert(message); // Hello!
```
```JS
let message;
message = 'Hello!';
message = 'World!'; // value changed
alert(message);
```
```JS
let hello = 'Hello world!';
let message;
// copy 'Hello world' from hello into message
message = hello;
// now two variables hold the same data
alert(hello); // Hello world!
alert(message); // Hello world!
```
We can easily grasp the concept of a “variable” if we imagine it as a “box” for data, with a uniquely-named sticker on it.
There are two limitations on variable names in JavaScript:
* The name must contain only letters, digits, or the symbols `$` and `_`.
* The first character must not be a digit.
alle vergelijking leveren een boleaanse waarde op
* `a > b`
* `a < b`
* `a >= b`
* `a <= b`
* `a == b`
* `a != b`
```JS
alert( 2 > 1 ); // true (correct)
alert( 2 == 1 ); // false (wrong)
alert( 2 != 1 ); // true (correct)
let result = 5 > 4; // assign the result of the comparison
alert( result ); // true
alert( 'Z' > 'A' ); // true
alert( 'Glow' > 'Glee' ); // true
alert( 'Bee' > 'Be' ); // true
```
# Compare the first character of both strings.
# If the first character from the first string is greater (or less) than the other string’s, then the first string is greater (or less) than the second. We’re done.
# Otherwise, if both strings’ first characters are the same, compare the second characters the same way.
# Repeat until the end of either string.
# If both strings end at the same length, then they are equal. Otherwise, the longer string is greater.
kleine letters zijn groter dan hoofdletters
```JS
alert( '2' > 1 ); // true, string '2' becomes a number 2
alert( '01' == 1 ); // true, string '01' becomes a number 1
alert( true == 1 ); // true
alert( false == 0 ); // true
let a = 0;
alert( Boolean(a) ); // false
let b = "0";
alert( Boolean(b) ); // true
alert(a == b); // true!
```
```JS
alert( 0 == false ); // true
alert( '' == false ); // true
alert( 0 === false ); // false, because the types are different
alert( null === undefined ); // false
alert( null == undefined ); // true
alert( null > 0 ); // (1) false
alert( null == 0 ); // (2) false
alert( null >= 0 ); // (3) true
alert( undefined > 0 ); // false (1)
alert( undefined < 0 ); // false (2)
alert( undefined == 0 ); // false (3)
```
```JS
5 > 4 // true
"apple" > "pineapple" // false
"2" > "12" // true
undefined == null // true
undefined === null // false
null == "\n0\n" // false
null === +"\n0\n" //false
```
null only equals undefined.
Engels: LOWER
=KLEINE.LETTERS(tekst, tussen "" of celverwijzing)
Zet alles in kleine letters
KLEINSTE (gegevens; n)
gegevens: de matrix of het bereik met de gegevensset die wordt gebruikt.
n: de positie van het element dat wordt geretourneerd, in een rangschikking van groot naar klein.
Als u n bijvoorbeeld opgeeft als 4, retourneert KLEINSTE het op drie na kleinste element in gegevens.
Heeft niets te maken met Slides te maken, maar heb ik gevonden door deze slides: https://ccamara.github.io/slides/02-Greek-roman-cities die met reveal JS en met hulp van Knight Lab tools werd gemaakt.
Indrukwekkend
https://knightlab.northwestern.edu/
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Harde, maar goede film over de zoektocht van (een narcistische-egocentristische?) onderdrukte vrouw naar onafhankelijkheid, die in de weg daar naar toe alle grenzen overschrijdt.
||A|B|C|D|E|F|G|H|I|J|
|1| | | | | | | | | | |
|2| | | | | | | | | | |
|3| | | | | | | | | | |
|4| | | | | | | | | | |
|5| | | | | | | | | | |
|6| | | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
engels: LEN
=LENGTE(tekst)
geeft het aantal tekens in tekst, ook spaties en niet afgedrukte tekens telt het.
`=LINKS(tekenreeks; [aantal tekens])`
gebruiken we om in een [[Spreadsheet]] het aantal linkse tekens van een tekenreeks te retourneren.
Het aantal tekens is standaard 1 - je kan zelfs nul meegeven, dan krijg je niets terug!
Zie ook [[RECHTS]] en [[DEEL]]
<<list-links filter:"[tag<currentTiddler>]">>
`zip -r myzipfile my_folder_name`
`echo` takes a string as stdin en prints the string as stdout
urrent directory (`.`)
https://github.com/jlevy/the-art-of-command-line#readme
https://www.learnenough.com/checkout/tutorial/learn_enough_command_line
https://explainshell.com/
* `^A` ga naar het begin van de lijn
* `^E` ge naar het einde van de lijn
* `^U` delete de lijn
* `^C` stop de input
[[Bash - brace expansion]]
Opgepast `"` escaped alles behalve `$` `\` ` ` ` <br>
enkele quotes escapen alles
Dat doe je op de [[beginpagina van Github|https://github.com/]] onder sign-up. <br>
De stappen wijzen zichzelf uit.
https://en.wikipedia.org/wiki/Magnitogorsk
https://en.wikipedia.org/wiki/Rear-front_Memorial
https://www.berlinpoche.de/en/soviet-war-memorial-berlin-treptower-park
https://www.youtube.com/watch?v=5OuZL30bPzY
http://www.worstpolluted.org/projects/display/69
https://upload.wikimedia.org/wikipedia/commons/thumb/5/5e/Flag_of_Magnitogorsk_%28Chelyabinsk_oblast%29.svg/1920px-Flag_of_Magnitogorsk_%28Chelyabinsk_oblast%29.svg.png
https://www.nhl.com/penguins/news/escape-from-russia/c-305634952
Privacy-activist
https://ministryofprivacy.eu/privacy-tools/show-me-my-data/
<<list-links filter:"[contains:schrijver<currentTiddler>]">>
geeft de hoogste waarde uit een range
```python
#! python3
# mclip.py - A multi-clipboard program.
TEXT = {
'agree': """Yes, I agree. That sounds fine to me.""",
'busy': """Sorry, can we do this later this week or next week?""",
'upsell': """Would you consider making this a monthly donation?"""
}
import sys, pyperclip
if len(sys.argv) < 2:
print('Usage: python mclip.py [keyphrase] - copy phrase text.')
sys.exit()
keyphrase = sys.argv[1] # first command line arg is the keyphrase
if keyphrase in TEXT:
pyperclip.copy(TEXT[keyphrase])
print(f'Text for {keyphrase} copied to clipboard.')
else:
print(f'There is no text for {keyphrase}.')
```
$$(a + b)^2 = a^2 + 2ab + b^2$$
$$(a - b)^2 = a^2 - 2ab + b^2$$
$$(a + b)^3 = a^3 + 3a^2b +3ab^2 +b^3$$
$$(a - b)^3 = a^3 - 3a^2b + 3ab^2 - b^3$$
$$(a - b)(a + b) = a^2 - b^2$$
$$(a - b)(a^2 + ab + b^2) = a^3 - b^3$$
$$(a + b)(a^2 - ab + b^2) = a^3 + b^3$$
@media (max-width: 480px) {
.tc-edit-fields { display: none; }
.tc-editor-toolbar { display: none; }
.tc-edit-tags { display: none; }
.tc-tiddler-preview-preview { display: none; }
.tc-tiddler-frame .tc-tiddler-preview .tc-edit-texteditor { width:100%; }
.tc-type-selector { display: none; }
.tc-edit-field-add { display: none; }
.tc-improvement-banner { display: none; }
}
<iframe width="560" height="315" src="https://www.youtube-nocookie.com/embed/7WzAxXMDc6A" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture" allowfullscreen></iframe>
---
<iframe width="560" height="315" src="https://www.youtube-nocookie.com/embed/W9e5Un7VLUg" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture" allowfullscreen></iframe>
Door het volledig overstappen naar [[Muziek - Streaming]]diensten is de relatie tot nieuwe albums anders dan toen ik nog [[Muziek - CD]]'s kocht.
Ik hoop door betere documentatie weer meer het gevoel te krijgen dat nieuwe muziek ook echt van mij wordt.
<<list-links "[tag[Muziek]]">>
<iframe src="https://open.spotify.com/embed/playlist/2gZ4a21AqBS1xOCdMw9WZf" width="100%" height="380" frameBorder="0" allowfullscreen="" allow="autoplay; clipboard-write; encrypted-media; fullscreen; picture-in-picture"></iframe>
Concept van [[De Standaard]] van 2021-08-21.
Naar analogie met de rode en blauwe verzameleraars, een groene met de beste nummers van de individuele leden na hun split.
{{Muziek - The Beatles - The Green Album - SpotifyPlaylist}}
{{Muziek - The Beatles - The Green Album - Artikel}}
<iframe src="https://open.spotify.com/embed/playlist/58xgjxAyxWd4goJPRbpqHR?theme=0" width="100%" height="380" frameBorder="0" allowtransparency="true" allow="encrypted-media"></iframe>
Volgens BBC6 een van de beste albums van 2021 tot hier toe: https://canvas-story.bbcrewind.co.uk/newmusicfix2021/<br> Het luistert in ieder geval goed weg
<iframe src="https://open.spotify.com/embed/album/3DsVPs2X3o6HJOrioFo4lx" width="100%" height="380" frameBorder="0" allowtransparency="true" allow="encrypted-media"></iframe>
Afspeellijst van nummers die op het door [[ThomYorke]] gecurateerde [[Sonos]]radiostation wordt afgespeelt.
<iframe src="https://open.spotify.com/embed/playlist/1OHZYvawdqwxgbh4DdMV04" width="100%" height="380" frameBorder="0" allowtransparency="true" allow="encrypted-media"></iframe>
Veel beter dan verwacht
<iframe src="https://open.spotify.com/embed/album/363Th6XJH09I7Gw5ey2aye" width="100%" height="380" frameBorder="0" allowtransparency="true" allow="encrypted-media"></iframe>
https://fr.wikipedia.org/wiki/Myriam_Gendron
```Bash
nano hello.txt
```
* `Ctrl` + `O` saves
* `Ctrl` + `X` exit
* `Ctrl` + `G` help
{{Nano_cheatsheet}}
```
^G (F1) Display this help text
^X (F2) Close the current buffer / Exit from nano
^O (F3) Write the current buffer (or the marked region) to disk
^R (Ins) Insert another file into current buffer (or into new buffer)
^W (F6) Search forward for a string or a regular expression
^\ (M-R) Replace a string or a regular expression
^K (F9) Cut current line (or marked region) and store it in cutbuffer
^U (F10) Paste the contents of cutbuffer at current cursor position
^T Execute a function or an external command
^J (F4) Justify the current paragraph
^C (F11) Display the position of the cursor
^/ (M-G) Go to line and column number
M-U Undo the last operation
M-E Redo the last undone operation
M-A (^6) Mark text starting from the cursor position
M-6 (M-^) Copy current line (or marked region) and store it in cutbuffer
M-] Go to the matching bracket
^Q Search backward for a string or a regular expression
M-Q Search next occurrence backward
M-W Search next occurrence forward
^B (◂) Go back one character
^F (▸) Go forward one character
^◂ (M-Space) Go back one word
^▸ (^Space) Go forward one word
^A (Home) Go to beginning of current line
^E (End) Go to end of current line
^P (▴) Go to previous line
^N (▾) Go to next line
M-▴ (M--) Scroll up one line without moving the cursor textually
M-▾ (M-+) Scroll down one line without moving the cursor textually
^▴ (M-7) Go to previous block of text
^▾ (M-8) Go to next block of text
M-( (M-9) Go to beginning of paragraph; then of previous paragraph
M-) (M-0) Go just beyond end of paragraph; then of next paragraph
^Y (PgUp) Go one screenful up
^V (PgDn) Go one screenful down
M-\ (^Home) Go to the first line of the file
M-/ (^End) Go to the last line of the file
M-◂ (M-<) Switch to the previous file buffer
M-▸ (M->) Switch to the next file buffer
^I (Tab) Insert a tab at the cursor position
^M (Enter) Insert a newline at the cursor position
^H (Bsp) Delete the character to the left of the cursor
^D (Del) Delete the character under the cursor
M-Bsp (Sh-^Del) Delete backward from cursor to word start
^Del Delete forward from cursor to next word start
M-T Cut from the cursor position to the end of the file
M-J Justify the entire file
M-D Count the number of words, lines, and characters
M-V Insert the next keystroke verbatim
^L Refresh (redraw) the current screen
^Z Suspend the editor (if suspension is enabled)
M-} (Tab) Indent the current line (or marked lines)
M-{ (Sh-Tab) Unindent the current line (or marked lines)
M-3 Comment/uncomment the current line (or marked lines)
^] Try and complete the current word
M-: Start/stop recording a macro
M-; Run the last recorded macro
M-Ins Place or remove an anchor at the current line
M-PgUp Jump backward to the nearest anchor
M-PgDn Jump forward to the nearest anchor
M-Del Throw away the current line (or marked region)
F12 Invoke the spell checker, if available
M-B Invoke the linter, if available
M-F Invoke a program to format/arrange/manipulate the buffer
^L Center the line where the cursor is
^S Save file without prompting
M-X Help mode enable/disable
M-C Constant cursor position display enable/disable
M-S Soft wrapping of overlong lines enable/disable
M-N Line numbering enable/disable
M-P Whitespace display enable/disable
M-Y Color syntax highlighting enable/disable
M-H Smart home key enable/disable
M-I Auto indent enable/disable
M-K Cut to end enable/disable
M-L Hard wrapping of overlong lines enable/disable
M-O Conversion of typed tabs to spaces enable/disable
M-M Mouse support enable/disable
M-Z Suspension enable/disable
```
Kijk zeker eens naar [[NextDNS|https://nextdns.io/nl]]
`=NIET(Logische_expresie)`
Als de logische expresie WAAR is retourneert dit ONWAAR
Als de logische expressie ONWAAR is retourneert dit WAAR
Letop:<br>`0` is ONWAAR - en Retourneert dus WAAR <br>Elk ander cijfer is WAAR en retourneert dus ONWAAR
Zie ook [[EN]] en [[OF]]
Notities kun je op verschillende manieren nemen.
Via de [[Nota - Cornell-methode]] bijvoorbeeld.
Zie ook [[hier|https://users.speakeasy.net/~lion/nb/book.pdf]]
en [[hier|https://zettelkasten.sorenbjornstad.com/#ZettelKastenBibliography]]
Om [[Nota]]'s te nemen - te onderzoeken.
Kan ik dit in mijn eigen nota's inpassen?
Gaat dit nuttig zijn?
---
Wat?
Je verdeelt je blad in drie stukken.
* In het grootste stuk schrijf je je nota's.
* Links daarvan zet je kernwoorden op basis van de nota's die je genomen hebt en eventuele vragen over de nota's die je genomen hebt (dit doe je achteraf)
* onderaan maak je daarna een samenvatting van je nota's
`=NU()` <br>
`=NOW()`
geeft als waarde de huidige dag + tijd in een [[Spreadsheet]]
zie ook [[VANDAAG]]
Numerieke waarden zijn getallen.
Vaak zijn dit getallen waar je iets mee wilt doen.
`=OF(logische expressie1, logische expressie2,...)`
geeft waarde WAAR als minstens één van de condities WAAR is
zie ook [[EN]] en [[NIET]]
https://support.google.com/docs/answer/3093306?hl=nl
[[Video|https://www.youtube.com/watch?v=HVsySz-h9r4]]
git-scm.com om te installeren
! first time setup
{{GIT - first time setup}}
! 2 common scenarios
{{GIT - Commen Scenario's}}
! track existing remote project
{{GIT - track existing remote project}}
! Common Workflow
{{GIT - Common workflow}}
<<list-links "[tag[PowerShell]]">>
```PowerShell
Get-Alias
```
Bijvoorbeeld:
```Powershell
Help Get-Content
```
Wat eigenlijk een afkorting is van
```Powershell
Get-Help Get-Content | More
```
Je kan ook, als je niet goed weet welk command je nodig hebt zoeken via wildcards
```PowerShell
Get-Help *event*
```
Om alle help files op je computer te halen, moet je (als admin):
```Powershell
Update-Help
```
Of je kan de laatste versie ineens online lezen
Vb:
```powershell
Help Get-Evntlog -Online
```
Alle tiddlers waar iedereen aankan.
https://www.pyinstaller.org/
https://old.reddit.com/r/Python/comments/i0m2sy/i_know_python_basics_what_next/
https://inventwithpython.com/bigbookpython/
https://eu.pythonanywhere.com/
[[Python - Online Websites]]
<<toc-selective-expandable [[Python]]>>
```python
alien_0 = {'color': 'green', 'points': 5}
alien_0['x-position'] = 0
alien_0['y-position'] = 25
print(alien_0)
```
`{'color': 'green', 'points': 5, 'x-position': 0, 'y-position': 25}`
Je kan natuurlijk met een lege dictionary beginnen:
```python
alien_0 = {}
alien_0['color'] = 'green'
alien_0['points'] = 5
alien_0['x-position'] = 0
alien_0['y-position'] = 25
print(alien_0)
```
`{'color': 'green', 'points': 5, 'x-position': 0, 'y-position': 25}`
Dit kan met `sys.exit()` als je daarop komt stopt je programma:
```python
import sys
while True:
print('\nType exit to exit.\n')
response = input()
if response == 'exit':
sys.exit()
print('\nYou typed ' + response + '.')
```
```python
class Users:
def __init__(self, first_name, last_name, birth_year, town):
self.first_name = first_name
self.last_name = last_name
self.birth_year = birth_year
self.town = town
def describe_user(self):
print(f"{self.first_name} {self.last_name} was born in {self.birth_year} and lives in {self.town}")
def greet_user(self):
print(f"Hello {self.first_name}, welcome!")
my_user = Users('Pipo', 'Paljas', '1980', 'Antwerp')
my_user.describe_user()
my_user.greet_user()
print(my_user.first_name)
```
```
Pipo Paljas was born in 1980 and lives in Antwerp
Hello Pipo, welcome!
Pipo
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```python
def clear():
if os.name in ('nt','dos'):
os.system("cls")
elif os.name in ('linux','osx','posix'):
os.system("clear")
else:
print("\n") * 120
```
```python
def collatz(nummer):
try:
nummer = int(nummer)
print(nummer)
while nummer != 1:
if nummer % 2 == 0:
nummer = int(nummer / 2)
print(nummer)
else:
nummer = int(3 * nummer + 1)
print(nummer)
except ValueError:
print('geef een nummer mee')
collatz(3265)
```
komen binnen met `sys.argv`
The sys.argv variable stores a list of the program’s filename and command line arguments. If this list has more than just the filename in it, then len(sys.argv) evaluates to an integer greater than 1, meaning that command line arguments have indeed been provided.
Command line arguments are usually separated by spaces, but in this case, you want to interpret all of the arguments as a single string. Since sys.argv is a list of strings, you can pass it to the join() method, which returns a single string value. You don’t want the program name in this string, so instead of sys.argv, you should pass sys.argv[1:] to chop off the first element of the array.
Doen we door aan het begin van een lijn een spoorwegteken te zetten `#`
Het concept variabelen die niet van waarde kunnen veranderen bestaat niet
Willen we dit toch gebruiken dan zetten we de variabel in hoofdletters:
```python
MAX_CONNECTIONS = 5000
```
```python
# Conway's Game Of life
import random, time, copy
WIDTH = 60
HEIGHT = 20
#Create a list of list for the cells:
nextCells = []
for x in range(WIDTH):
column = [] #Create a new column
for y in range(HEIGHT):
if random.randint(0, 1) == 0:
column.append('#') # add a living cell
else:
column.append(' ') # add a dead cell
nextCells.append(column) #nextCells is a list of column lists.
while True: # main program loop
print('\n\n\n\n\n') # Separate each step with newlines.
currentCells = copy.deepcopy(nextCells)
#print currentCells on the screen:
for y in range(HEIGHT):
for x in range(WIDTH):
print(currentCells[x][y], end='') # print the # or space.
print() # print a newline at the end of a row
# Calculate the next step's cells based on current step's cells:
for x in range(WIDTH):
for y in range(HEIGHT):
# get neigboring coordinates:
# `%WIDTH` ensures leftCoord is always between 0 and WIDTH -1
leftCoord = (x - 1) % WIDTH
rightCoord = (x + 1) % WIDTH
aboveCoord = (y - 1) % HEIGHT
belowCoord = (y + 1) % HEIGHT
# Count number of living neighbors:
numNeighbors = 0
if currentCells[leftCoord][aboveCoord] == '#':
numNeighbors +=1 # Top left neighbor is alive
if currentCells[x][aboveCoord] == '#':
numNeighbors +=1 #top neigbor is alive
if currentCells[rightCoord][aboveCoord] == '#':
numNeighbors +=1
if currentCells[leftCoord][y] == '#':
numNeighbors +=1
if currentCells[rightCoord][y] == '#':
numNeighbors +=1
if currentCells[leftCoord][belowCoord] == '#':
numNeighbors +=1
if currentCells[x][belowCoord] == '#':
numNeighbors +=1
if currentCells[rightCoord][belowCoord] == '#':
numNeighbors +=1
# set cell based on Conway's Game of Life rules:
if currentCells[x][y] == '#' and (numNeighbors == 2 or numNeighbors == 3):
#Living cells with 2 or 3 neighbors stay alive
nextCells[x][y] = '#'
elif currentCells[x][y] == ' ' and numNeighbors == 3:
# dead cells with 3 neigbors become alive:
nextCells[x][y] = '#'
else:
# everything else dies or stay dead
nextCells[x][y] = ' '
# time.sleep(1) # add a 1-second pause to reduce flickering
```
`copy.copy()` kun je gebruiken om bijvoorbeeld een lijst naar een nieuwe lijst te kopiëren.
Zitten er in je lijst lijsten dan moet je `copy.deepcopy()` gebruiken
Zie bijvoord deze aanzet voor boter-kaas-eieren
```python
hetBord = {
'top-L': ' ', 'top-M': ' ', 'top-R': ' ',
'mid-L': ' ', 'mid-M': ' ', 'mid-R': ' ',
'low-L': ' ', 'low-M': ' ', 'low-R': ' '
}
def printBord(bord):
print(bord['top-L'] + '|' + bord['top-M'] + '|' + bord['top-R'])
print('-+-+-')
print(bord['mid-L'] + '|' + bord['mid-M'] + '|' + bord['mid-R'])
print('-+-+-')
print(bord['low-L'] + '|' + bord['low-M'] + '|' + bord['low-R'])
beurt = 'X'
for speelbeurt in range(9):
printBord(hetBord)
print(f'Zet voor {beurt}. Speel op welk veld?')
zet = input()
hetBord[zet] = beurt
if beurt == 'X':
beurt = 'O'
else:
beurt = 'X'
printBord(hetBord)
```
Een dictionary is een collectie van een of meerdere key-value paren.
```python
alien_0 = {'color': 'green', 'points': 5}
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Kan ook, maar maakt het geheel wel moeilijk
Soms ook handig - als je meer dan 1 waarde aan een key wilt geven, de waardes moeten niet altijd gelijk zijn
```python
# Store information about a pizza being ordered.
pizza = {
'crust': 'thick',
'toppings': ['mushrooms', 'extra cheese'],
}
# Summarize the order
print(f"You ordered a {pizza['crust']}-crust pizza "
"with the following toppings:")
for topping in pizza['toppings']:
print(f"\t{topping}")
```
---
```python
favorite_languages ={
'jen': ['python', 'ruby'],
'sarah': ['c'],
'edward':['ruby', 'go'],
'phil': ['python', 'haskell']
}
for name, languages in favorite_languages.items():
print(f"\n{name.title()}'s favorite languages are:")
for language in languages:
print(f"\t{language.title()}")
```
---
```python
favorite_languages ={
'jen': ['python', 'ruby'],
'sarah': ['c'],
'edward':['ruby', 'go'],
'phil': ['python', 'haskell']
}
for name, languages in favorite_languages.items():
if len(languages) == 1:
print(f"\n{name.title()}'s favorite languages is: {language.title()}")
else:
print(f"\n{name.title()}'s favorite languages are:")
for language in languages:
print(f"\t{language.title()}")
```
Vaak handig
```python
# Make an empty list for storing aliens.
aliens = []
# Make 30 green Aliens
for alien_number in range(30):
new_alien = {'color': 'green', 'points': 5, 'speed': 'slow'}
aliens.append(new_alien)
for alien in aliens[:3]:
if alien['color'] == 'green':
alien['color'] = 'yellow'
alien['speed'] = 'medium'
alien['points'] = 10
# Show the first 5 aliens
for alien in aliens[:5]:
print(alien)
print("...")
# show how many aliens have been created
print(f"\nTotal number of aliens: {len(aliens)}")
```
```python
alien_0 = {'color': 'green', 'points': '5'}
print(alien_0['color'])
print(alien_0['points'])
new_points = alien_0['points']
print(f"You earned {new_points} points!")
```
```
green
5
You earned 5 points!
```
Doen we met `del` dan is het weg, weg
```python
alien_0 = {'color': 'green', 'points': 5}
print(alien_0)
del alien_0['points']
print(alien_0)
```
```
{'color': 'green', 'points': 5}
{'color': 'green'}
```
```python
alien_0 = {'color': 'green', 'points': 5, 'x-position': 0, 'y-position' : 25}
print(f"The alien is {alien_0['color']}")
alien_0['color'] = 'yellow'
print(f"The alien is now {alien_0['color']}")
```
```
The alien is green
The alien is now yellow
```
---
```python
alien_0 = {'x_position': 0, 'y_position' : 25, 'speed': 'medium'}
print(f"Original position: {alien_0['x_position']}")
# Move the alien to the right
# Determine how far to move based on its current speed
if alien_0['speed'] == 'slow':
x_increment = 1
elif alien_0['speed'] == 'medium':
x_increment = 2
else:
#this must be a fast alien
x_increment = 3
#the new position is the old position + the increment
alien_0['x_position'] = alien_0['x_position'] + x_increment
print(f"New position: {alien_0['x_position']}")
```
```
Original position: 0
New position: 2
```
Dit kunnen we met `get()`
```python
alien_0 = {'color': 'green', 'speed': 'slow'}
point_value = alien_0.get('points', 'No point value assigned.')
print(point_value)
```
`No point value assigned.`
Drie methoden die iets teruggeven dat op een list lijkt
* `keys()` de keys
* `values()` de waarden
* `items()` beiden
Deze kan je in `for` loops gebruiken zie bijvoorbeeld [[Python - Dictionary - Looping door alle key-values]]
`items()` geeft een lijst terug
```python
user_0 = {
'username': 'efermi',
'first': 'enico',
'last': 'fermi',
}
for key, value in user_0.items():
print(f"\nKey: {key}")
print(f"Value: {value}")
```
```
Key: username
Value: efermi
Key: first
Value: enico
Key: last
Value: fermi
```
---
```python
favorite_languages = {
'jen': 'python',
'sarah': 'c',
'edward': 'ruby',
'phil': 'python',
}
for name, language in favorite_languages.items():
print(f"{name.title()}'s favorite language is {language.title()}.")
```
```
Jen's favorite language is Python.
Sarah's favorite language is C.
Edward's favorite language is Ruby.
Phil's favorite language is Python.
```
```python
favorite_languages = {
'jen': 'python',
'sarah': 'c',
'edward': 'ruby',
'phil': 'python',
}
friends = ['phil', 'sarah']
for name in favorite_languages.keys():
print(f"Hi, {name.title()}!")
# aangezien keys() de default is waar we door lopen, zou je de .keys() in bovenstaande weg kunnen laten
# for name in favorite_languages:
# doet dus hetzelfde
if name in friends:
language = favorite_languages[name].title()
print(f"\t{name.title()}, I see you love {language}!")
# current value van name is de key
if 'erin' not in favorite_languages.keys():
print("Erin, please take our poll!")
```
Dit doen we met `sorted()`
```python
favorite_languages = {
'jen': 'python',
'sarah': 'c',
'edward': 'ruby',
'phil': 'python',
}
for name in sorted(favorite_languages.keys()):
print(f"{name.title()}, thank you for taking the poll")
```
```
Edward, thank you for taking the poll
Jen, thank you for taking the poll
Phil, thank you for taking the poll
Sarah, thank you for taking the poll
```
Dit doen we door `.values()`
Willen we unieke waarden dan kunnen we `set` gebruiken:
```python
favorite_languages = {
'jen': 'python',
'sarah': 'c',
'edward': 'ruby',
'phil': 'python',
}
print("The following languages have been mentioned:")
for language in favorite_languages.values():
print(language.title())
print("\n\nThe following languages have been mentioned:")
for language in set(favorite_languages.values()):
print(language.title())
```
```
The following languages have been mentioned:
Python
C
Ruby
Python
The following languages have been mentioned:
Python
C
Ruby
```
```python
favoriete_stoel = {
'shaggy': 'kleine zetel',
'oranje': 'buiten stoel',
'zwartwit': 'blauw stoeltje',
}
```
met `import pprint` kun he `pprint()` en `pformat()` gebruiken.
Daarmee kun je een dictionary mooier afprinten
met `pformat` krijg je de waarde als een string binnen. Met `pprint` print je die string ineens af
```python
import pprint
message = 'It was a bright cold day in April, and the clocks were striking thirteen.'
count = {}
for character in message:
count.setdefault(character, 0)
count[character] = count[character] +1
pprint.pprint(count)
```
```
{' ': 13,
',': 1,
'.': 1,
'A': 1,
'I': 1,
'a': 4,
'b': 1,
'c': 3,
'd': 3,
'e': 5,
'g': 2,
'h': 3,
'i': 6,
'k': 2,
'l': 3,
'n': 4,
'o': 2,
'p': 1,
'r': 5,
's': 3,
't': 6,
'w': 2,
'y': 1}
```
Soms wil je, alleen als er nog geen waarde is een default waarde meegeven.
He zou dit als volgt kunnen doen:
```python
spam = {'name': 'Pooka', 'age' : 5}
if 'color' not in spam:
spam['color'] = 'black'
```
dit kan efficienter:
```python
spam = {'name': 'Pooka', 'age' : 5}
spam.setdefault('color', 'black')
```
Dit doet net hetzelfde
```python
message = 'It was a bright cold day in April, and the clocks were striking thirteen.'
count = {}
for character in message:
count.setdefault(character, 0)
count[character] = count[character] +1
print(count)
```
```
{'I': 1, 't': 6, ' ': 13, 'w': 2, 'a': 4, 's': 3, 'b': 1, 'r': 5, 'i': 6, 'g': 2, 'h': 3, 'c': 3, 'o': 2, 'l': 3, 'd': 3, 'y': 1, 'n': 4, 'A': 1, 'p': 1, ',': 1, 'e': 5, 'k': 2, '.': 1}
```
Errors zouden je programma niet moeten laten crashen.
Eén manier om dit op te vangen is via `try` en `except`:
Zie
```python
def spam(divideBy):
return 42/divideBy
print(spam(0))
```
```
return 42/divideBy
ZeroDivisionError: division by zero
```
vs
```python
def spam(divideBy):
try:
return 42/divideBy
except ZeroDivisionError:
print('Error: invalid argument.')
print(spam(0))
```
```
Error: invalid argument.
None
```
Uit automate the boring stuff - hoe werk ik met [[Spreadsheet]]-Excel in Python
We werken met `openpyxl2.6.2` dus: `pip install --user -U openpyxl==2.6.2`
Volledige uitleg staat [[hier|https://openpyxl.readthedocs.io/en/stable/]]
!! Hoe laad ik een excelfile
`wb = openpyxl.load_workbook('example.xlsx')` - je krijgt dus een object terug
!! Welke sheets zitten er in de Excel
`wb.sheetnames`
!! Neem één sheet vast van het werkboek
`sheet = wb['Sheet3']`
!! Wat is de titel van de sheet die we vasthebben
`sheet.title`
!! Krijg de actieve sheet
`anotherSheet = wb.active`
!! Werken met cellen
```python
sheet = wb['Sheet1']
sheet['A1']
sheet['A1'].value
c = sheet['B1']
c.value
'Row %s, Column %s is %s' % (c.row, c.column, c.value)
'Cel %s is %s' % (c.coordinate, c.value)
sheet['C1'].value
sheet.cell(row=1, column=2)
sheet.cell(row=1, colum=2).value
```
!!! loopen door cellen
```python
fot i in range(1, 8, 2): #go through every other row:
print(i, sheet.cell(row=i, column=2).value)
```
!! krijg de max rij en kolom terug
```python
sheet.max_row
sheet.max_column
```
''pas op'' met `sheet.max_column` krijg je een integer terug, geen letter!
maar je kan deze omzetten:
!!! omvormen van column letters naar getallen en vice versa
* van letters naar cijfers: `openpyxl.utils.column_index_from_string()`
* van cijfers naar letters: `openpyxl.utils.get_column_letter()`
```python
import openpyxl
from openpyxl.utils import get_column_letter, column_index_from_string
get_column_letter(1)
get_column_letter(sheet.max_column)
column_index_from_string('AA')
```
!! Rijen en kolommen van een sheet krijgen
Je kan stukjes eruit knippen
vb: `tuple(sheet['A1':'C3'])` - deze tuple bestaat uit drie tupples, één voor elke rij
```python
for rowOfCellObjects in sheet['A1':'C3']:
for cellObj in rowOfCellObjects:
print(cellObj.coordinate, cellObj.value)
print('--- END OF ROW ---')
```
!! krijg de waarde uit een specifieke rij of kolom
Dit kun je met `row` of `column` maar je moet ze eerst naar een lijst omzetten
Om alle waarden uit column B te krijgen: `list(sheet.columns)[1]`
```python
for cellObj in list(sheet.columns)[1]:
print(cellObj.value)
```
[[Python - Excel - Voorbeeldprogramma dat gaat lezen]]
```python
#! python3
# tabulates population and number of census tracts for each country
import openpyxl, pprint
print('Opening workbook...')
wb = openpyxl.load_workbook('censuspopdata.xlsx')
sheet = wb['Population by Census Tract']
countyData = {}
# TODO: fill in countyData with each county's population and tracts.
print('Reading rows...')
for row in range(2, sheet.max_row + 1):
# Each row in the spreadsheet has data for one census tract.
state = sheet['B' + str(row)].value
county = sheet['C' + str(row)].value
pop = sheet['D' + str(row)].value
# Make sure the key for this state exists.
countyData.setdefault(state, {})
# Make sure the key for this country in the state exists.
countyData[state].setdefault(county, {'tracts': 0, 'pop': 0})
# Each row represents one census tract, so increment by one
countyData[state][county]['tracts'] +=1
# Increase the county pop by the pop in the census tract.
countyData[state][county]['pop'] += int(pop)
# TODO: open a new text file and write the contents of countyData to it.
print('Writing results...')
resultFile = open('census2010.py', 'w')
resultFile.write('allData = ' + pprint.pformat(countyData))
resultFile.close()
print('Done')
```
Module om met [[Spreadsheet]] Google Sheets te werken
quickstart ui de [[doc|https://ezsheets.readthedocs.io/en/latest/]]
```python
>>> import ezsheets
>>> ss = ezsheets.createSpreadsheet(title='My New Spreadsheet')
>>> ss.sheetTitles
('Sheet1',)
>>> ss.sheets
(Sheet(sheetId=0, title='Sheet1', rowCount=1000, columnCount=26),)
>>> sh = ss.sheets[0]
>>> sh.title
'Sheet1'
>>> sh.updateRow(1, ['Name', 'Species', 'Color', 'Weight'])
>>> sh.updateRow(2, ('Zophie', 'Cat', 'Gray', 11))
>>> sh[1, 1]
'Name'
>>> sh[1, 2]
'Zophie'
>>> sh['A2']
'Zophie'
>>> sh['A2'] = 'Pooka'
>>> sh['A2']
'Pooka'
```
`import ezsheets`
`ss = ezsheets.Spreadsheet('spreadsheetcode')`
`ss.title`
`ezsheets.createSpreadsheet('titel van een nieuwe spreadsheeet')`
`ezsheet.upload('excel.xlsx')`
`ezsheets.listSpreadsheets()`
`ss.title = 'Nieuwe titel'`
`ss.spreadsheetID` read-only
`ss.url` read-only
`ss.sheetTitles`
`ss.sheets`
`ss[0]`
`del.ss[0]`
`ss.dowloadAsExcel()` ODS; CSV, TSE, PDF, HTML
`ss.delete()` of `ss.delete(permanent=True)`
The getColumn(), getRow(), updateColumn(), and updateRow() methods will, respectively, read and write columns and row
To get all of the rows at once, call the getRows() method to return a list of lists. The inner lists inside the outer list each represent a single row of the sheet. You can modify the values in this data structure to change the produce name, pounds sold, and total cost of some of the rows. Then you pass it to the updateRows() method by entering the following into the interactive shell
```PYTHON
with open('pi_digits.txt') as file_object:
contents = file_object.read()
print(contents) #drukt heel de file af, maar print voegt automatisch een newline aan het einde toe
print(contents.rstrip())
```
Zo kun je een file openen, en door python automatisch laten sluiten<br>
je moet dan niet close('filename') doen - foutief closen kan gevaarlijk zijn<br>
Je krijgt dan een object terug met de inhoud van de file<br>
in de tweede lijn wordt de inhoud dan effectief gelezen
je kan ook relatieve en absolute paths gebruiken om je file te zoeken<br>
altijd forward slashes gebruiken
---
Lees line bij line<br>
Kun je gemakelijk met een for loop
```python
filename = 'pi_digits.txt'
with open(filename) as file_object:
for line in file_object:
print(line.rstrip())
```
Als je with gebruikt om een file te openen is de content van die file alleen maar beschikbaar in de with-blok<br>
Wil je ze de inhoud toch overal wilt aanraken, in heel je programma kun je de inhoud in een list opslaan in de with-block<br>
Deze lijst kun je ook buiten de with-block gebruiken
```python
filename = 'pi_digits.txt'
with open(filename) as file_object:
lines = file_object.readlines()
print(lines)
for line in lines:
print(line.rstrip())
```
```python
filename = 'programming.txt'
with open(filename, 'a') as file_object:
file_object.write("I also love finding meaning in large datasets.\n")
file_object.write("I love creating apps that can run in a browser.\n")
```
je kan, bij open, door het tweede argument een file ook aanpassen:
* `'r'` is read mode (default)
* `'w'` is write mode, bestaat het bestand niet, dan wordt het aangemaakt, bestaat het wel dan overschrijven we de inhoud
* `'a'` is append mode, we voegen op het einde van het bestand niewe zaken toe, bestaat het bestand niet, dan maken we het aan.
* `'r+'` is read and write mode
Nadat je [[Python - File - Lezen van de inhoud]] kun je met de inhoud werken.
vb:
```python
filename = 'pi_digits.txt'
with open(filename) as file_object:
lines = file_object.readlines()
pi_string = ''
for line in lines:
pi_string += line.strip()
print(pi_string)
print(len(pi_string))
```
```python
filename = 'pi_million_digits.txt'
with open(filename) as file_object:
lines = file_object.readlines()
pi_string = ''
for line in lines:
pi_string += line.strip()
birthday = input("Enter your birthday, in the form ddmmyy: ")
if birthday in pi_string:
print("Your birthday appears in the first million digits of pi!")
else:
print("Your birthday does not appear in the first million digits of pi.")
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
om makkelijk te werken met filepaths is het handig om `Path` te gebruiken:
```Python
from pathlib import Path
Path('spam', 'bacon', 'eggs')
```
Maakt een path die werkt op het OS waar het programma op draait va `spam/bacon/eggs` op een mac of linux en `spam\bacon\eggs` op windows
Je kan ook :
```python
>>> from pathlib import Path
>>> myFiles = ['accounts.txt', 'details.csv', 'invite.docx']
>>> for filename in myFiles:
print(Path(r'C:\Users\Al', filename))
C:\Users\Al\accounts.txt
C:\Users\Al\details.csv
C:\Users\Al\invite.docx
```
en met `/` hang je paths aan elkaar:
```python
>>> homeFolder = r'C:\Users\Al'
>>> subFolder = 'spam'
>>> homeFolder + '\\' + subFolder
'C:\\Users\\Al\\spam'
>>> '\\'.join([homeFolder, subFolder])
'C:\\Users\\Al\\spam'
```
Wil je de current working directory of home, dan kom je daar met `Path` makkelijk aan:
```python
>>> from pathlib import Path
>>> import os
>>> Path.cwd()
WindowsPath('C:/Users/Al/AppData/Local/Programs/Python/Python37')'
>>> os.chdir('C:\\Windows\\System32')
>>> Path.cwd()
WindowsPath('C:/Windows/System32'
>>> Path.home()
WindowsPath('C:/Users/Al')
```
Dit kan gemakkelijk met `os.makedirs()`:
```python
import os
os.makedirs('C:\\delicious\\walnut\\waffles')
```
maakt een `C:\delicious` met daarin de map walnut en daarin de map waffles
Je kan ook één map maken met `Path`:
```python
>>> from pathlib import Path
>>> Path(r'C:\Users\Al\spam').mkdir()
```
```Python
>>> p = Path('C:/Users/Al/spam.txt')
>>> p.anchor
'C:\\'
>>> p.parent # This is a Path object, not a string.
WindowsPath('C:/Users/Al')
>>> p.name
'spam.txt'
>>> p.stem
'spam'
>>> p.suffix
'.txt'
>>> p.drive
'C:'
```
Functies zijn eigenlijk mini programma's die hergebruikt kunnen worden.
We maken ze met `def`
```python
def hello():
print('Hallo')
print('Salut')
hello()
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Parameters/variabelen die in een functie zitten zitten in de local scope van die functie
parameters/variabelen die in heel het programma zitten, buiten alle functies zitten in de global scope.
Wanneer een scope niet meer bestaat, bestaan die variabelen ook niet meer.
Local variabelen kunnen dus niet in de global scope gebruikt worden.
Local scopes kunnen geen variabelen gebruiken in andere local scopes.
Global variabelen kunnen gelezen worden in een local scope
```python
def hello(naam):
print(f'Hallo {naam}')
hello('Shaggy')
```
Dan moet je de variabele oproepen met `global`
```python
def spam():
global eggs
eggs = 'spam'
eggs = 'global'
spam()
print(eggs)
```
`spam`
Wil je een waarde retourneren dan moet je `return` gebruiken.
```python
import random
def getAnswer(answerNumber):
if answerNumber == 1:
return 'It is certain'
elif answerNumber == 2:
return 'It is decidedly so'
elif answerNumber == 3:
return 'Yes'
elif answerNumber == 4:
return 'Reply hazy try again'
elif answerNumber == 5:
return 'Ask again later'
elif answerNumber == 6:
return 'Concentrate and ask again'
elif answerNumber == 7:
return 'My reply is no'
elif answerNumber == 8:
return 'Outlook not so good'
elif answerNumber == 9:
return 'Very doubtful'
r = random.randint(1, 9)
fortune = getAnswer(r)
print(fortune)
```
```python
grid = [['.', '.', '.', '.', '.', '.'],
['.', '0', '0', '.', '.', '.'],
['0', '0', '0', '0', '.', '.'],
['0', '0', '0', '0', '0', '.'],
['.', '0', '0', '0', '0', '0'],
['0', '0', '0', '0', '0', '.'],
['0', '0', '0', '0', '.', '.'],
['.', '0', '0', '.', '.', '.'],
['.', '.', '.', '.', '.', '.']]
for y in range(len(grid[0])):
for x in range(len(grid) - 1):
print(grid[x][y], end = '')
print(grid[-1][y])
```
```python
import random
numberOfStreaks = 0
for experimentNumber in range(10000):
# Code that creates a list of 100 'heads' or 'tails' values.
flips = []
for coinflip in range(100):
if random.randint(0, 1) == 0:
flips.append('H')
else:
flips.append('T')
# Code that checks if there is a streak of 6 heads and tails
huidigeStreak = 1
vorige_flip = None
for flip in flips:
if flip == vorige_flip:
huidigeStreak +=1
if huidigeStreak == 6:
numberOfStreaks +=1
break
else:
huidigeStreak = 1
vorige_flip = flip
print('Chance of streak : %s%%' % (numberOfStreaks / 100))
print(numberOfStreaks)
```
---
```python
import random
aantalExperimenten = input('Hoeveel keer wil je het experiment herhalen? ')
aantalFlips = input('Hoeveel keer moeten we per keer opgooien? ')
aantalGelijk = input('Hoe lang moet de streak zijn ')
aantalExperimenten = int(aantalExperimenten)
aantalFlips = int(aantalFlips)
aantalGelijk = int(aantalGelijk)
numberOfStreaks = 0
for experimentNumber in range(aantalExperimenten):
# Code that creates a list of 100 'heads' or 'tails' values.
flips = []
for coinflip in range(aantalFlips):
if random.randint(0, 1) == 0:
flips.append('H')
else:
flips.append('T')
# Code that checks if there is a streak of 6 heads and tails
huidigeStreak = 1
vorige_flip = None
for flip in flips:
if flip == vorige_flip:
huidigeStreak +=1
if huidigeStreak == aantalGelijk:
numberOfStreaks +=1
break
else:
huidigeStreak = 1
vorige_flip = flip
print('Chance of streak : %s%%' % (numberOfStreaks / aantalExperimenten*100))
```
Een `IF` statement heb je nodig om na te gaan Of iets aan een voorwaarde voldoet.
Een conditionele test. Elke expressie kan geëvalueerd worden naar `TRUE` or `FALSE`<br>
Indien `WAAR` dan zal de code uitgevoerd worden.<br>
Indien`ONWAAR` zal de code niet uitgevoerd worden.
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```python
nummers = list(range(1, 10))
for nummer in nummers:
if nummer > 3:
print(f"{nummer}th")
elif nummer == 3:
print(f"{nummer}rd")
elif nummer == 2:
print(f"{nummer}nd")
elif nummer == 1:
print(f"{nummer}st")
```
---
```python
bestaande_users = ['shaggy', 'orAnje', 'zwartwit', 'rattekop', 'mira']
nieuwe_users = ['SHAGgy', 'dusty', 'oranje', 'fluffy']
kopie_bestaande_users = []
for bestaande_user in bestaande_users:
kopie_bestaande_users.append(bestaande_user.lower())
for nieuwe_user in nieuwe_users:
if nieuwe_user.lower() not in kopie_bestaande_users:
print(f"{nieuwe_user} is beschikbaar.")
else:
print(f"{nieuwe_user} is niet meer beschikbaar.")
```
`leeftijd = 12`
```python
>>> if leeftijd < 4:
print("Jij mag gratis binnen")
elif leeftijd< 18:
print("Jij moet 10€ betalen")
else:
print("Jij bent te oud")
Jij moet 10€ betalen
```
Je kan zoveel `elif` blokken gebruiken als je wilt
De `else` blok is strikt genomen niet noodzakelijk
Bij de eerste test die `WAAR` is wordt die code uitgevoerd en springen we naar het einde van de statement
```python
if leeftijd < 4:
prijs = 0
elif leeftijd < 18:
prijs = 10
elif leeftijd < 25:
prijs = 20
elif leeftijd < 65:
prijs = 40
elif leeftijd >= 65:
prijs = 50
>>> print (f"Jij moet {prijs}€ betalen")
Jij moet 10€ betalen
```
Kunnen er meerdere condities `WAAR` zijn die je allemaal moet hebben kun je met simpele enkelvoudige `IF`-statements werken
```python
requested_toppings = ['mushrooms', 'green peppers', 'extra cheese']
for requested_topping in requested_toppings:
if requested_topping == 'green peppers':
print("Sorry, we are out of green peppers right now")
else:
print(f"Adding {requested_topping}.")
print("\nFinished making your pizza!")
```
```python
requested_toppings =[]
if requested_toppings:
for requested_topping in requested_toppings:
print(f"Adding {requested_topping}")
print("\Finished making your pizza!")
else:
print("Are you sure you want a plain pizza?")
```
`Are you sure you want a plain pizza?`
De code op lijn drie geeft `WAAR` terug als er iets in de lijst staat, `ONWAAR` als er wel iets in de lijst staat.
Je kan natuurlijk ook met meerdere lijsten werken:
```python
available_toppings = ['mushrooms', 'olives', 'green peppers', 'pepperoni', 'pineapple', 'extra cheese']
requested_toppings = ['mushrooms', 'french fries', 'extra cheese']
for requested_topping in requested_toppings:
if requested_topping in available_toppings:
print(f"Adding {requested_topping}.")
else:
print(f"Sorry, we don't have {requested_topping}.")
print("\nFinished making your pizza!")
```
```
Adding mushrooms.
Sorry, we don't have french fries.
Adding extra cheese.
Finished making your pizza!
```
met `==`
```python
>>> car = 'bmw'
>>> car == 'bmw'
True
>>> car == 'audi'
False
```
Je kan ook onafhankelijk van de hoofd/kleine letters testen.
Bijvoorbeeld:
```python
>>> car = 'Audi'
>>> car == 'audi'
False
>>> car.lower() == 'audi'
True
>>> car
'Audi'
```
Kan met `and` en `or`
```python
>>> age_0 = 22
>>> age_1 = 5
>>> age_0 >= 21 and age_1 >= 21
False
>>> age_0 >= 21 or age_1 >= 21
True
```
Doe je met `!=`
```python
>>> antwoord = 24
>>> if antwoord != 42:
print('dat is niet het antwoord')
dat is niet het antwoord
```
```python
>>> katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
>>> 'shaggy' in katten
True
>>> 'dusty' in katten
False
```
```python
>>> katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
>>> kat = 'dusty'
>>> if kat not in katten:
print(f"{kat.title()}, jou ken ik alleen uit verhalen")
Dusty, jou ken ik alleen uit verhalen
```
```python
import json
# Load the username, if it has been stored previously.
# Otherwise, prompt for the username and store it.
filename = 'username.json'
try:
with open(filename) as f:
username = json.load(f)
except FileNotFoundError:
username = input("What is your name? ")
with open(filename, 'w') as f:
json.dump(username, f)
print(f"We'll remember you when you come back, {username}!")
else:
print(f"Welcome back, {username}")
```
of beter:
```python
import json
def get_stored_username():
"""Get stored username if available"""
filename = 'username.json'
try:
with open(filename) as f:
username = json.load(f)
except FileNotFoundError:
return None
else:
return username
def get_new_username():
"""Prompt for new username"""
username = input("What is your name? ")
filename = 'username.json'
with open(filename, 'w') as f:
json.dump(username, f)
return username
def greet_user():
"""Greet the user by name"""
username = get_stored_username()
if username:
print(f"Welcome back, {username}")
else:
username = get_new_username()
print(f"We'll remember you when you come back, {username}!")
greet_user()
```
`lambda x: [OUTCOME IF TRUE] if [CONDITIONAL] else [OUTCOME IF FALSE]`
https://www.codecademy.com/articles/lambda-functions
```python
def lijstNaarZin(lijst):
zin = ''
if len(lijst) >= 2:
for item in lijst[:len(lijst)-2]:
zin = zin + item.title() + ', '
zin = zin + lijst[-2].title() + ' en ' + lijst[-1].title() + '.'
elif len(lijst) == 1:
zin = lijst[0].title() + '.'
return(zin)
spam = []
print(lijstNaarZin(spam))
```
Een list is een geordende collectie van items. Deze staan tussen rechte haakjes `[]`
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten)
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Doe je met `len()`
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
len(katten)
```
Geeft `5` terug, we beginnen te tellen bij 1 (en niet nul zoals een index)
`enumerate()` geeft twee wardes terug: de index van een item in een lijst + het item zelf
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(f'{katten}\n')
for index, kat in enumerate(katten):
print(f'Kat met index {index} is: {kat.title()}.')
```
```
['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
Kat met index 0 is: Shaggy.
Kat met index 1 is: Oranje.
Kat met index 2 is: Zwartwit.
Kat met index 3 is: Rattekop.
Kat met index 4 is: Mira.
```
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
message = f"Mijn grootste vriend is {katten[0].title()}."
print(message)
```
Je kan elk element apart oproepen door de index mee te geven.
Deze begint vanaf `0`:
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[0])
```
geeft `shaggy` terug
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[3])
```
geeft `rattekop` terug
Omgedraaid werkt het ook index `-1` geeft het laatste element terug
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[-1])
```
dus `mira`
index `-2` het voorlaatste element, enzoverder
je kan ook [[Python - Strings - Verander hoofd en kleine letters]]
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[2].title())
```
doen, dan krijg je `Oranje` terug, met een hoofdletter dus
---
Je kan ook de index van een element opvragen:
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten.index('zwartwit'))
```
```
2
```
---
Een waarde van een list in een list
```python
tableData = [
['apples', 'oranges', 'cherries', 'banana'],
['Alice', 'Bob', 'Carol', 'David'],
['dogs', 'cats', 'moose', 'goose']
]
print(tableData[0][0])
```
```
apples
```
Dat is heel gemakkelijk, let op `:` en het ''inspringen''!
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
for kat in katten:
print(kat)
```
geeft
```
shaggy
oranje
zwartwit
rattekop
mira
```
je kan natuurlijk zaken doen in een loop
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
for kat in katten:
print(f"{kat.title()}, is een kat!")
print(f"Jij bent een lieve kat, {kat.title()}")
```
geeft
```
Shaggy, is een kat!
Jij bent een lieve kat, Shaggy
Oranje, is een kat!
Jij bent een lieve kat, Oranje
Zwartwit, is een kat!
Jij bent een lieve kat, Zwartwit
Rattekop, is een kat!
Jij bent een lieve kat, Rattekop
Mira, is een kat!
Jij bent een lieve kat, Mira
```
Elke lijn die ingesprongen is zit in de loop,
Wil je iets doen na de loop, stop dan met het inspringen
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
for kat in katten:
print(f"{kat.title()}, is een kat!")
print(f"Jij bent een lieve kat, {kat.title()}")
print("\nAlle katten zijn lief")
```
```
Shaggy, is een kat!
Jij bent een lieve kat, Shaggy
Oranje, is een kat!
Jij bent een lieve kat, Oranje
Zwartwit, is een kat!
Jij bent een lieve kat, Zwartwit
Rattekop, is een kat!
Jij bent een lieve kat, Rattekop
Mira, is een kat!
Jij bent een lieve kat, Mira
Alle katten zijn lief
```
Lijsten zijn ideaal om nummers aan toe te voegen.
Er zijn verschillende manieren
!! `range()`
```python
for waarde in range(1,5):
print(waarde)
```
```
1
2
3
4
```
We beginnen dus te tellen vanaf de eerste waarde en stopt van zodra het de laatste waarde heeft bereikt
Geef je maar één waarde mee `range(6)` bijvoorbeeld, dan beginnen we vanaf nul te tellen en krijgen we dus 0,1,2,3,4,5 terug
Wil je er een lijst van maken:
```python
nummers = list(range(6))
print(nummers)
```
geeft `[0, 1, 2, 3, 4, 5]` terug
Geef je aan `range()` een derde waarde mee, dan zijn dit de intervals waartussen hij springt 2 neemt dus elke tweede waarde mee
```python
even_nummers = list(range(2, 11, 2))
print(even_nummers)
```
`[2, 4, 6, 8, 10]`
voorbeeld van een programmatje:
```python
squares =[]
for waarde in range(1, 11):
square = waarde ** 2
squares.append(square)
print(squares)
```
of korter:
```python
squares =[]
for waarde in range(1, 11):
squares.append(waarde ** 2)
print(squares)
```
of nog korter
```python
squares = [waarde**2 for waarde in range(1,11)]
print(squares)
```
`[1, 4, 9, 16, 25, 36, 49, 64, 81, 100]`
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
katten[-1] = 'dusty'
print(katten)
```
krijg je
`['shaggy', 'oranje', 'zwartwit', 'rattekop', 'dusty']`
terug
De waarde is dus aangepast in de lijst
`random.choice()` is kort voor `someList[random.randint(0, len(someList) -1]` geeft een random waarde van een lijst terug.
`random.shuffle()` shaffeled de items van een lijst random
```python
import random
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(random.choice(katten))
random.shuffle(katten)
print(katten)
```
```
mira
['zwartwit', 'rattekop', 'oranje', 'shaggy', 'mira']
```
```python
>>> waarde = [1, 2, 3, 4, 5, 6, 7, 8, 9, 0]
>>> min(waarde)
0
>>> max(waarde)
9
>>> sum(waarde)
45
```
Om een gedeelte van een lijst te gebruiken, geef je indexen mee
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[0:3])
```
geeft `['shaggy', 'oranje', 'zwartwit']`
We stoppen, net zoals `range` dus voor index 3, we geven dus index 0, 1 en 2 weer.
Je moet natuurlijk niet bij index 0 beginnen
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[1:4])
```
`['oranje', 'zwartwit', 'rattekop']`
Je kan ook van het begin beginnen zonder index 0 mee te geven:
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[:4])
```
`['shaggy', 'oranje', 'zwartwit', 'rattekop']`
Of tot het einde gaan zonder dat je weet hoe lang je list is:
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten[2:])
```
`['zwartwit', 'rattekop', 'mira']`
Je kan ook een derde index toevoegen, dit geeft het aantal stappen weer dat je moet overslaan
List kun je ook kopiëren zodat je er daarna iets helemaal anders mee kunt doen
Dit doe je door heel de lijst te slicen
```python
mijn_favoriete_katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
huisgenoten_favoriete_katten = mijn_favoriete_katten[:]
mijn_favoriete_katten.append('cesar')
huisgenoten_favoriete_katten.append('dusty')
print("Mijn favoriete katten zijn")
print(mijn_favoriete_katten)
print("\nMijn huisgenoten hun favoriete katten zijn")
print(huisgenoten_favoriete_katten)
```
geeft
```
Mijn favoriete katten zijn
['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira', 'cesar']
Mijn huisgenoten hun favoriete katten zijn
['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira', 'dusty']
```
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print("De drie eerste katten zijn:")
for kat in katten[:3]:
print(kat.title())
```
```
De drie eerste katten zijn:
Shaggy
Oranje
Zwartwit
```
met `sort()` sorteer je een lijst permanent - alfabetisch
Je kan ook `sort(reverse=True)` doen
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
katten.sort()
print(katten)
katten.sort(reverse=True)
print(katten)
```
geeft eerst `['mira', 'oranje', 'rattekop', 'shaggy', 'zwartwit']` <br>dan `['zwartwit', 'shaggy', 'rattekop', 'oranje', 'mira']`
De lijst is permanent van volgorde gewijzigd.
Je kan ook een lijst tijdelijk veranderen met `sorted()`
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print("De originele lijst:")
print(katten)
print("\nEen gesorteerde lijst")
print(sorted(katten))
print("\nOpnieuw de origenle lijst")
print(katten)
print("\nEen gesorteerde lijst maar omgedraaid")
print(sorted(katten, reverse=True))
```
uitkomst
```python
De originele lijst:
['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
Een gesorteerde lijst
['mira', 'oranje', 'rattekop', 'shaggy', 'zwartwit']
Opnieuw de origenle lijst
['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
Een gesorteerde lijst maar omgedraaid
['zwartwit', 'shaggy', 'rattekop', 'oranje', 'mira']
```
Je kan een lijst ook permanent omdraaien met `reverse()`
```Python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
print(katten)
katten.reverse()
print(katten)
```
Geeft
```python
['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
['mira', 'rattekop', 'zwartwit', 'oranje', 'shaggy']
```
na een [[Python - Lists - Sorteren]] met split werkt `reverse()` om de een of andere reden niet.<br>
Wat wel werkt is `[::-1]`
zie bijvoorbeeld:
```python
def achterstevorenZin(zin):
zin = zin.split()[::-1]
zin = ' '.join(zin)
return zin
zin = "Pipo de Clown"
print(achterstevorenZin(zin))
```
`Clown de Pipo`
Tuples zijn list die je niet kunt wijzigen.
Worden gedefinieerd door ronde haakjes.
```python
dimensies = (200, 50)
print(dimensies[0]
print(dimensies[1]
```
Als je een tuple maakt met 1 element moet je altijd ene komma erachter zetten `mijn_t = (3,)`
Je kan door een tuple lopen.
Wil je nieuwe waarden toekennen, dan moet je heel de tuple overschrijven
Dit kan met `del()` als je de positie weet en er niets meer mee wilt doen:
```python
honden = ['lassy', 'bobby', 'ratteplan', 'bessy']
del honden[3]
print(honden)
```
`['lassy', 'bobby', 'ratteplan']`
Alsje na het verwijderen van het element nog iets mee wilt doen gebruik je `pop()` <br>
zonder index doe je dit op het laatste element:
```python
honden = ['lassy', 'bobby', 'ratteplan', 'bessy']
laatste_hond = honden.pop()
print(f"De laatste hond was {laatste_hond.title()}.")
print(honden)
```
Geeft als resultaat:
`De laatste hond was Bessy.`
en
`['lassy', 'bobby', 'ratteplan']`
Je kan ook een ander dan het laatste element poppen
```
honden = ['lassy', 'bobby', 'ratteplan']
eerste_hond = honden.pop(0)
print(f"De eerste hond was {eerste_hond.title()}.")
print(honden)
```
`De eerste hond was Lassy.`
en
`['bobby', 'ratteplan']`
Je kan met `remove()` ook een element op waarde verwijderen en daar mee werken:
```python
honden = ['bobby', 'ratteplan']
te_dom = 'ratteplan'
honden.remove(te_dom)
print(honden)
print(f"\n {te_dom.title()} hoort niet in mijn lijstje van slimme honden thuis.")
```
geeft
`['bobby']` en `Ratteplan hoort niet in mijn lijstje van slimme honden thuis.` terug.
''pas op'' `remove()` verwijdert alleen maar de eerste keer dat het een waarde in een lijstje tegenkomt. Wil je ze allemaal verwijderen, dan moet je een loop gebruiken.
aan het einde van een lijst met `append()`
```python
katten = ['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira']
katten.append('dusty')
print(katten)
```
geeft
`['shaggy', 'oranje', 'zwartwit', 'rattekop', 'mira', 'dusty']`
terug.
Dit kun je dus gebruiken om een lege lijst te vullen
```python
honden = []
honden.append('bobby')
honden.append('ratteplan')
honden.append('bessy')
print(honden)
```
`['bobby', 'ratteplan', 'bessy']`
Ergens anders in een lijst kun je iets invoegen met `insert()`
```python
honden.insert(0, 'lassy')
print(honden)
```
voegt `lassy` toe aan index `0` helemaal aan het begin dus
`['lassy', 'bobby', 'ratteplan', 'bessy']`
Andere indexnummers kun je ook gebruiken natuurlijk.
---
Je kan ook concatineren:
Zie bijvoorbeeld
```python
katNaam = []
while True:
print('\nGeef de naam van een kat ' +str(len(katNaam) + 1) + ' in.\nOf geef niets in om te stoppen.\n')
naam=input()
if naam == '':
break
katNaam = katNaam + [naam]
print('De naam van de katten zijn:')
for naam in katNaam:
print(f'\t{naam}')
```
```python
zin = "g fmnc wms bgblr rpylqjyrc gr zw fylb. rfyrq ufyr amknsrcpq ypc dmp. bmgle gr gl zw fylb gq glcddgagclr ylb rfyr'q ufw rfgq rcvr gq qm jmle. sqgle qrpgle.kyicrpylq() gq pcamkkclbcb. lmu ynnjw ml rfc spj."
alfabet1 = "abcdefghijklmnopqrstuvwxyz"
alfabet2 = "cdefghijklmnopqrstuvwxyzab"
oplossingstabel = zin.maketrans(alfabet1, alfabet2)
print(zin.translate(oplossingstabel))
```
```
i hope you didnt translate it by hand. thats what computers are for. doing it in by hand is inefficient and that's why this text is so long. using string.maketrans() is recommended. now apply on the url.
```
Elke module is (een verzamling van) kleine python programma's die je kan hergebruiken in je programma's.
Er zijn er standaard gemaakt, je kan er zelf maken.
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Voordat je een module gebruikt moet je deze importeren via een `import` statement
* `import` keyword
* naam van de module
* eventueel meer modules, gescheiden met een komma
vb
```python
import random
for getal in range(5):
print(random.randint(1, 10))
```
of `from random import *` kan ook
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```python
import json
#Explore the structure of the data
filename = 'data/eq_data_1_day_m1.json'
with open(filename) as f:
all_eq_data = json.load(f)
readable_file = 'data/readable_eq_data.json'
with open(readable_file, 'w') as f:
json.dump(all_eq_data, f, indent=4)
```
```python
csv_array = np.genfromtxt('sample.csv', delimiter=',')
```
simpeler:
```Python
# With a list
l = [1, 2, 3, 4, 5]
l_plus_3 = []
for i in range(len(l)):
l_plus_3.append(l[i] + 3)
```
vs
```python
# With an array
a = np.array(l)
a_plus_3 = a + 3
```
The same is true for subtraction, multiplication, and division.
en
```python
>>> a = np.array([1, 2, 3, 4, 5])
>>> b = np.array([6, 7, 8, 9, 10])
>>> a + b
array([ 7, 9, 11, 13, 15])
```
2dimensional array
```python
np.array([[92, 94, 88, 91, 87],
[79, 100, 86, 93, 91],
[87, 85, 72, 90, 92]])
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```python
import pandas as pd
df = pd.read_csv('jtest.csv', thousands='.', decimal=',', delimiter = ';', encoding='Latin-1'))
print(df.info())
df['Beschikbaar'] = df['Betaald']-df['GestortT']
print(df.info())
df.to_excel('test.xlsx')
```
df.head(10)
https://www.gormanalysis.com/blog/python-pandas-for-your-grandpa/
ZIe ook [[ Python - Modules - Pandas - Calculating Column Statistics]]
Dit kunnen we makkelijk zonder te loopen in pandas met `.groupby`
`df.groupby('column1').column2.measurement()`
we maken een nieuwe series aan, geen dataframe.
Wil je toch een dataframe (aan te raden) dan doe je het volgende:
`df.groupby('column1').column2.measurement().reset_index()`
Wil je bijvoorbeeld een aantal weten van iets dat voorkomt:
`teas_counts = teas.groupby('category').id.count().reset_index()`
je kan dan de kolom id (die eigenlijk telt vernaderen naar count:
`teas_counts = teas_counts.rename(columns={"id": "counts"})`
WIllen we moeilijkere berekeningen doen op een kolom dan kunnen we dit met `apply` vb:
```python
# np.percentile can calculate any percentile over an array of values
high_earners = df.groupby('category').wage
.apply(lambda x: np.percentile(x, 75))
.reset_index()
```
Will je aggregeren met meerdere kolommen gebruik dan lijsten:
`df.groupby(['Location', 'Day of Week'])['Total Sales'].mean().reset_index()`
```python
# np.percentile can calculate any percentile over an array of values
high_earners = df.groupby('category').wage
.apply(lambda x: np.percentile(x, 75))
.reset_index()
```
`df.column_name.command()`
|!Command|!Description|
|mean|gemiddelde|
|std|standaardafwijking|
|median|mediaan|
|max|grootste waarde|
|min|kleinste waarde|
|count|aantal waarden|
|nunique|aantal unieke waarden|
|unique|lijst van de unieke waarden|
hoeveel zijn er NULL?
`df[df.column1.isnull()]`
`pd.concat([df1, df2, df3, ...])`. This method only works if all of the columns are the same in all of the DataFrames.
`new_df = pd.merge(orders, customers)`
The .merge method looks for columns that are common between two DataFrames and then looks for rows where those column’s values are the same. It then combines the matching rows into a single row in a new table.
The merge will check all columns that match between the two dataframes if they share more than one column name.
By default, if we run the pd.merge() method, it performs an inner join. With an inner join, all values of every matching column must match in order for the rows to be returned.
```python
df1 = pd.DataFrame({
'id': [1, 2, 3],
'name': ['Alice', 'Bob', 'Carl']
})
df2 = pd.DataFrame({
'id': [1, 2, 3],
'name': ['David', 'Elsa', 'Carl']
})
merged = pd.merge(df1, df2)
print(merged)
# id name
# 0 3 Carl
```
`big_df = orders.merge(customers)\
.merge(products)`
Zo kun je meerdere dataframes mergen, de een na de andere
soms moet je je sleutels ook on the fly hernoemen om ze juist te kunnen linken:
```python
pd.merge(
orders,
customers.rename(columns={'id': 'customer_id'}))
```
If we don’t want to do that, we have another option. We could use the keywords left_on and right_on to specify which columns we want to perform the merge on. In the example below, the “left” table is the one that comes first (orders), and the “right” table is the one that comes second (customers). This syntax says that we should match the customer_id from orders to the id in customers.
```python
pd.merge(
orders,
customers,
left_on='customer_id',
right_on='id')
```
```python
pd.merge(
orders,
customers,
left_on='customer_id',
right_on='id',
suffixes=['_order', '_customer']
)
```
Yes, you can perform a merge on one column, or on multiple specified columns, by passing in a list of the column names for each dataframe.
When listing multiple column names, it will only return rows for which all the column values match. Furthermore, the number of columns listed must match, and the order they are listed will matter.
```python
# This will match the values for
# column "a" with "c"
# and column "b" with "d".
pd.merge(
df1,
df2,
left_on=["a", "b"],
right_on=["c", "d"]
)
```
lijnen die we niet kunnen mergen worden gedropt
Is ze klein dan kun je
```python
print(df)
```
doen
Is ze groot dan zijn
```python
df.head()
```
en
```python
df.info()
```
beter geschikt
`pd.merge(company_a, company_b, how='outer')`
After performing an outer merge, missing values will become filled with None or nan by default, and there is no way to set another value during this step.
After the merge, replacing these is a bit easier. You can utilize the fillna() method, which will replace all missing or nan values with another value you specify.
`
# Replaces all nan values with 0
df.fillna(0, inplace=True)`
`pd.merge(company_a, company_b, how='left')`
`pd.merge(company_a, company_b, how="right")`
```python
df.pivot(columns='ColumnToPivot',
index='ColumnToBeRows',
values='ColumnToBeValues')
```
```python
# First use the groupby statement:
unpivoted = df.groupby(['Location', 'Day of Week'])['Total Sales'].mean().reset_index()
# Now pivot the table
pivoted = unpivoted.pivot(
columns='Day of Week',
index='Location',
values='Total Sales')
```
Just like with groupby, the output of a pivot command is a new DataFrame, but the indexing tends to be “weird”, so we usually follow up with .reset_index().
```python
pd.read_csv('my-csv-file.csv')
```
```python
df.to_csv('new-csv-file.csv')
```
```python
import codecademylib
import pandas as pd
df = pd.DataFrame([
['January', 100, 100, 23, 100],
['February', 51, 45, 145, 45],
['March', 81, 96, 65, 96],
['April', 80, 80, 54, 180],
['May', 51, 54, 54, 154],
['June', 112, 109, 79, 129]],
columns=['month', 'clinic_east',
'clinic_north', 'clinic_south',
'clinic_west']
)
df2 = df.loc[[1, 3, 5]]
print(df2)
df3 = df2.reset_index()
print(df3)
df2 = df2.reset_index(drop=True)
print(df2)
```
```python
df = pd.DataFrame([
['January', 100, 100, 23, 100],
['February', 51, 45, 145, 45],
['March', 81, 96, 65, 96],
['April', 80, 80, 54, 180],
['May', 51, 54, 54, 154],
['June', 112, 109, 79, 129]],
columns=['month', 'clinic_east',
'clinic_north', 'clinic_south',
'clinic_west']
)
clinic_north = df['clinic_north']
clinics = df[['clinic_north', 'clinic_south']] # opgelet! dubbele haakjes!!!!!
```
`df[df.MyColumnName == desired_column_value]`
`january = df[df['month'] == 'January']`
`df[(df.age < 30) | (df.name == 'Martha Jones')]`
`march_april = df[(df['month'] == 'March') | (df['month'] == 'April')]`
`df[df.name.isin(['Martha Jones',
'Rose Tyler',
'Amy Pond'])]`
`january_february_march = df[df['month'].isin(['January', 'February', 'March'])]`
```python
orders = pd.read_csv('shoefly.csv')
#print(head(orders))
emails = orders['email']
frances_palmer = orders[(orders['first_name'] == 'Frances') & (orders['last_name'] == 'Palmer')]
comfy_shoes = orders[orders['shoe_type'].isin(['clogs', 'boots', 'ballet flats'])]
```
Dat doe je met iloc[index] -- opgepast (eerste rij heeft index 0 - en dat is niet degene met de headers
```python
df = pd.DataFrame([
['January', 100, 100, 23, 100],
['February', 51, 45, 145, 45],
['March', 81, 96, 65, 96],
['April', 80, 80, 54, 180],
['May', 51, 54, 54, 154],
['June', 112, 109, 79, 129]],
columns=['month', 'clinic_east',
'clinic_north', 'clinic_south',
'clinic_west'])
march = df.iloc[2]
```
`orders.iloc[3:7]`
`orders.iloc[:4]`
`orders.iloc[-3:]` would select the rows starting at the 3rd to last row and up to and including the final row
Question
When using iloc to select ranges of Pandas dataframe rows, can we skip rows? For instance, can we choose to select every second or third row only?
Answer
You can! Selecting multiple rows using .iloc is very similar to list slicing in Python. There are a few ways to select rows using iloc.
To select just a single row, we pass in a single value, the index. For example with Python lists,
numbers[0] # First element of numbers list
And with Dataframes, we would do something similar,
orders.iloc[0].
Selecting a range of elements of a list and a range of rows in Pandas is also very similar.
# Python list
numbers[3:7]
# Pandas
orders.iloc[3:7]
To skip a certain number of indexes per index, we can include a third, step, value.
# This selects values at indexes 0, 3, 6, 9.
# Python list
numbers[0:10:3]
# This selects rows at indexes 0, 3, 6, 9
# Pandas
orders.iloc[0:10:3]
```python
import codecademylib
import pandas as pd
df = pd.DataFrame([
['January', 100, 100, 23, 100],
['February', 51, 45, 145, 45],
['March', 81, 96, 65, 96],
['April', 80, 80, 54, 180],
['May', 51, 54, 54, 154],
['June', 112, 109, 79, 129]],
columns=['month', 'clinic_east',
'clinic_north', 'clinic_south',
'clinic_west']
)
df2 = df.loc[[1, 3, 5]]
print(df2)
```
Create a new column called is_click, which is True if ad_click_timestamp is not null and False otherwise.
```Python
ad_clicks['is_click'] = ~ad_clicks.ad_click_timestamp.isnull()
```
The `~` is a `NOT `operator, and `isnull()` tests whether or not the value of ad_click_timestamp is null.
Om tekst van het klipbord te lezen
`pyperclip.copy()`
`pyperclip.paste()`
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Kortweg kommagetallen
Meestal geen stress, maar doen soms raar:
```python
>>> 0.2 + 0.1
0.30000000000000004
>>>
```
zijn nummers zonder kommas
deze kun je
* optellen `+`
* aftrekken `-`
* vermenigvuldigen `*`
* delen `/`
* tot de machtverheffen `**`
Haakjes en de volgorde van operations worden gerespecteerd
Als je twee nummers deelt krijg ke altijd een float:
```python
>>>> 4/2
2.0
```
ALs je Integers en floats mixed, krijg je altijd een float terug
```Python
>>> 1 + 2.0
3.0
>>> 2 * 3.0
6.0
>>> 3.0 ** 2
9.0
```
Deze worden genegeerd en zijn handig om grote getallen in je code voor te stellen
```python
>>> universe_age = 14_000_000_000
>>> print(universe_age)
14000000000
```
* https://www.online-python.com/
* https://trinket.io/
* https://replit.com/
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```
>>> message = "I really like dogs."
>>> message.replace('dog', 'cat')
'I really like cats.'
```
```python
from random import randint
mogelijkheden = ['schaar', 'steen', 'papier']
prompt = "\nWat kies je? schaar, steen of papier? "
active = True
punten_speler = 0
punten_computer = 0
print("Een simpel spelletje schaar, steen, papier tegen de computer.\nWie het eerst 5 punten heeft is gewonnen")
while active:
speler = input(prompt)
speler = speler.lower()
if speler not in mogelijkheden:
print("\nGeef geen onzin in, opnieuw!")
continue
else:
computer = mogelijkheden[randint(0, 2)]
print(f"\nDe computer koos {computer}")
if speler == computer:
print(f"\nDat is dus een gelijkspel\nJij hebt {punten_speler} punten, de computer {punten_computer}")
elif (speler == 'schaar' and computer == 'steen') or (speler == 'steen' and computer == 'papier') or (speler == 'papier' and computer == 'schaar'):
punten_computer += 1
print(f"\nDe computer wint.\nJij hebt {punten_speler} punten, de computer {punten_computer} punten.")
else:
punten_speler += 1
print(f"\nJij wint.\nJij hebt {punten_speler} punten, de computer {punten_computer} punten.")
if punten_speler >= 5:
print("\nAgelopen\nJij had de meeste punten en bent dus de winnaar")
active = False
elif punten_computer >= 5:
print("\nAgelopen\nDe computer had de meeste punten en is dus de winnaar")
active = False
else:
continue
```
Meeste van mijn info komt van [[hier|https://automatetheboringstuff.com/2e/chapter12/]]
Om Selenium aan de praat te krijgen moest ik de geckodriver van [[deze website|https://github.com/mozilla/geckodriver/releases]] downloaden en plaatsen in user/.local/bin - of dat dit een goede manier is weet ik niet, maar het werkt wel - waarschijnlijk staat ergens anders ook de geckodriver geïnstalleerd
```python
>>> from selenium import webdriver
>>> browser = webdriver.Firefox()
>>> browser.get('https://inventwithpython.com')
```
Op Automate the boring stuff staat nu (29/05/22) nog wat verouderde info - kijk dus zeker ook naar https://itsmycode.com/find-element-by-commands-are-deprecated/ vb:
```python
>>> from selenium.webdriver.common.by import By
>>> linkElem = browser.find_element(By.LINK_TEXT, 'Read Online for Free')
```
en
```python
>>> from selenium import webdriver
>>> from selenium.webdriver.common.by import By
>>> browser = webdriver.Firefox()
>>> browser.get('https://login.metafilter.com')
>>> userElem = browser.find_element(By.ID, 'user-name')
>>> userElem.send_keys('your_real_username_here')
>>> userElem.submit()
```
Je kan dit ook met wachtwoorden doen, maar zet die zeker niet in je source code - kijk bijvoorbeeld https://automatetheboringstuff.com/2e/chapter8/ om te zien hoe je dit kunt oplossen
```python
>>> from selenium import webdriver
>>> from selenium.webdriver.common.by import By
>>> from selenium.webdriver.common.keys import Keys
>>> browser = webdriver.Firefox()
>>> browser.get('https://nostarch.com')
>>> browser.refresh()
>>> htmlElem = browser.find_element(By.TAG_NAME, 'html')
>>> htmlElem.send_keys(Keys.END)
>>> htmlElem.send_keys(Keys.HOME)
```
met `str()`, `int()` en `'float()` kun je waarden omzetten
`str(29)` maakt van de integer 29 een string `'29'`
`int(1.25)` geeft `1`
`int('-99')` geeft `-99`
`float('3.14')` geeft `3.14`
`float(10)` geeft `10.0`
Een string is een serie van karakters.
Alles binnen enkele of dubbele vliegende haakjes is een string.
```Python
"This is a string."
'This is also a string.'
'I told my friend, "Python is my favorite language!"'
"The language 'Python' is named after Monty Python, not the snake."
"One of Python's strengths is its diverse and supportive community."
```
Zie ook
<<list-links "[tag[Python - Strings]]">>
```python
>>> 'Alice' + 'Bob'
'AliceBob'
>>> 'Alice' + 42
Traceback (most recent call last):
File "<pyshell>", line 1, in <module>
TypeError: can only concatenate str (not "int") to str
>>> 'Alice' + '42'
'Alice42'
>>> 'Alice' * 5
'AliceAliceAliceAliceAlice'
>>> 'Alice' * 5.0
Traceback (most recent call last):
File "<pyshell>", line 1, in <module>
TypeError: can't multiply sequence by non-int of type 'float'
>>> 'Alice' * 'Bob'
Traceback (most recent call last):
File "<pyshell>", line 1, in <module>
TypeError: can't multiply sequence by non-int of type 'str'
```
* `isalpha()` geeft `True` terug als er alleen maar letters zijn en de string niet leeg is
* `isalnum()` geeft `True` terug als er alleen maar letters en cijfers zijn en de string niet leeg is
* `isdecimal()` geeft `True` terug als er alleen maar cijfers zijn en de string niet leeg is
* `isspace()` geeft `True` terug als er alleen maar spaties, tabs en newlines zijn en de string niet leeg is
* `istitle()` geeft `True` terug als elk woord begint met een hoofdletter en gevolgd wordt door een kleine letter
Handig om user-input te vallideren
`join()` is called on a string, gets passed a list of strings, and returns a string
`', '.join(['cats', 'rats', 'bats'])` geeft `'cats, rats, bats'` terug.
`split()` doet het omgedraaide, it's called on a string value and returns a list of strings
`'MyABCnameABCisABCSimon'.split('ABC')` geeft `['My', 'name', 'is', 'Simon']` terug.
`split()` gebruiken we vaak om bij een nieuwe regel te splitten, dus `split('\n')`
Wil je escape-characters in je string afprinten, typ dan een `r` voor je string
```python
print(r'That is Carol\'s cat.')
```
```
That is Carol\'s cat.
```
Om tekst uit te lijnen
```python
def printPicnic(itemsDict, leftWidth, rightWidth):
print('PICNIC ITEMS'.center(leftWidth + rightWidth, '-'))
for k, v in itemsDict.items():
print(k.ljust(leftWidth, '.') + str(v).rjust(rightWidth))
picnicItems = {'sandwiches': 4, 'apples': 12, 'cups': 4, 'cookies': 8000}
printPicnic(picnicItems, 12, 5)
printPicnic(picnicItems, 20, 6)
```
Voor een tab moet je `\t` typen
Voor een newline `\n`
Om ervoor te zorgen dat er geen spaties staan rechts van een string gebruik je `rstrip()`
```python
favorite_language = "python "
favorite_language.rstrip()
```
Dit doet dat wel alleen maar tijdelijk
Om het permanent te maken, moet je de variabele een andere wwaarde geven:
```python
favorite_language = "python "
favorite_language = favorite_language.rstrip()
```
Om whitespaces links te verwijderen gebruik je `lstrip()`
Om whitespaces langs twee kanten tegelijkertijd te verwijderen gebruik je `strip()`
Geven `True` terug als een string begint of eindigt met wat tussen de haakjes staat.
`'Hello, world!'.startswith('Hello')` geeft `True` terug
`'Hello, world!'.endswith('Hello')` geeft `False` terug
Om whitespace te verwijderen.
* `lstrip()` links
* `rstrip()` rechts
* `strip()` links en rechts
met strip kun je ook andere tekens dan whitespaces wegdoen
Om variabelen te gebruiken in een string zetten we de letter `f` vlak voor de openningshaakjes:
```python
first_name = "ada"
last_name = "lovelace"
full_name = f"{first_name} {last_name}"
print(full_name)
```
```Python
name = "ada lovelace"
print(name.title())
print(name.upper())
print(name.lower())
```
geeft als je het runt:
```Python
Ada Lovelace
ADA LOVELACE
ada lovelace
```
`lower()` is handig om data op te slaan, want je kunt je eindgebruikers niet vertrouwen hoe ze hoofdletters gebruiken.
```
>>> import this
The Zen of Python, by Tim Peters
Beautiful is better than ugly.
Explicit is better than implicit.
Simple is better than complex.
Complex is better than complicated.
Flat is better than nested.
Sparse is better than dense.
Readability counts.
Special cases aren't special enough to break the rules.
Although practicality beats purity.
Errors should never pass silently.
Unless explicitly silenced.
In the face of ambiguity, refuse the temptation to guess.
There should be one-- and preferably only one --obvious way to do it.
Although that way may not be obvious at first unless you're Dutch.
Now is better than never.
Although never is often better than *right* now.
If the implementation is hard to explain, it's a bad idea.
If the implementation is easy to explain, it may be a good idea.
Namespaces are one honking great idea -- let's do more of those!
```
Doen we met `input()`
Alles is een string, willen we een getal dan moeten we de input overzetten via `int()`
Je kan lijnen met input schrijven over meerde lijnen
```python
message = input("Tell me something, and I will repaet it back to you: ")
print(message)
prompt = "\n\nIf you tell us who you are, we can personalize the mesagge you see."
prompt += "\nWhat is your first name? "
name = input(prompt)
print(f"\nHello, {name}")
age = input("\n\nHow old are you? ")
age = int(age)
if age < 18:
print(f"{name}, you are a minor")
else:
print("Welcome")
if age % 2 == 0:
print("\nYour age is even.")
else:
print("\nYour age is odd.")
```
Kunnen alleen maar uit letters, cijfers en underscores bestaan. En mogen niet met een cijfer beginnen.
Variabelen zijn labels waar je waarden aan kunt hangen.
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Is soms handig en doe je vooral voor [[Python - Nummers]]
```python
>>> x, y, z = 0, 0, 0
```
Zowel x als y als z krijgen de waarde 0
download brol met requests
```python
>>> import requests
>>> res = requests.get('https://automatetheboringstuff.com/files/rj.txt')
>>> res.raise_for_status()
>>> playFile = open('RomeoAndJuliet.txt', 'wb')
>>> for chunk in res.iter_content(100000):
playFile.write(chunk)
100000
78978
>>> playFile.close()
```
Een while loop is een loop die blijft lopen while something is true
```python
current_number = 1
while current_number <= 5:
print(current_number)
current_number += 1
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Als je een while loop (of enige andere loop) direct wil exitten zonder dat je verdere code wilt uitvoeren, gebruik je `break`
```python
prompt = "\nPlease enter the name of a city you have visited:"
prompt +="\n(Enter 'quit' when your finished.) "
while True:
city = input(prompt)
if city == 'quit':
break
else:
print(f"I'd love to go to {city.title()}!")
```
Met `continue` ga je terug naar het begin van de loop, de rest van de code achter `continue` wordt niet uitgevoerd.
We breaken dus niet uit de loop!
```python
current_number = 0
while current_number < 10:
current_number += 1
if current_number % 2 == 0:
continue
print(current_number)''''
```
```
1
3
5
7
9
```
```python
responses = {}
# Set a flag to indicate that polling is active.
polling_active = True
while polling_active:
# Prompt for the person's name and response.
name = input("\nWhat is your name? ")
response = input("Which mountain would you like to climb someday? ")
# Store the responses in the dictionary
responses[name] = response
# Find out if anyone else is going to take the poll.
repeat = input("Would you like to let another person respond? (yes/no) ")
if repeat == 'no':
polling_active = False
# Poling is complete, show the result
print("\n--- Poll Results ---")
for name, response in responses.items():
print(f"{name} would like to climb {response}.")''''
```
Een flag zet je op `true` zolang deze flag op `true` blijft staan, blijft de loop lopen. Het programma loopt dus.
In meerdere testen kan de flag op `false` gezet worden en stoppen we het programma:
```python
prompt = "Tell me something, and I will repaet it back to you: "
prompt += "\nEnter 'quit' to end program. "
active = True
while active:
message = input(prompt)
if message == 'quit':
active = False
else:
print(message)
```
```python
prompt = "\nVoor welke leeftijd wil je een ticket kopen?\n"
prijs = 0
while True:
leeftijd = input(prompt)
if leeftijd == 'exit':
print(f"Je moet {prijs} Euro betalen")
break
elif int(leeftijd) < 0:
print("Ongeborenen zijn niet welkom")
elif int(leeftijd) < 3:
prijs += 0
elif int(leeftijd) <= 12:
prijs += 10
elif int(leeftijd) > 12:
prijs += 15
```
```python
prompt = "Tell me something, and I will repaet it back to you: "
prompt += "\nEnter 'quit' to end program.\n"
message = ""
while message != 'quit':
message = input(prompt)
print(message)
```
of
```python
prompt = "Tell me something, and I will repaet it back to you: "
prompt += "\nEnter 'quit' to end program.\n"
message = ""
while message != 'quit':
message = input(prompt)
if message != 'quit':
print(message)
```
```python
# Start with users that need to be verified,
# and an empty list to hold confirmed users
unconfirmed_users = ['alice', 'brian', 'candace']
confirmed_users = []
# Verify each user until there are no more unconfirmed users.
# Move eacht verified user into the list of confirmed users.
while unconfirmed_users:
current_user = unconfirmed_users.pop()
print(f"Verifying user: {current_user.title()}")
confirmed_users.append(current_user)
# Display all confirmed users.
print("\nThe following users have been confirmed:")
for confirmed_user in confirmed_users:
print(confirmed_user.title())
```
de `while` loop loopt zolang er nog users in unconfirmed_users zijn, van zodra leeg stopt het.
Zoals we gezien hebben kunnen we met [[Python - Lists - Verwijder een element]] `remove()` een element verwijderen. Maar telkens meer de eerste instantie.
Met een `while loop` kunnen we elk element verwijderen:
```python
pets = ['dog', 'cat', 'goldfish', 'cat', 'rabbit', 'cat']
print(pets)
while 'cat' in pets:
pets.remove('cat')
print(pets)
```
```
['dog', 'cat', 'goldfish', 'cat', 'rabbit', 'cat']
['dog', 'goldfish', 'rabbit']
```
`=RECHTS(tekenreeks; [aantal_tekens])`
Werkt hetzelfde als [[LINKS]] in een [[Spreadsheet]] maar dan vanaf de rechterkant - zie ook [[DEEL]]
|!Schrijver|{{!!schrijver}}|
Gekocht tijdens onze reis naar [[Ieper|https://westhoek.tiddlyhost.com/]]
Ik had al lang geen Engels meer gelezen dus dat was even wennen, maar toen ik er in zat was het een goed boek.
Op naar de volgende twee delen.
Een reguliere expressie (uit het Engels, regular expression, afgekort tot “regexp”, “regex” of RE) is een manier om patronen te beschrijven waardoor een computer softwarematig tekst kan herkennen. Er bestaat hiervoor een formele syntaxis, die deels is gestandaardiseerd.
We kunnen op een UNIX-systeem gemakkelijk gebruik maken van RE via `grep` of `egrep`<br>
In PowerShell doen we dit via `Select-String`.<br>
In Notepad++ kun je hiermee ook zoeken.
[[Handige site om RegEx te testen|https://regex101.com/]]
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Enkele voorbeelden van [[RegularExpressions]]
* `([a-z] | [A-Z])` ofwel een kleine letter , ofwel een hoofdletter
* `(^[a-z] [a-z])` een begin kleine letter gevolgd door nog een kleine letter
* `(^[â-z] [A-Z])` iets ander dan een kleine letter, gevolgd door een hoofdletter
[[RegularExpressions - Zoeken Belgisch GSMnummer]]
[[RegularExpressions - Zoek naar een Belgische vaste lijnnummer]]
[[RegularExpressions - Zoeken naar een emailadres]]
Dit zijn volgende karakteers:
* `+` Match een of meer instances van het vorige element
* `?` Match exact nul of een instances van het vorige element
* `*` MAtch nul of meerdere instances van het vorig element
* `I` logische of
|!Pattern|!Description|
|{m,n}|match m to n times|
|{m,}|match at least m times|
|{,n}|match up to n times (including 0 times)|
|{n}|match exactly n times|
```bash
note that space is not allowed after ,
$ # BRE version: grep -o 'ab\{1,4\}c'
$ echo 'abc ac adc abbc xabbbcz bbb bc abbbbbc' | grep -oE 'ab{1,4}c'
abc
abbc
abbbc
$ echo 'abc ac adc abbc xabbbcz bbb bc abbbbbc' | grep -oE 'ab{3,}c'
abbbc
abbbbbc
$ echo 'abc ac adc abbc xabbbcz bbb bc abbbbbc' | grep -oE 'ab{,2}c'
abc
ac
abbc
$ echo 'abc ac adc abbc xabbbcz bbb bc abbbbbc' | grep -oE 'ab{3}c'
abbbc
```
By default, [[Bash - grep]] treats the search pattern as Basic Regular Expression (BRE)
* `-G` option can be used to specify explicitly that BRE is needed
* `-E` option will enable Extended Regular Expression (ERE)
in GNU grep , BRE and ERE only differ in how metacharacters are specified, no difference in features
* `-F` option will cause the search patterns to be treated literally
* `-P` if available, this option will enable Perl Compatible Regular Expression (PCRE)
In basic regular expressions the meta-characters `?` , `+` , `{` , `| `, `( `, and `)` lose their special meaning; instead use the backslashed versions `\? `, `\+` , `\{ `, `\|` ,` \(` , and `\)`.
```bash
printf 'I like cats\nI like parrots\nI like dogs' | grep 'cat\|dog'
printf 'I like cats\nI like parrots\nI like dogs' | grep -E 'cat|dog'
printf 'I like cats\nI like parrots\nI like dogs' | grep -e 'cat' -e 'dog'
```
geven alle drie:
```
I like cats
I like dogs
```
`^` het zoekende moet aan het begin van een lijn bevinden<br>
`$` het zoekende moet aan het einde van een lijn bevinden
Kun je natuurlijk doen met `[0-9]`
Maar ook met `\d` waar `\d` staat voor een nummer
`[0-9][0-9][0-9]` is dus `\d\d\d` maar ook `\d{3}`
`\D` staat voor een niet digit karakter
`\b` duid een woordgrens aan
`\B` duid dat er geen woordgrens is.
Een woordgrens is alles behalve alpfanumerieke karakters en een underscore
`((00|\+)32|0)(\D|)(\d{8}|\d\D(\d{7}|\d{3}(\D\d{2}){2})|\d{2}\D(\d{6}|(\d{2}\D){2}\d{2}))`
<iframe frameborder="0" width="1113" height="713" src="https://jex.im/regulex/#!embed=true&flags=&re=((00%7C%5C%2B)32%7C0)(%5CD%7C)(%5Cd%7B8%7D%7C%5Cd%5CD(%5Cd%7B7%7D%7C%5Cd%7B3%7D(%5CD%5Cd%7B2%7D)%7B2%7D)%7C%5Cd%7B2%7D%5CD(%5Cd%7B6%7D%7C(%5Cd%7B2%7D%5CD)%7B2%7D%5Cd%7B2%7D))"></iframe>
`(\+324|04)(\d{8}|(\d{2}\D)(\d{6}|\d{2}\D\d{2}\D\d{2}))`
<iframe frameborder="0" width="1041" height="361" src="https://jex.im/regulex/#!embed=true&flags=&re=(%5C%2B324%7C04)(%5Cd%7B8%7D%7C(%5Cd%7B2%7D%5CD)(%5Cd%7B6%7D%7C%5Cd%7B2%7D%5CD%5Cd%7B2%7D%5CD%5Cd%7B2%7D))"></iframe>
`([a-z0-9_\.-]+)@([\da-z\.-]+)\.([a-z\.]{2,5})`
<iframe frameborder="0" width="610" height="260" src="https://jex.im/regulex/#!embed=true&flags=&re=(%5Ba-z0-9_%5C.-%5D%2B)%40(%5B%5Cda-z%5C.-%5D%2B)%5C.(%5Ba-z%5C.%5D%7B2%2C5%7D)"></iframe>
Dit kunnen we bijvoorbeeld doen met:
`grep grey lines1.txt` of in PowerShell `Select-String grey .\lines1.txt`<br>
Hier krijg je een lijst van terug van alle lijnen waar het woordje grey instaat.
Je kan ook naar ''meerdere strings zoeken met `OR` ''dit vertonen we als een `|`:<br>
`egrep "gray|grey" lines1.txt` of `Select-String "gray|grey" .\lines1.txt`:<br>
Hier krijgt je een lijst terug van alle lijnen waar grey of gray instaat.
Met `^` kun je zoeken naar een patroon dat begint aan het begin van een lijn:
`grep "^the" lines1.txt` zoekt bijvoorbeeld naar alle lijnen die beginnen met `the`
`Select-Strin "^[the]" .\lines1.txt` daarentegen zoekt naar alle lijnen die beginnen met `t`, `h` of `e`
Pas op dat je de `^` niet gaat verwarren met de `^` van [[RegularExpressions - Zoeken naar strings die een bepaald karakter niet hebben]]
Hiervoor gebruiken we `$`
`grep "gray$" lines1.txt` geeft alle lijnen terug die eindigen met `gray`
Als `gray` ergens anders in de tekst voorkomt, maar niet op de laatste plaats van een lijn dan worden deze niet opgenomen in de zoekresultaten.
Je kan via [[RegularExpressions]] zoeken naar meerdere karakters tegelijkertijd.
Dit doe je door deze tussen rechte haakjes te zetten: `[]`
Bijvoorbeeld:
`grep gr[ae]y lines1.txt` of `Select-String gr[ae]y .\lines1.txt` zoekt alle lijnen waar `gray` of `grey` voorkomt in bestand lines1.txt
De volgorde van de letters tussen deze haakjes is NIET van belang:<br>
`gr[ae]y` en `gr[ea]y` doen hetzelfde.
Dit doet dus hetzelfde als: `egrep "gray|grey" lines1.txt` of `Select-String "gray|grey" .\lines1.txt` zoals we gezien hebben in [[RegularExpressions - Zoeken naar een vaste string]]
Je kan tussen de haakjes ook ranges gebruiken: [[RegularExpressions - Zoeken naar meerdere karakters - Ranges]]
Zoals gezien bij [[RegularExpressions - Zoeken naar meerdere karakters]] kunnen we tussen rechte haakjes meerdere letters zetten om op die plaats in je zoekopdracht die letters als variabele te gebruiken.
Je kan hier echter ook een range van letters zetten:
`gr[a-z]y` zoekt alle instanties op die beginnen met `gr` en eindigen op `y` en daartussen ''een willekeurige kleine letter hebben''<br>
dus: `gray`, `grey` `grzy`, `grgy`,... zullen allemaal gevonden worden.
Je kan trouwens ook een letter tussen de haakjes zetten:
`grep [u] lines1.txt` zoekt alle woorden waar er een `u` staat<br>
Hiervoor gebruiken we `^` - maar in tegenstelling tot [[RegularExpressions - Zoeken naar lijnen die met een karakter beginnen]] moet deze `^` dan tussen rechte haakjes staan.
met `grep "^[t]" lines1.txt` zoek je elke lijn die begint met de letter `t`
maar
met `grep "^[^t]" lines1.txt` zoek je elke lijn die NIET begint met de letter `t`
Je kan wildcards gebruiken om naar willekeurige karakters te zoeken:
* `.` matched elk singel karakter
* `*` matched nul of meerdere karakters
Wil je bijvoorbeeld zoeken naar elke lijn die begint met de letter `t` dan een willekeurig karakter heeft, dan nul of meerdere karakters en dan het woord gray heeft kun je het volgende gebruiken:
```Powershell
Select-String "^t.*gray" .\lines1.txt
```
Wil je zoeken op `.` of `*` kijk dan [[RegularExpressions - Zoeken op metacharacters]]
Dit doe je door ervoor `\` te zetten.<br>Dan worden deze metacharacters (zoals `.` en `*` uit [[RegularExpressions - Zoeken naar willekeurige karakters]]) beschouwd als een gewone karakter
```powershell
Select-String "\.doc" lines2.txt
```
Geeft alle lijnen terug waar `.doc` instaat
```powershell
Select-String "\.doc$" lines2.txt
```
Geeft alle lijnen terug die eindigen op `.doc`
```Bash
grep " " spaces.txt
```
Elke lijn die een spatie heeft wordt getoond
```Powershell
Select-String "^ " .\sapces.txt
```
Elke lijn die begint met een spatie wordt getoond
```Bash
grep " $" spaces.txt
```
Elke lijn die eindigt op een spatie wordt getoond
```Bash
egrep "^[ ] [ ]+$" spaces.txt
```
Alleen maar lijnen met spaties worden getoond
```bash
grep "^$" spaces.txt
```
Geeft lege lijnen terug - dit zijn ''geen'' lijnen met alleen maar spaties
---
Je kan dit ook doen met `\s` hiermee zoek je naar een enkele whitespace.
Wil je zoeken naar een niet-white-space-karakter dan zoek je naar `\S`
```bash
egrep "^\S+" lines3.txt
```
Zoekt bijvoorbeeld naar alle lijnen die niet met een whitespace beginnen
`\w` matched elk woord-karakter dwz. letters in kleine of hoofdletters, cijfers en underscores
```bash
grep "^\w" lines3.txt
```
Zoekt elke lijn die met een woordkarakter begint
`\W` matched elk niet-woord-karakter
Doe je officieel vanaf [[hier|https://revealjs.com/installation/]]
Een back-up van de basic installatiefiles kun je [[hier|https://computers.heggenmus.eu/Slides/reveal.js-master.zip]] vinden.
Een index.html die een beetje aangepast is [[hier|https://computers.heggenmus.eu/Slides/reveal.js-master/index.html]]
```CSS
<style>
.container{
display: flex;
}
.col{
flex: 1;
}
</style>
<div class="container">
<div class="col">
Column 1 Content
</div>
<div class="col">
Column 2 Content
</div>
</div>
```
```
I think this is the best and easiest answer, why anyone would use display: table is beyond me – Patrick Hund Apr 20 '18 at 9:40
1
A note on this method, to use it with markdown you need to use code like this <section class="hbox"> <div class="container"> <div class="flex-col" data-markdown> * Column 1 Content </div> <div class="flex-col" data-markdown> * Column 2 Content </div> </div> </section> – Rod Burns Aug 9 '19 at 10:35
1
How does this work for Markdown? When I put a div around MD it stops parsing MD inside the div. So I get the raw output. – Dieshe Aug 13 '19 at 17:06
1
You need to have data-markdown in the div after the class name, e.g. <div class="col" data-markdown> – Rod Burns Aug 15 '19 at 8:56
1
@Dieshe You may have to have blank lines between the <div> tags so the MD parser works properly (it depends a lot on implementation). – Fuhrmanator Sep 28 '19 at 21:59
I like this answer because you can do markdown inside the columns. Using markdown, I put the slide title and bullets in one column and an image in the other and it looks great. – Fuhrmanator Sep 28 '19 at 22:01
Small addition: In Rmarkdown, the data-markdown statement or blank lines seem not necessary, however, the indentation is relevant: markdown inside the diff worked for me, if the content was not more than two tabs indented, but not otherwise. – fry Aug 21 '20 at 13:10
I can't get this approach to work with markdown. I have set data-markdown on the divs and removed any indentation with no luck. – lucidbrot Oct 27 '20 at 14:40
```
[[Bron|https://stackoverflow.com/questions/30861845/how-to-use-two-column-layout-with-reveal-js]]
RIJ([celverwijzing])
celverwijzing [OPTIONEEL - standaard de cel waarin de formule staat]: de cel waarvan het kolomnummer wordt geretourneerd.
Als celverwijzing een bereik is dat meer dat één cel breed is en de formule niet wordt gebruikt als een matrixformule, wordt alleen de numerieke waarde van de eerste rij in celverwijzing geretourneerd.
In een spreadsheet heeft //alle data een locatie//.<br>
Deze duiden we aan door te verwijzen naar een ''cel''.<br>
Een celverwijzing duiden we aan door te verwijzen naar de rij en de kolom van de cel.
De ''kolom'' is de verticale positie van een cel en duiden we aan met letters.<br>
Een ''rij'' is de horizontale positie van een cel en duiden we aan met cijfers.
||A|B|C|D|E|F|G|H|I|J|
|1| | | | | | | | | | |
|2| | |X| | | | | | | |
|3| | | | | | | | | | |
|4| | | | | | | | | | |
|5| | | | | | | | | | |
|6| | | | | |O| | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | |Z|
|9| | | | | | | | | | |
De X staat in bovenstaand voorbeeld in cel C2, de O in cel F6 en de Z in cel J8.
We spreken vaak ook over ''ranges''.<br>
Ranges zijn een verzameling van cellen. Deze zijn altijd een aaneengesloten rechthoek van cellen. <br>
We definiëren deze door te verwijzen naar twee cellen gescheiden door een dubbelpunt : <br>
Voor het dubbelpunt zetten we de waarde van de cel linksbovenaan, na het dubbelpunt de waarde van de cel rechts onderaan.
Range C2:H5 komt bijvoorbeeld overeen met alle cellen met een X in onderstaand voorbeeld:
||A|B|C|D|E|F|G|H|I|J|
|1| | | | | | | | | | |
|2| | |X|X|X|X|X|X| | |
|3| | |X|X|X|X|X|X| | |
|4| | |X|X|X|X|X|X| | |
|5| | |X|X|X|X|X|X| | |
|6| | | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
|!Schrijver|{{!!schrijver}}|
Thriller, winnaar van een of andere thrillerprijs.
Op een paar uur uitgelezen, vlot geschreven. Redelijk verhaal.
Sommige anachronisme stoorden wel Vedett, Wikipedia, Google Translate in 1998?
Als je ik niet bent, heb je hier niet veel te zoeken :-)
SOS CASTOA
<<image-basic "2022.10.14 - Doc Scanner2024_page(1).jpg" width:"15%" align:"left" >>
$$sin ~\hat B = \dfrac{|AC|}{|BC|} = \dfrac{b}{a} = \dfrac{overstaande~rechthoekzijde}{schuine~zijde}$$<br><br>
$$cos ~\hat B = \dfrac{|AB|}{|BC|} = \dfrac{c}{a} = \dfrac{aanliggende~rechthoekzijde}{schuine~zijde}$$<br><br>
$$tan ~\hat B = \dfrac{|AC|}{|AB|} = \dfrac{b}{c} = \dfrac{overstaande~rechthoekzijde}{aanliggende~rechthoekzijde}$$<br><br>
$$cot ~\hat B = \dfrac{|AB|}{|AC|} = \dfrac{c}{b} = \dfrac{aanligende~rechthoekzijde}{overstaande~rechthoekzijde}$$
Als je een presentatie moet geven, horen daar vaak slides bij.
De meeste mensen gebruiken daarvoor ~PowerPoint of Google Slides.
Zelf ben ik geen fan van what you see is what you get editors en gebruik ik liever [[RevealJS|https://revealjs.com/]] om presentaties te maken.
Bijvoorbeeld [[onze homepage|https://www.pdhlh.eu/#/]] is eigenlijk een slideshow gemaakt met Revael JS
Je kan dat zelfs in TiddlyWiki gebruiken, zie voor wat testen [[hier|https://pdhlh.github.io/slideshows/]].
---
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
Denk eerst wat je wilt aanpassen:
* In afstellen, best altijd wel eens te gebruiken
** Hooglichten kun je de belichting in de heldere delen van je foto's aanpassen
** Schaduw in de donkere delen
** Verzadigen is voor de intensiteit van de kleuren
* Details (inzoomene!)
** structuur - zoekt naar delen met veel details, verscherpt deze
** scherper maken - zorgt ook voor veel korrel - dus niet overdrijven!
* bijsnijden
* witbalans
** gaat over kleur, koeler (blauw) en warmer (geel) maken - werkt goed met zons opgang en ondergang
Bovenstaande opties kun je voor bijna lalle foto' s gebruiken
----
Landschappen met veel contrast meer detail geven
* HDR - gebruik je als er een groot verschil is tussen licht en donker - details gaan verloren los je op door HDR te fotograferen
* de optie in snapseed werkt minder goed dan echte HDR fotografie
bij herfstfoto's kan het ook werken om de temp (lichtbalans) wat warmer (in de plus) te zetten
tooncontraats - kunje contrast bepalen tussen felle zachte ne middentinten
schaduwen en hooglichten beschermen, dan gaan de effecten daar niet op toegepast worden
drama is een creatief effect om de foto helemaal te veranderen
vignitering kun je gebruiken om ergens de aandacht op te vestigen
----
bij Afstellen, helderheid, verzadiging en contrast
Contrast zet de donkere kleuren wat meer aan, dan krijg je dieptewerking
Sfeer geeft wat kleureffect
Hooglichten, schaduw voor over - onderbelichte delen
Dan witbalans, past temp kleur aan - als wit wat roze of blauw is kun je dat hiermee aanpassen.<br>
Daaronder valt Tint - om de verhouding magenta/groen te regelen - links voor meer groen, recht voor meer magenta<br> minimale aanpassingen zijn meestal genoeg
eventueel wat structuur toevoegen
Je hebt een penseel om lokale aanpassingen te maken<br>
tegenhouden en doordrukken is om lokaal iets lichter of donker te maken (min donkerder, plus lichter)<br>
temp, belichting en verzadiging is ook mogelijk<br>
door op oogje klikken zie je wat je selecteert<br>
hoge verzadiging werkt goeed op foodfoto
vignet maakt alles binnen helderder, buiten donkerder, daardoor trek je de aandacht!
rechtzetten door draaien
---
Avondfoto's
stedelijke landschap
gebouwen staan niet helemaal recht
Afstellen
Witte lampen, met hooglichten aanpassen. werkt vaak goed voor avondfoto's in steden
Schaduwen licht in de plus zeyyrn
verzadiging ku je in de plus zetten
sfeer zet je donkerder of lichtre
perspectief kun je verticale lijne recht zetten - met vrij goed uitkijken
creatief effectje: grunge - tecstuur toevoegen, zoals oud stuk papier - stijl gaat echt over kleur
vintage is een kleurlaagje - het weerkt goed als al je foto's zelfde kleurlaag geeft
----
kleine onderwerpen : dieren
door niet erg hard in te zooomen vaak een probleem, ze zijn te klein
je kan een stukje afsnijden - Hou meer ruimte over in de kijkrichting
selectief - druk op wat je selectief wilt hebben
tezam met focus effect - maar pas er mss mee op
---
portretfoto's
belichtingen kleuren
maar speciale portretgerelteerde aanpassingen: portret
glad verliest rimpels <br>
ogen: maakt ogen sprankelender (niet goed als ogen samengekenepen zijn
hoofdpose gezicht hervormen
ideaal heb jee een goed elicht portret en een donkerdere achtergrond<br>
focuseffect en portretfunctie om een gezicht meer icht te geven
als je op het gezichtje daar drukt, kun je de huidskleur kiezen
Engels= SUM
Gebruiken we om een som te berekenen van een opgegeven bereik.
Vb
`=SOM(A1:A3)` gebruiken we om de som te maken van de cellen A1; A2 en A3
zie ook [[SOM.ALS]] en [[SOMMEN.ALS]]
`=SOM.ALS(range; voorwaarden; totaalbereik)`
de SOM.ALS functie controleert de waarden in de range en of dat die aan de voorwaarden voldoet.
Indien ja zullen die opgeteld worden, indien nee genegeerd.
Moet er een ander beriek opgeteld worden dan dat er in de range staat, dan moeten we een totaalbereik toevoegen.
||A|B|C|D|E|F|G|H|I|J|
|1| |A | 1| | | | | | | |
|2| |A | 2| | | | | | | |
|3| |B | -3| | | | | | | |
|4| |A | 2| | | | | | | |
|5| |V | 5| | | | | | | |
|6| |C | -1| | | | | | | |
|7| |A | 0| | | | | | | |
|8| | | | =SOM.ALS(D1:D7;"<0")| | | | | | |
|9| | | | =SOM.ALS(C1:C7;"A";D1:D7)| | | | | | |
De formule in D8 zal -4 als waarde geven (-3-1 vanuit cel D3 en D6) <br>
De formule in D9 zal 4 als waarde geven (1+2+2+0 - oftewel de waarden uit range D1:D7 waarvoor de overeenkomstige waarde in range A1:A7 A is)
Voor de voorwaarden gelden volgende regels:
* Willen we nagaan of iets gelijk is aan een getal of een cel, zet niet tussen vliegende haakjes
* gelijk aan een string (vaste tekst), zet tussen vliegende haakje, maar gebruik geen =-teken
* <, >, <=, >= van een number, zet heel de voorwaarde tussen vliegende haakjes
* niet gelijk aan een string: "<>string"
* <, >, <=, >= van een cel: "<"&A1
Je kan ook formules in je voorwaarden gebruiken:
=SOM.ALS(B3:B11; "<:"&VANDAAG(); C3:C11)
Je kan [[Spreadsheets - Wildcards]] gebruiken
zie ook [[SOM]] en[[SOMMEN.ALS]]
Zie ook: https://support.google.com/docs/answer/3093583?hl=nl en https://support.microsoft.com/nl-nl/office/som-als-functie-169b8c99-c05c-4483-a712-1697a653039b
`=SOMMEN.ALS(optelbereik; voorwaardede_bereik1; voorwaarde1; voorwaardebereik2; voorwaarde2; ....)` - engels `SUMIFS`
Gebruiken we als we als we meer dan een voorwaardebereik hebben (vrg met [[SOM.ALS]])
||A|B|C|D|E|F|G|H|I|J|
|1|A |B | 1| | | | | | | |
|2|A |A | 2| | | | | | | |
|3|B |A | 3| | | | | | | |
|4|B |C | 4| | | | | | | |
|5|C |A | 5| | | | | | | |
|6|C |B | 6| | | | | | | |
|7|C |C | 7| | | | | | | |
|8|A |B |8 | | | | | | | |
|9| | | | | | | | | | |
`=SOMMEN.ALS(C1:C8; A1:A8; "A"; B1:B8; "B")` zal als waaarde 9 geven omdat we uit de range C1:C8 alleen maar iets gaan optellen als in de range A1:A8 een A staat en in B1:B8 een B
`=SOMMEN.ALS(C1:C8; A1:A8; "C"; B1:B8; "B")` zal 6 geven
zie ook [[SOM]] en [[SOM.ALS]]
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Niet helemaal wat ik er van verwacht had, ik dacht eerder aan soulmusic, maar desalniettemin een goede film
SPATIES.WISSEN(tekst)
Engels TRIM(tekst)
wist alle voorloop en vervolgspaties van een tekst
Spreadsheets zijn programma's als Excel, Google Sheets of Libreoffice Calc
---
<<toc-selective-expandable 'Spreadsheet'>>
Een korte introductie in zechte basiskennis van spreadsheets.
<div class="tc-table-of-contents">
<<toc-selective-expandable 'Spreadsheet - Basiskennis' sort[title]>>
</div>
Datum en tijd waarden worden achterliggend in een [[Spreadsheet]] als getallen opgelsagen. <br>
Hierdoor kun je er gemakkelijk bewerkingen mee doen zoals twee datums optellen of aftrekken bijvoorbeeld.
Dit gebruiken we bijvoorbeeld in financiële rapporten
Hebben we bijvoorbeeld 982456,89 EUR en ronden we dit af naar 1 significant cijfer, dan krijgen we als uitkomst 1000000. <br>
Ronden we dit af naar significante cijfers dan krijgen we 980000. <br>
Ronden we 910000 af naar 1 significant cijfer dan krijgen we 900000.
||A|B|C|D|E|F|G|H|I|J|
|1| | | | | | | | |Hoeveel significante cijfers | |
|2| | | | | | | | |1 | |
|3| | | | | | | | | | |
|4| | |Getal |Afgerond naar significantt cijfer | | | | | | |
|5| | |982456,89 |=[[AFRONDEN]](C5; [[LENGTE]]( [[INTEGER]]( [[ABS]](C5)))*-1+$I$2) | | | | | | |
|6| | |91253 |90000 | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
Zie ook [[AFRONDEN]], [[LENGTE]], [[INTEGER]], [[ABS]]
=[[INDEX]](A:A;[[AANTALARG]](A:A))
||A|B|C|D|E|F|G|H|I|J|
|1| | | TOTAAL VERKOCHT|LOPEND TOTAAL| | | | | | |
|2| | JAN| 5|=[[SOM]]($C$2:C2) | | | | | | |
|3| | FEB| 7|=[[SOM]]($C$2:C3) | | | | | | |
|4| | MRT| 9|=[[SOM]]($C$2:C4) | | | | | | |
|5| | | | | | | | | | |
|6| | | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
||A|B|C|D|E|F|G|H|I|J|
|1| |PRIJS |PRIJSVERHOGING % |UITEINDELIJKE PRIJS | | | | | | |
|2| |100 |15 % |=B2*(1+C2) | | | | | | |
|3| | | | | | | | | | |
|4| |PRIJS |PRIJSVERLAGING % |UITEINDELIJKE PRIJS | | | | | | |
|5| |100 |15 % |=B5*(1-C5) | | | | | | |
|6| | | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
Wil je dat alle nummers van een ID bijvoorbeeld evenlang zijn? Dan is het heel gemakkelijk om eindnullen toe te voegen totdat de standaardlengte bijvoorbeeld 10 lang is.
||A|B|C|D|E|F|G|H|I|J|
|1|ID |MAAK 10 LANG | | | | | | | | |
|2| 27895|=[[LINKS]](A2&"0000000000";10) | | | | | | | | |
|3| 325| 3250000000| | | | | | | | |
|4| | | | | | | | | | |
|5| | | | | | | | | | |
|6| | | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
https://exceljet.net/formula/filter-with-multiple-or-criteria
In Excel kan je de gegevens van twee celen samenvoegen:
||A|B|C|
|1|appel|banaan|appel.banaan|
cel A1 en A2 hebben gewoon de waarde die er staat.
Cel A3 heeft volgende formule:
```
=A1 & "." & A2
```
De spaties zijn niet nodig, maar maakt het leesbaarder.
Je kan dit trucje ook in formules gebruiken!
Met [[ALS.FOUT]] of misschien beter [[ALS.NB]] kunnen we een defaultwaarde meegeven als er iets niet gevonden wordt bij een zoekfuntie (met [[VERT.ZOEKEN]] bijvoorbeeld)
bijvoorbeeld:<br>
`=ALS.NB(VERT.ZOEKEN(A1;D1:E5;2;ONWAAR);"WAARDE INDIEN NIET GEVONDEN")`
Ook handig om ergens anders te gaan zoeken, indien een eerste keer niet gevonden: <br>
`=ALS.NB(VERT.ZOEKEN(A1;D1:E5;2;ONWAAR);VERT.ZOEKEN(A1;X1:Z5;3;ONWAAR))`
Met [[ALS]]<br>
`=ALS(A1=0; 0; A2/A1)`
kan ook als volgt met [[ALS.FOUT]]<br>
`=ALS.FOUT(A2/A1, 0)`
Normaal gezien zou je om cellen te tellen die gelijk zijn aan een string [[SOM.ALS]] of [[SOMMEN.ALS]] gebruiken, maar deze zijn case-insentive en maken dus geen onderscheid tussen `ABC` en `abc`<br>
wil je dit onderscheid wil dan moet je gebruik maken van [[SOMPRODUCT]] en [[GELIJK]]:
Basisformule:
```
=SOMPRODUCT( -- GELIJK(waarde; range))
```
''Het dubbele negatief in de formule transformeert `WAAR` in `1` en `ONWAAR` in `0`<br>zo kunnen we tellen!''
zie [[INDEX]] en [[VERGELIJKEN]]
||A|B|C|D|E|F|G|H|I|J|
|1| |Plaats |Naam |Aantal | | | | | | |
|2| |Antwerpen |A |3 | | | | | | |
|3| |Wilrijk |B |5 | | | | | | |
|4| |Edegem |C |9 | | | | | | |
|5| |Hove |D |2 | | | | | | |
|6| | | | | | | | | | |
|7| |B | |Aantal | | | | | | |
|8| | |=[[INDEX]](B2:D5; [[VERGELIJKEN]](B7; C2:C5; ONWAAR); 3) | | | | | | | |
|9| | |=[[INDEX]](B2:D5; [[VERGELIJKEN]](B7; C2:C5; ONWAAR); 1) | | | | | | | |
De formule in cel C8 geeft op basis van wat er in B7 is ingevuld het overeenkomstig aantal (in dit geval dus 5) <br>
De formule in cel C9 geeft op basis van wat er in B7 is ingevuld de overeenkomstige plaats (in dit geval dus Wilrijk)
Je kan natuurlijk ook in het derde argument een vergelijken functie gebruiken
`=INDEX(B2:D5; VERGELIJKEN(B7; C2:C5; ONWAAR); 3)` zal overeenkomen met
`=INDEX(B2:D5; VERGELIJKEN(B7; C2:C5; ONWAAR); VERGELIJKEN(D7; B1:E1; ONWAAR))`
maar dan kan de gebruiker ook zelf bepalen in welke kolom er gezocht moet worden
Als je de [[VERGELIJKEN]] laat voorafgaan met [[ALS.FOUT]] en bijvoorbeeld [[AANTALARG]] kun je een default waarde meegeven (een totaalkolom bijvoorbeeld)
Voor de cellen waar de gebruiker iets moet invullen kun je best gegevens validatie gebruiken
zie [[ISFOUT]] en [[VERGELIJKEN]] en [[NIET]] en [[ISNUMMER]]
Je krijgt een waarde waar als een waarde in een kolom zit:
`=NIET(ISFOUT(VERGELIJKEN(B5,C:C;0)))`
of
`ISNUMMER(VERGELIJKEN(B5,C:C;0))`
In [[Spreadsheet]] gebruik je vaak functies een overzicht hieronder
Heb je een vertaling van of naar Engels nodig kijk dan ook bij [[Spreadsheets - Vertaling tussen Engels en Nederlands van Excel functies]]
<ul>
<$list filter="[tag[Spreadsheet - Functie]!title[Spreadsheets - Vertaling tussen Engels en Nederlands van Excel functies]sort[title]]">
<li><$link /></li>
</$list>
</ul>
Gegevensvalidatie kun je gebruiken om te beperken welke type gegevens
je in een cel kunt zetten of de waarden die je kunt invoeren beperken.
* Eerst de cellen waar je dit wilt doen selecteren
* tabblad ''Gegevens'' - ''Hulpmiddel voor gegevens'' - ''Gegevensvalidatie''
* Kies daar in ''instellingen'' wat er in gegeven mag worden.
** Je kan een lijst maken van waarden die je maar mag ingeven
*** optie ''lijst'' kiezen
*** in vakje ''bron'' de lijstwaarde typen geschijden door een puntkomma <br>of<br> verwijzen naar een cellenbereik ergens in de excel
**** maak ergens een ''Excel-tabel'' (''start''-->''stijlen'' >''Opmaken als tabel''
**** selecteer vervolgens het gegevensbereik van de tabel (enkel de lijst, niet tabelkop) en geef hiervoor in het naamvak een naam.
**** bij ''bron'' typt u dan die naam voorafgegaan door =
**** als u zaken aan de tabel verandert, wordt de gegevensvalidatie automatisch bijgewerkt.
**** zorg dat selectievak ''vervolgkeuze in cel'' is ingeschakeld
**** hoe NULL-waarden worden verwerkt kunt u kiezen bij selectievakje ''Lege cellen negeren''
**** u kunt de tabeltabblad verbergen als u niet wenst dat anderen deze kunnen zien
* test de gegevensvalidatie
Verwijderen kan door ''gegevens'' - ''gegevensvalidatie'' en in dialoogvenster op ''alles wissen'' en ''ok'' te drukken.
Wijzigingen van gegevensvalidatie kunnen alleen gemaakt worden als de Excel niet wordt gedeeeld
https://support.office.com/nl-nl/article/Gegevensvalidatie-toepassen-op-cellen-29fecbcc-d1b9-42c1-9d76-eff3ce5f7249
https://www.excelfunctions.net/excel-math-functions.html
https://www.lifewire.com/create-a-database-in-excel-3123446
https://best-excel-tutorial.com/58-excel-functions/119-database-functions
https://www.youtube.com/watch?v=KyMj8HEBNAk
https://edu.gcfglobal.org/en/excelformulas/
https://www.excel-easy.com/introduction/formulas-functions.html
https://www.excel-easy.com/functions.html
https://www.pcworld.com/article/2877236/excel-formulas-cheat-sheet-15-essential-tips-for-calculations-and-common-tasks.html
http://www.homeandlearn.org/
https://www.guru99.com/introduction-to-microsoft-excel.html
http://www.eusprig.org/
Het zoeken naar waarden die al dan niet aan een specifieke criteria voldoen is een van de belangrijkste redenen dat we een spreadsheet gebruiken.
Enkele van de belangrijkste functies hiervoor zijn:
|!Functie|!Korte Omschrijving|
|[[ALS]]|Geeft een waarde terug als de conditie `WAAR` is en een andere als de conditie `ONWAAR` is|
|[[ALS.FOUT]]|Als het eerste argument een een fout geeft, geven we het tweede argument als resultaat terug. Anders het eerste argument|
|[[HORIZ.ZOEKEN]]|Horizontaal Zoeken. Zoek naar een waarde in de bovenste rij en geeft de waarde in dezelfde kolom van een vooraf gespecificeerde rij terug|
|[[INDEX]]|Geeft de waarde (of de referentie van een waarde) terug van in een tabel of range|
|[[KIEZEN]]|Geeft een specifieke waarde terug van een lijst waarden die als argumenten werden meegegeven|
|[[VERGELIJKEN]]|Geeft de relatieve positie weer van een item in een range die een gespecificeerde waarde heeft|
|[[VERSCHUIVING]]|Geeft een referentie terug van een range dat een specifieke nummer van rijen en kolommen is van een cel of een range van cellen|
|[[VERT.ZOEKEN]]|Verticaal Zoeken, zoals horizontaal zoeken maar dan kolommen en rijen omgedraaid|
|[[ZOEKEN]]|Geeft een waarde terug van één rij of kolom. Een andere manier van werken is als VERT.ZOEKEN, maar kan dan alleen de waarde van laatste kolom of range teruggeven|
vb van `10020293` wil je `010020293` maken - dan doe je dit met `=TEKST(celverwijzing;"000000000")`
Je kan ook met `#` werken, maar dan vervallen voorloopnullen.
<div class="tc-table-of-contents">
<<toc-selective-expandable "Spreadsheets - Opmaak" sort[title]>>
</div>
Informatie over [[Spreadsheet]] is vaak in het Engels, terwijl je eigen computer in het Nederlands staat. Een vertaling van veel [[Spreadsheet - Functie]] kun je hieronder vinden.
|''Engels''|''Nederlands''|
|ABS|[[ABS]]|
|ACCRINT|[[SAMENG.RENTE]]|
|ACCRINTM|[[SAMENG.RENTE.V]]|
|ACOS|[[BOOGCOS]]|
|ACOSH|[[BOOGCOSH]]|
|ADDRESS|[[ADRES]]|
|AGGREGATE|[[AGGREGAAT]]|
|AMORDEGRC|[[AMORDEGRC]]|
|AMORLINC|[[AMORLINC]]|
|AND|[[EN]]|
|AREAS|[[BEREIKEN]]|
|ASC|[[ASC]]|
|ASIN|[[BOOGSIN]]|
|ASINH|[[BOOGSINH]]|
|ATAN|[[BOOGTAN]]|
|ATAN2|[[BOOGTAN2]]|
|ATANH|[[BOOGTANH]]|
|AVEDEV|[[GEM.DEVIATIE]]|
|AVERAGE|[[GEMIDDELDE]]|
|AVERAGEA|[[GEMIDDELDEA]]|
|AVERAGEIF|[[GEMIDDELDE.ALS]]|
|AVERAGEIFS|[[GEMIDDELDEN.ALS]]|
|BAHTTEXT|[[BAHT.TEKST]]|
|BESSELI|[[BESSEL.I]]|
|BESSELJ|[[BESSEL.J]]|
|BESSELK|[[BESSEL.K]]|
|BESSELY|[[BESSEL.Y]]|
|BETA.DIST|[[BETA.VERD]]|
|BETA.INV|[[BETA.INV]]|
|BETADIST|[[BETAVERD]]|
|BETAINV|[[BETAINV]]|
|BIN2DEC|[[BIN.N.DEC]]|
|BIN2HEX|[[BIN.N.HEX]]|
|BIN2OCT|[[BIN.N.OCT]]|
|BINOM.DIST|[[BINOM.VERD]]|
|BINOM.INV|[[BINOMIALE.INV]]|
|BINOMDIST|[[BINOMIALE.VERD]]|
|CALL|[[ROEPEN]]|
|CEILING|[[AFRONDEN.BOVEN]]|
|CEILING.PRECISE|[[AFRONDEN.BOVEN.NAUWKEURIG]]|
|CELL|[[CEL]]|
|CHAR|[[TEKEN]]|
|CHIDIST|[[CHI.KWADRAAT]]|
|CHIINV|[[CHI.KWADRAAT.INV]]|
|CHISQ.DIST|[[CHIKW.VERD]]|
|CHISQ.DIST.RT|[[CHIKW.VERD.RECHTS]]|
|CHISQ.INV|[[CHIKW.INV]]|
|CHISQ.INV.RT|[[CHIKW.INV.RECHTS]]|
|CHISQ.TEST|[[CHIKW.TEST]]|
|CHITEST|[[CHI.TOETS]]|
|CHOOSE|[[KIEZEN]]|
|CLEAN|[[WISSEN.CONTROL]]|
|CODE|[[CODE]]|
|COLUMN|[[KOLOM]]|
|COLUMNS|[[KOLOMMEN]]|
|COMBIN|[[COMBINATIES]]|
|COMPLEX|[[COMPLEX]]|
|CONCATENATE|[[TEKST.SAMENVOEGEN]]|
|CONFIDENCE|[[BETROUWBAARHEID]]|
|CONFIDENCE.NORM|[[VERTROUWELIJKHEID.NORM]]|
|CONFIDENCE.T|[[VERTROUWELIJKHEID.T]]|
|CONVERT|[[CONVERTEREN]]|
|CORREL|[[CORRELATIE]]|
|COS|[[COS]]|
|COSH|[[COSH]]|
|COUNT|[[AANTAL]]|
|COUNTA|[[AANTALARG]]|
|COUNTBLANK|[[AANTAL.LEGE.CELLEN]]|
|COUNTIF|[[AANTAL.ALS]]|
|COUNTIFS|[[AANTALLEN.ALS]]|
|COUPDAYBS|[[COUP.DAGEN.BB]]|
|COUPDAYS|[[COUP.DAGEN]]|
|COUPDAYSNC|[[COUP.DAGEN.VV]]|
|COUPNCD|[[COUP.DATUM.NB]]|
|COUPNUM|[[COUP.AANTAL]]|
|COUPPCD|[[COUP.DATUM.VB]]|
|COVAR|[[COVARIANTIE]]|
|COVARIANCE.P|[[COVARIANTIE.P]]|
|COVARIANCE.S|[[COVARIANTIE.S]]|
|CRITBINOM|[[CRIT.BINOM]]|
|CUBEKPIMEMBER|[[KUBUSKPILID]]|
|CUBEMEMBER|[[KUBUSLID]]|
|CUBEMEMBERPROPERTY|[[KUBUSLIDEIGENSCHAP]]|
|CUBERANKEDMEMBER|[[KUBUSGERANGSCHIKTLID]]|
|CUBESET|[[KUBUSSET]]|
|CUBESETCOUNT|[[KUBUSSETAANTAL]]|
|CUBEVALUE|[[KUBUSWAARDE]]|
|CUMIPMT|[[CUM.RENTE]]|
|CUMPRINC|[[CUM.HOOFDSOM]]|
|DATE|[[DATUM]]|
|DATEDIF|[[DATUMVERSCHIL]]|
|DATEVALUE|[[DATUMWAARDE]]|
|DAVERAGE|[[DBGEMIDDELDE]]|
|DAY|[[DAG]]|
|DAYS360|[[DAGEN360]]|
|DB|[[DB]]|
|DCOUNT|[[DBAANTAL]]|
|DCOUNTA|[[DBAANTALC]]|
|DDB|[[DDB]]|
|DEC2BIN|[[DEC.N.BIN]]|
|DEC2HEX|[[DEC.N.HEX]]|
|DEC2OCT|[[DEC.N.OCT]]|
|DEGREES|[[GRADEN]]|
|DELTA|[[DELTA]]|
|DEVSQ|[[DEV.KWAD]]|
|DGET|[[DBLEZEN]]|
|DISC|[[DISCONTO]]|
|DMAX|[[DBMAX]]|
|DMIN|[[DBMIN]]|
|DOLLAR|[[DOLLAR]]|
|DPRODUCT|[[DBPRODUCT]]|
|DSTDEV|[[DBSTDEV]]|
|DSTDEVP|[[DBSTDEVP]]|
|DSUM|[[DBSOM]]|
|DURATION|[[DUUR]]|
|DVAR|[[DBVAR]]|
|DVARP|[[DBVARP]]|
|EDATE|[[ZELFDE.DAG]]|
|EFFECT|[[EFFECT.RENTE]]|
|EOMONTH|[[LAATSTE.DAG]]|
|ERF|[[FOUTFUNCTIE]]|
|ERF.PRECISE|[[FOUTFUNCTIE.NAUWKEURIG]]|
|ERFC|[[FOUT.COMPLEMENT]]|
|ERFC.PRECISE|[[FOUT.COMPLEMENT.NAUWKEURIG]]|
|ERROR.TYPE|[[TYPE.FOUT]]|
|EURO|[[EURO]]|
|EURODE|[[EURO.DE]]|
|EUROFR|[[EURO.BR]]|
|EVEN|[[EVEN]]|
|EXACT|[[GELIJK]]|
|EXP|[[EXP]]|
|EXPON.DIST|[[EXPON.VERD.N]]|
|EXPONDIST|[[EXPON.VERD]]|
|F.DIST|[[F.VERD]]|
|F.DIST.RT|[[F.VERD.RECHTS]]|
|F.INV|[[F.INV]]|
|F.INV.RT|[[F.INV.RECHTS]]|
|F.TEST|[[F.TEST]]|
|FACT|[[FACULTEIT]]|
|FACTDOUBLE|[[DUBBELE.FACULTEIT]]|
|FALSE|[[ONWAAR]]|
|FDIST|[[F.VERDELING]]|
|FIND|[[VIND.ALLES]]|
|FINV|[[F.INVERSE]]|
|FISHER|[[FISHER]]|
|FISHERINV|[[FISHER.INV]]|
|FIXED|[[VAST]]|
|FLOOR|[[AFRONDEN.BENEDEN]]|
|FLOOR.PRECISE|[[AFRONDEN.BENEDEN.NAUWKEURIG]]|
|FORECAST|[[VOORSPELLEN]]|
|FREQUENCY|[[INTERVAL]]|
|FTEST|[[F.TOETS]]|
|FV|[[TW]]|
|FVSCHEDULE|[[TOEK.WAARDE2]]|
|GAMMA.DIST|[[GAMMA.VERD.N]]|
|GAMMA.INV|[[GAMMA.INV.N]]|
|GAMMADIST|[[GAMMA.VERD]]|
|GAMMAINV|[[GAMMA.INV]]|
|GAMMALN|[[GAMMA.LN]]|
|GAMMALN.PRECISE|[[GAMMA.LN.NAUWKEURIG]]|
|GCD|[[GGD]]|
|GEOMEAN|[[MEETK.GEM]]|
|GESTEP|[[GROTER.DAN]]|
|GETPIVOTDATA|[[DRAAITABEL.OPHALEN]]|
|GROWTH|[[GROEI]]|
|HARMEAN|[[HARM.GEM]]|
|HEX2BIN|[[HEX.N.BIN]]|
|HEX2DEC|[[HEX.N.DEC]]|
|HEX2OCT|[[HEX.N.OCT]]|
|HLOOKUP|[[HORIZ.ZOEKEN]]|
|HOUR|[[UUR]]|
|HYPERLINK|[[HYPERLINK]]|
|HYPGEOM.DIST|[[HYPGEOM.VERD]]|
|HYPGEOMDIST|[[HYPERGEO.VERD]]|
|IF|[[ALS]]|
|IFERROR|[[ALS.FOUT]]|
|IMABS|[[C.ABS]]|
|IMAGINARY|[[C.IM.DEEL]]|
|IMARGUMENT|[[C.ARGUMENT]]|
|IMCONJUGATE|[[C.TOEGEVOEGD]]|
|IMCOS|[[C.COS]]|
|IMDIV|[[C.QUOTIENT]]|
|IMEXP|[[C.EXP]]|
|IMLN|[[C.LN]]|
|IMLOG10|[[C.LOG10]]|
|IMLOG2|[[C.LOG2]]|
|IMPOWER|[[C.MACHT]]|
|IMPRODUCT|[[C.PRODUCT]]|
|IMREAL|[[C.REEEL.DEEL]]|
|IMSIN|[[C.SIN]]|
|IMSQRT|[[C.WORTEL]]|
|IMSUB|[[C.VERSCHIL]]|
|IMSUM|[[C.SOM]]|
|INDEX|[[INDEX]]|
|INDIRECT|[[INDIRECT]]|
|INFO|[[INFO]]|
|INT|[[INTEGER]]|
|INTERCEPT|[[SNIJPUNT]]|
|INTRATE|[[RENTEPERCENTAGE]]|
|IPMT|[[IBET]]|
|IRR|[[IR]]|
|ISBLANK|[[ISLEEG]]|
|ISERR|[[ISFOUT2]]|
|ISERROR|[[ISFOUT]]|
|ISEVEN|[[IS.EVEN]]|
|ISLOGICAL|[[ISLOGISCH]]|
|ISNA|[[ISNB]]|
|ISNONTEXT|[[ISGEENTEKST]]|
|ISNUMBER|[[ISGETAL]]|
|ISODD|[[IS.ONEVEN]]|
|ISPMT|[[ISBET]]|
|ISREF|[[ISVERWIJZING]]|
|ISTEXT|[[ISTEKST]]|
|KURT|[[KURTOSIS]]|
|LARGE|[[GROOTSTE]]|
|LCM|[[KGV]]|
|LEFT|[[LINKS]]|
|LEN|[[LENGTE]]|
|LINEST|[[LIJNSCH]]|
|LN|[[LN]]|
|LOG|[[LOG]]|
|LOG10|[[LOG10]]|
|LOGEST|[[LOGSCH]]|
|LOGINV|[[LOG.NORM.INV]]|
|LOGNORM.DIST|[[LOGNORM.VERD]]|
|LOGNORM.INV|[[LOGNORM.INV]]|
|LOGNORMDIST|[[LOG.NORM.VERD]]|
|LOOKUP|[[ZOEKEN]]|
|LOWER|[[KLEINE.LETTERS]]|
|MATCH|[[VERGELIJKEN]]|
|MAX|[[MAX]]|
|MAXA|[[MAXA]]|
|MDETERM|[[DETERMINANTMAT]]|
|MDURATION|[[AANG.DUUR]]|
|MEDIAN|[[MEDIAAN]]|
|MID|[[DEEL]]|
|MIN|[[MIN]]|
|MINA|[[MINA]]|
|MINUTE|[[MINUUT]]|
|MINVERSE|[[INVERSEMAT]]|
|MIRR|[[GIR]]|
|MMULT|[[PRODUCTMAT]]|
|MOD|[[REST]]|
|MODE|[[MODUS]]|
|MODE.MULT|[[MODUS.MEERV]]|
|MODE.SNGL|[[MODUS.ENKELV]]|
|MONTH|[[MAAND]]|
|MROUND|[[AFRONDEN.N.VEELVOUD]]|
|MULTINOMIAL|[[MULTINOMIAAL]]|
|N|[[N]]|
|NA|[[NB]]|
|NEGBINOM.DIST|[[NEGBINOM.VERD]]|
|NEGBINOMDIST|[[NEG.BINOM.VERD]]|
|NETWORKDAYS|[[NETTO.WERKDAGEN]]|
|NETWORKDAYS.INTL|[[NETWERKDAGEN.INTL]]|
|NOMINAL|[[NOMINALE.RENTE]]|
|NORM.DIST|[[NORM.VERD.N]]|
|NORM.INV|[[NORM.INV.N]]|
|NORM.S.DIST|[[NORM.S.VERD]]|
|NORM.S.INV|[[NORM.S.INV]]|
|NORMDIST|[[NORM.VERD]]|
|NORMINV|[[NORM.INV]]|
|NORMSDIST|[[STAND.NORM.VERD]]|
|NORMSINV|[[STAND.NORM.INV]]|
|NOT|[[NIET]]|
|NOW|[[NU]]|
|NPER|[[NPER]]|
|NPV|[[NHW]]|
|OCT2BIN|[[OCT.N.BIN]]|
|OCT2DEC|[[OCT.N.DEC]]|
|OCT2HEX|[[OCT.N.HEX]]|
|ODD|[[ONEVEN]]|
|ODDFPRICE|[[AFW.ET.PRIJS]]|
|ODDFYIELD|[[AFW.ET.REND]]|
|ODDLPRICE|[[AFW.LT.PRIJS]]|
|ODDLYIELD|[[AFW.LT.REND]]|
|OFFSET|[[VERSCHUIVING]]|
|OR|[[OF]]|
|PEARSON|[[PEARSON]]|
|PERCENTILE|[[PERCENTIEL]]|
|PERCENTILE.EXC|[[PERCENTIEL.EXC]]|
|PERCENTILE.INC|[[PERCENTIEL.INC]]|
|PERCENTRANK|[[PERCENT.RANG]]|
|PERCENTRANK.EXC|[[PROCENTRANG.EXC]]|
|PERCENTRANK.INC|[[PROCENTRANG.INC]]|
|PERMUT|[[PERMUTATIES]]|
|PHONETIC|[[FONETISCH]]|
|PI|[[PI]]|
|PMT|[[BET]]|
|POISSON|[[POISSON]]|
|POISSON.DIST|[[POISSON.VERD]]|
|POWER|[[MACHT]]|
|PPMT|[[PBET]]|
|PRICE|[[PRIJS.NOM]]|
|PRICEDISC|[[PRIJS.DISCONTO]]|
|PRICEMAT|[[PRIJS.VERVALDAG]]|
|PROB|[[KANS]]|
|PRODUCT|[[PRODUCT]]|
|PROPER|[[BEGINLETTERS]]|
|PV|[[HW]]|
|QUARTILE|[[KWARTIEL]]|
|QUARTILE.EXC|[[KWARTIEL.EXC]]|
|QUARTILE.INC|[[KWARTIEL.INC]]|
|QUOTIENT|[[QUOTIENT]]|
|RADIANS|[[RADIALEN]]|
|RAND|[[ASELECT]]|
|RANDBETWEEN|[[ASELECTTUSSEN]]|
|RANK|[[RANG]]|
|RANK.AVG|[[RANG.GEMIDDELDE]]|
|RANK.EQ|[[RANG.GELIJK]]|
|RATE|[[RENTE]]|
|RECEIVED|[[OPBRENGST]]|
|REGISTER|[[REGISTER]]|
|REGISTER.ID|[[REGISTRATIE.ID]]|
|REPLACE|[[VERVANGEN]]|
|REPT|[[HERHALING]]|
|RIGHT|[[RECHTS]]|
|ROMAN|[[ROMEINS]]|
|ROUND|[[AFRONDEN]]|
|ROUNDDOWN|[[AFRONDEN.NAAR.BENEDEN]]|
|ROUNDUP|[[AFRONDEN.NAAR.BOVEN]]|
|ROW|[[RIJ]]|
|ROWS|[[RIJEN]]|
|RSQ|[[R.KWADRAAT]]|
|RTD|[[RTG]]|
|SEARCH|[[VIND.SPEC]]|
|SECOND|[[SECONDE]]|
|SERIESSUM|[[SOM.MACHTREEKS]]|
|SIGN|[[POS.NEG]]|
|SIN|[[SIN]]|
|SINH|[[SINH]]|
|SKEW|[[SCHEEFHEID]]|
|SLN|[[LIN.AFSCHR]]|
|SLOPE|[[RICHTING]]|
|SMALL|[[KLEINSTE]]|
|SQRT|[[WORTEL]]|
|SQRTPI|[[WORTEL.PI]]|
|STANDARDIZE|[[NORMALISEREN]]|
|STDEV|[[STDEV]]|
|STDEV.P|[[STDEV.P]]|
|STDEV.S|[[STDEV.S]]|
|STDEVA|[[STDEVA]]|
|STDEVP|[[STDEVP]]|
|STDEVPA|[[STDEVPA]]|
|STEYX|[[STAND.FOUT.YX]]|
|SUBSTITUTE|[[SUBSTITUEREN]]|
|SUBTOTAL|[[SUBTOTAAL]]|
|SUM|[[SOM]]|
|SUMIF|[[SOM.ALS]]|
|SUMIFS|[[SOMMEN.ALS]]|
|SUMPRODUCT|[[SOMPRODUCT]]|
|SUMSQ|[[KWADRATENSOM]]|
|SUMX2MY2|[[SOM.X2MINY2]]|
|SUMX2PY2|[[SOM.X2PLUSY2]]|
|SUMXMY2|[[SOM.XMINY.2]]|
|SYD|[[SYD]]|
|T|[[T]]|
|T.DIST|[[T.DIST]]|
|T.DIST.2T|[[T.VERD.2T]]|
|T.DIST.RT|[[T.VERD.RECHTS]]|
|T.INV|[[T.INV]]|
|T.INV.2T|[[T.INV.2T]]|
|T.TEST|[[T.TEST]]|
|TAN|[[TAN]]|
|TANH|[[TANH]]|
|TBILLEQ|[[SCHATK.OBL]]|
|TBILLPRICE|[[SCHATK.PRIJS]]|
|TBILLYIELD|[[SCHATK.REND]]|
|TDIST|[[T.VERD]]|
|TEXT|[[TEKST]]|
|TEXTJOIN|[[TEKST.COMBINEREN]]|
|TIME|[[TIJD]]|
|TIMEVALUE|[[TIJDWAARDE]]|
|TINV|[[TINV]]|
|TODAY|[[VANDAAG]]|
|TRANSPOSE|[[TRANSPONEREN]]|
|TREND|[[TREND]]|
|TRIM|[[SPATIES.WISSEN]]|
|TRIMMEAN|[[GETRIMD.GEM]]|
|TRUE|[[WAAR]]|
|TRUNC|[[GEHEEL]]|
|TTEST|[[T.TOETS]]|
|TYPE|[[TYPE]]|
|UPPER|[[HOOFDLETTERS]]|
|USDOLLAR|[[USDOLLAR]]|
|VALUE|[[WAARDE]]|
|VAR|[[VAR]]|
|VAR.P|[[VAR.P]]|
|VAR.S|[[VAR.S]]|
|VARA|[[VARA]]|
|VARP|[[VARP]]|
|VARPA|[[VARPA]]|
|VDB|[[VDB]]|
|VLOOKUP|[[VERT.ZOEKEN]]|
|WEEKDAY|[[WEEKDAG]]|
|WEEKNUM|[[WEEKNUMMER]]|
|WEIBULL|[[WEIBULL]]|
|WEIBULL.DIST|[[WEIBULL.VERD]]|
|WORKDAY|[[WERKDAG]]|
|WORKDAY.INTL|[[WERKDAG.INTL]]|
|XIRR|[[IR.SCHEMA]]|
|XNPV|[[NHW2]]|
|YEAR|[[JAAR]]|
|YEARFRAC|[[JAAR.DEEL]]|
|YIELD|[[RENDEMENT]]|
|YIELDDISC|[[REND.DISCONTO]]|
|YIELDMAT|[[REND.VERVAL]]|
|Z.TEST|[[Z.TEST]]|
|ZTEST|[[Z.TOETS]]|
Inhoud:
<div class="tc-table-of-contents">
<<toc-selective-expandable 'Spreadsheets - Voorbeeldformules' sort[title]>>
</div>
`?` staat voor 1 karakter <br>
`*` staat voor nul, een of meerdere karakters
SQL=
* NIET PROCEDURELE je beschrijft enkel de gegevens die je wilt hebben, hoe ze uit de databank worden gehaald boeit me niet
* SET -ORIENTED je werkt met verzameling van rijen die aan een bepaalde conditie voldoen
* TAAL heeft een (in dit geval gemakkelijke) syntax
---
<<list-links filter:"[tag<currentTiddler>]">>
```SQL
CASE
WHEN condition1 THEN result1
WHEN condition2 THEN result2
WHEN conditionN THEN resultN
ELSE result
END;
```
`With UR` Staat voor met Uncommited Read en is ook bekend als Dirty Read of Read through Locks.
Je krijgt ook ongecommited resultaten terug, maar je zal met een `WITH`-clausule sneller je resultaten krijgen.
Handig om te gebruiken als je weet dat er op dat moment niets aan het wijzigen is in je tabellen.
Vb:
```SQL
SELECT *
FROM Voorbeeldtabel
WHERE kolom_a = 98456465
AND '2014-09-15' BETWEEN BGN_DAT AND END_DAT
AND kolom_c in (1, 2, 5, 78)
WITH UR;
```
* table name underscore, no spaces
* a record is a row in a table
* a field is column in a table - fieldname is important, lowercase spaces singulare, alle fields in a table moeten een aparte naam hebben
* unique identifier
* meer tables is vaak beter, per ding een tabel
SQL datatypes
* strings, sequance of characters - short strings, VARCHAR (vaak gebruikt)
* integers nummers: INT tussen -2.000.000.000 en 2.000.000.000
* floats, nummers met comma's NUMERIC 48 digits
schema's = blueprint welke en hoe gerelateerd?
storage op een server
sql gebruiken we om antwoorden op vragen te vinden.
---
keywords:
* SELECT
* FROM
`SELECT field1, field2 FROM test;` `SELECT * FROM test;`
```sql
SELECT field1 AS test, field2 FROM test;
SELECT DISTINCT field1 FROM test;
SELECT DISTINCT field1, field2 FROM test;
```
view is a virtual table that is the result of a saved queru
```sql
CREATE VIEW naam AS
SELECT field1,fiekd2
FROM test;
```
```SQL
SELECT field1 AS test, field2 FROM test LIMIT 10;
```
----
`COUNT()`
```sql
SELECT COUNT(field1), COUNT(field2)
FROM test;
SELECT COUNT(*)
FROM test;
SELECT COUNT(DISTINCT field1)
FROM test;
```
---
order of execution:
* FROM
* WHERE
* GROUP BY
* HAVING
* SELECT (aliases worden hier gedefinieerd)
* ORDER BY
* LIMIT
----
stijl=<br>
hoofdletters keywords, newlines<br>
inspringn,....
https://www.sqlstyle.guide
eindeigen met `;`
`"` om spaties te gebruiken
WHERE met <, >, =, <>, OR, AND, BETWEEN - haakjes! between is inclussive
LIKE, NOT LIKE, IN
`%` een, geen of meerdere karakters, `_` exact een karakter
count(field) neemt geen missing values mee<br>count(*) wel
NULL is een missing vaue
IS NULL vs IS NOT NULL
----
aggregate functions
* COUNT() --> non missing, not NULL
* AVG() --> alleen nulmriek
* SUM() --> alleen numeriek
* MIN() --> kan ook strings (alfabetisch), datums
* MAX() --> kan ook strings (alfabetisch), datums
na SELECT -- field name wordt function - aliassen gebruiken
je kan eerst filteren en dan aggregate functie gebruiken op subset
* ROUND() afronden round(wat, hoeveel plaatsen na de komma (optioneel, indien niet = 0) je kan ook negatieve nummers ingeven, dan rond je voor de komma af)
je kan rekenen: SELECT (4 - 1); - when dividing integer / integer geeft een een integer terug
Agregate functions gaan verticaal, arethmitics horzizontaal !
ORDER BY --> ascending by default ASC of DESC
Soms is het handig where IS NOT NULL toevoegen, je moet het veld waarop je ordered niet toevoegen.<br>
Je kan meerdere fields toevoegen, dan gaan we van links naar rechts orderen<br>
---
GROUP BY --> handig by aggregate function, je kan meerdere group by gebruiken<br>Order by kun je ook erbij gebruiken
HAVING is om te filteren op aggregate functions (dat kan niet met where)
----
inner joins joinen op key's als ze aanwezig ziijn in de tabellen - degene die niet in alle tabellen voorkomen worden overgeslagen<br>
gebruik aliasen voor je tabellennamenen
```sql
select *
from tabel1 as t1
inner join tabel2 as t2
USING(field);
```
werkt niet op db2?
1 op veel
veel op veel
1 op 1
joins on joins on joins on joins
select * from table 1 inner join table 2 on a=a AND b=b
LEFT JOIN vs RIGHT JOIN vs FULL JOIN
CROSS JOIN --> alle mogelijke combinaties van twee tabellen, alles wordt aan alles gelinkt - geen ON / USING nodig
SELF JOINS - --> een tabel met zichzelf joinen - om twee waarden van dezelfde tabel met elkaar te vergelijken - je genruikt een van bovenstaande ON p. = p2 AND p1 <> p2
----
UNION vs INTERSECT vs EXCEPT denk aan venndiagrammen! set operations
Nummer columns + datatypes tussen de tabellen moet identiek zijn, we stapelen ze nl. op elkaar. alleen kolomnamen van de eerste query in de set worden gebruikt als namen<br>
We stapelen tabnellen op elkaar ipv. ze achter elkaar te zetten (joins)
UNION = alle records in each tabel - identieke in de tabellen worden maar een keer getoond.<br>
UNION ALL dan krijg je ook duplicaten
INTERSECT = degene die in de twee tegelijkertijd voorkomen, moeten dus gelijk zijn
EXCEPT = alleen records van de left table die niet in de rechtertable zitten
```sql
SELECT* FROM tabel 1
UNION (ALL)/INTERSECT/EXCEPT
SELECT * FROM tabel2
```
---
joins = horizontaal set = vericaal uw aanpassingen
---
nested sequel queries
tot nu toe waren alle joins adaptive, ze voegen velden toe
`semi join` kiezen een record in de eerste table, die aan een conditie in de tweede tabel voldoen, geeft iets terug als de record s in tabel 2 zitten
```sql
SELECT *
FROM tabel1
WHERE field1 IN
(SELECT field1 FROM tabel2 WHERE conditie)
```
`anti join `
```sql
SELECT *
FROM tabel1
WHERE field1 NOT IN
(SELECT field1 FROM tabel2 WHERE conditie)
```
---
WHERE is most common place subqueries
maar in SELECT kan ook
```sql
SELECT DISTINCT field1, (SELECT COUNT(*) FROM tabel2 where tabel1.field1 = tabel2.field1) AS tel
FROM tabel1
```
```sql
SELECT *
FROM populations
-- Filter for only those populations where life expectancy is 1.15 times higher than average
WHERE life_expectancy > 1.15 *
(SELECT AVG(life_expectancy)
FROM populations
WHERE year = 2015)
AND year = 2015;
```
```sql
-- Find top nine countries with the most cities
SELECT countries.name AS country, COUNT(*) AS cities_num
FROM countries
LEFT JOIN cities
ON countries.code = cities.country_code
GROUP BY country
-- Order by count of cities as cities_num
ORDER BY cities_num DESC, country
LIMIT 9;
```
=
```sql
SELECT countries.name AS country,
-- Subquery that provides the count of cities
(SELECT count(*)
FROM cities
WHERE countries.code = cities.country_code) AS cities_num
FROM countries
ORDER BY cities_num DESC, country
LIMIT 9;
```
subqueries kunnen ook in from
```SQL
SELECT DISTINCT tabel1.id, tabel1.andered
FROM tebel1, tabel2
WHERE tabel1.id = tabel2.id
```
```sql
SELECT DISTINCT monarch.continents, sub.most_recent
FROM monarchs,
(SELECT
continent,
MAX(indep_year) AS most_recent
FROM states
GROYP BY continent) AS sub
WHERE monarchs.continent = sub.continent
ORDER BY continent
```
```SQL
SELECT countries.local_name, sub.lang_num
FROM countries,
(SELECT code, COUNT(*) AS lang_num
FROM languages
GROUP BY code) AS sub
-- Where codes match
WHERE countries.code = sub.code
ORDER BY lang_num DESC;
```
---
CASE
```sql
CASE WHEN x = 1 THEN 'a'
WHEN x = 2 THEN 'b'
ELSE 'c' END AS new
```
je kan in WHEN van case AND gebruiken
Je kan een case in where steken en specifieren wat je wilt bijhouden
In essence, you can use the CASE statement as a filtering column like any other column in your database. The only difference is that you don't alias the statement in WHERE.
```sql
-- Select the season, date, home_goal, and away_goal columns
SELECT
season,
date,
home_goal,
away_goal
FROM matches_italy
WHERE
-- Exclude games not won by Bologna
CASE WHEN hometeam_id = 9857 AND home_goal > away_goal THEN 'Bologna Win'
WHEN awayteam_id = 9857 AND away_goal > home_goal THEN 'Bologna Win'
END IS NOT NULL;
```
case aggregate date
case stetements are like any other column, dus je kan agregate functies gebruiken
```sql
SELECT season,
count(CASE WHEN hometeam_id = 8650 AND home_goal > away_goal THEN id END) AS home_wins,
count(CASE WHEN away_id = 8650 AND away_goa > home_goal THEN id END) AS away_wins,
FROM match
GROUP BY season
```
telt elke id waar waar is - telt aantal rijen!
je kan ook SUM om totalen te tellen gebruiken
```sql
SELECT season,
SUM(CASE WHEN hometeam_id = 8650 THEN home_goals END) AS home_goals,
SUM(CASE WHEN away_id = 8650 THEN away_goal END) AS away_goals,
FROM match
GROUP BY season
```
Else is NULL en wordt dus niet meetgeteld
Je kan ook AVG gebruiken ipv sSUM bijvoorbeeld --> gebruik ROUND
Maar ook percentages!
[img[2023-07-05 16_20_01-CASE WHEN with aggregate functions _ SQL en nog 3 andere pagina's - Profiel 1 - .png]]
```SQL
SELECT
c.name AS country,
-- Sum the total records in each season where the home team won
SUM(CASE WHEN m.season = '2012/2013' AND m.home_goal > m.away_goal
THEN 1 ELSE 0 END) AS matches_2012_2013,
SUM(CASE WHEN m.season = '2013/2014' AND m.home_goal > m.away_goal
THEN 1 ELSE 0 END) AS matches_2013_2014,
SUM(CASE WHEN m.season = '2014/2015' AND m.home_goal > m.away_goal
THEN 1 ELSE 0 END) AS matches_2014_2015
FROM country AS c
LEFT JOIN match AS m
ON c.id = m.country_id
-- Group by country name alias
GROUP BY country;
```
```sql
SELECT
c.name AS country,
-- Round the percentage of tied games to 2 decimal points
ROUND(AVG(CASE WHEN m.season='2013/2014' AND m.home_goal = m.away_goal THEN 1
WHEN m.season='2013/2014' AND m.home_goal != m.away_goal THEN 0
END),2) AS pct_ties_2013_2014,
ROUND(AVG(CASE WHEN m.season='2014/2015' AND m.home_goal = m.away_goal THEN 1
WHEN m.season='2014/2015' AND m.home_goal != m.away_goal THEN 0
END),2) AS pct_ties_2014_2015
FROM country AS c
LEFT JOIN matches AS m
ON c.id = m.country_id
GROUP BY country;
```
----
subqueries kunnen in elke plaats van een query gezet worden
```SQL
SELECT a
FROM tabel
WHERE a > (SELECT AVG(a) FROM tabel);
```
subqueries as list met IN
Subqueries in FROM
* restructuring and transform your date
** transform from long to wide before selecting
** prefiltering
* calculating aggregates of aggregates
`[img [2023-07-06 08_21_22-Subqueries in FROM _ SQL en nog 1 andere pagina - Profiel 1 - Microsoft Edge.png]]
je kan meerdere subqueries in FROm maken
```SQL
SELECT
-- Select country name and the count match IDs
c.name AS country_name,
COUNT(sub.id) AS matches
FROM country AS c
-- Inner join the subquery onto country
-- Select the country id and match id columns
inner join (SELECT country_id, id
FROM match
-- Filter the subquery by matches with 10+ goals
WHERE (home_goal + away_goal) >= 10) AS sub
ON c.id = sub.country_id
GROUP BY country_name;
```
```
SELECT
-- Select country, date, home, and away goals from the subquery
country,
date,
home_goal,
away_goal
FROM
-- Select country name, date, home_goal, away_goal, and total goals in the subquery
(SELECT c.name AS country,
m.date,
m.home_goal,
m.away_goal,
(m.home_goal + m.away_goal) AS total_goals
FROM match AS m
LEFT JOIN country AS c
ON m.country_id = c.id) AS subq
-- Filter by total goals scored in the main query
WHERE total_goals >= 10;
```
maar waarom zou je dat doen?
```SQL
SELECT c.name AS country,
m.date,
m.home_goal,
m.away_goal,
(m.home_goal + m.away_goal) AS total_goals
FROM match AS m
LEFT JOIN country AS c
ON m.country_id = c.id
-- Filter by total goals scored in the main query
WHERE (m.home_goal + m.away_goal) >= 10;
```
geeft hetzelfde resultaat... het antwoord werd zelfs aanvaard :-D
queries in SELECT - return a single value + mathematical calculations
```sql
select a, count(b), (select countb) from tabel) as total_b
FROM tabel GROUP BY a
```
```sql
SELCT a, (b + c), (b + c) - (SELECT AVG(b + c) from tabel)
FROM tabel
```
altijd een single value teruggevenen! let op filters
-------------------------------------
HOE HAAL IK INFORMATIE UIT 1 TABEL?
-------------------------------------
SELECT
------
Hiermee begin je steeds.
Na SELECT komt een lijst van KOLOMNAMEN
gescheiden door KOMMA
daarna:
FROM en de naam van de TABEL, waar de kolommen uit moeten komen
dus:
SELECT kolom1, kolom2, ....
FROM tabel ;
In de output komen de kolommen te staan in de volgorde zoals
ze na select staan
SELECT * dan selecteer je alle kolommen
SELECT DISTINCT dan verwijder je volledig dubbele rijen
select-statement is free-format, case insensitive
pas op: geen afkortingen of splitsingen
zet best minstens 1 spatie na elke komma!
in plaats van kolomnamen kan je ook:
EXPRESSIES opschrijven
vb: SELECT kolom1, kolom2*12, ...
een expressie kan OPGEBOUWD worden uit:
KOLOMNAMEN
CONSTANTEN (LITERALS)
OPERATOREN (BEWERKINGEN)
een expressie is analoog aan een formule uit excel
NUMERIEKE EXPRESSIES
Je kan met volgende werken:
NUMERIEKE KOLOMMEN (kun integer of decimal zijn)
NUMERIEKE CONSTANTEN (decimaal teken is een punt)
OPERATOREN: + - * / ( ) (delingen kunnen geheel of reeel zijn!)
TEKST EXPRESSIES (string)
Je kan met volgende werken:
TEKSTKOLOMMEN
TEKSTUELE CONSTANTEN ('jan' '12.5') staan tussen single quotes (case sensetives)
CONCATENANTIE (???) tussen ||
DATUM EXPRESSIES
Je kan met volgende werken:
DATUMKOLOMMEN
date: eendag
timestamp: een dag, uur, minuut, seconde, microseconde
time: urne, minuten, seconden
CONSTANTEN te schrijven als strings
CURRENT_DATE
CURRENT_TIMESTAMP
REKENEN: + 3 months; - 5 hours
NULL VALUES
niet beschikbaar, onbekend, niet van toepassing, niet ingevuls, ...
BIJ UITDRUKKING WAAR EEN VAN DE WAARDE NULL IS, IS DE UITKOMS ALTIJD NULL
je kan NULL waarde converteren naar echte waarde met
COALESCE of Nz
vb: select loon*coalesce(pct, 100)
let op het type!
RESULTAAT van SELECT is STEEDS een TABEL met ALLE KENMERKEN van een tabel
KOLOMALIASSEN
je kan een kolom een aliasnaam geven in de uitkomst tabel:
schrijf deze naam met as na de selectie, bij spaties tussen "
vb: select pipo*12 as "twaalf keer de pipo"
**********************************************************************************
WHERE
-----
hiermee kun je je resultaat beperken tot rijen die aan voorwaarden voldoen
Je kunt volgende VERGELIJKINGSOPERATOREN gebruiken:
=
>
>=
<
<=
<>
between ... and ... (inclusief grenzen)
in (...,...,...)
[not] like '...' (jokertejens zijn _ voor een karakter of % (of *) voor nul of meer)
[not] like '...' escape '...' (na escape kan je 1 karakter opgeven dat betekent geen joker is)
BETWEEN en IN kun je voor alle gegevenstypes gebruiken
LIKE alleen voor strings
je kan voorwaarden verbinden met
AND
OR
NOT
en haakjes (geen haakjes, AND voorrang)
je kan ook voorwaaarden op niet-geselcteerde kolommen maken
een rij wordt meegenomen als heel de WHERE clausule TRUE is
als het NULL is, is het steeds FALSE
je kan wel ...IS NULL
of ... IS NOT NULL
opgelet met tekstsorteringen, volgens sommigen
komen letters voor cijfers
volgens anderen
letters na cijfers
**********************************************************************************
ORDER BY
--------
normaal is de output niet gessorteerd
met order by kun je ze sorteren
het is een lijst met kolommen (komma's) waarop gesorteerd moet worden
default stijgend maar:
je kan ASC of DESC toevoegen na kolomnaam
je kan ook verwijzen naar kolomnummer
sorteren NULL waarde hangt af van het systeem
sorteerelement moet niet voorkomen bij select
het moet steeds laatst staan
**********************************************************************************
scalaire functies
-----------------
kunnen gebruikt worden bij select, where en order by
kunnen genest worden
zijn standaardfuncties waarmee inhoud kolom bewerkt kan worden
ze geven een waarde per rij in de resultaattabel
opzoeken in handboek leverancier!
een aantal veel voorkomende voorbeelden:
tekstfuncties:
lower(e) converteert e naar kleine letters
uper(e) converteert e naar hoofdletters
substr(e,m[,n]) neemt deel van e, vanaf positie m, over lengte n
lenght(e) geeft de lengte (aantal tekens) van e
ltrim(e) verwijdert de voorloopspatie van e
rtrim(e) verwijdert achterloopspatie van e
numerieke:
round (e [,n])
trunc(e [,n])
mod(m,n)
conversies
----------
gegevenstypes van kolom/expressie kunnen geconverteerd worden naar ander
gegevenstype
vb CHAR(WEDDE)
of
CAST(WEDDE AS CHAR(10))
opgepast met datums!
if-then-else
------------
te gebruiken in select
DECODE functie nodig
vb:
decode (job, '1','bezig',
'2','wachtende',
'3','gedaan',
'onbekend' )
of via CASE
case job when '1' then 'bezig',
when '2' then 'wachtende',
when '3' then 'gedaan',
else 'onbekend'
end
CASE functie is flexibeler, eerste voorwaarde true bepaalt het resultaat
**********************************************************************************
GROEPEREN EN GROEPSFUNCTIES
---------------------------
statistische functies
geven 1 waarde per groep van rijen
5 veel voorkomende functies:
avg (gem; numeriek)
min (kleinst waarde; numeriek, karakter, datum)
max (grootste waarde; numeriek, karakter, datum)
sum (som; numeriek)
count (aantal rijen; numeriek, karakter, datum)
(ook stddev of variance)
in de output zullen alle rijen vervangen worden door 1 rij
je kan inneens meerde fties gebruiken,
MAAR LET OP deze werken onafhankelijk van elkaar!
GROUP BY
--------
resultaat in meerdere groepen onderverdelen
alle rijen met zelfde waarde in kolom beschouwen we als een groep
je kan groeperen om meer dan een kolom (expressie)
Je bepaalt ineens ook de default volgorde
als je STATISTISCHE FUNCTIE GEBRUIKT, krijg je geen oorspronkelijke rijen meer te zien!
==> elke uitdrukking in de select-clause moet ofwel een statistische functie zijn,
ofwel voorkomen in de group by-clause
=> gebruik je zo'n functie zonder group by krijg je slechts 1 rij te zien!
EXTRA FILTER OP HET RESULTAAT
-----------------------------
op 2 manieren:
met WHERE
of
met HAVING
WHERE wordt uitgevoerd VOORDAT er gegroepeerd is.
er zijn dus GEEN GROEPSFUNCTIES in where-clause toegelaten.
HAVING wordt uitgevoerd NADAT er gegroepeerd is.
er zijn dus WEL GROEPSFUNCTIES toegelaten.
dus:
we houden de rijen over die voldoen aan where
deze worden gegroepeerd
we krijgen de rijen te zien die aan having voldoen
GROEPSFUNCTIES EN DISTINCT
--------------------------
ze kunnen allemaal gebruikt worden met DISTINCT
houdt dan enkel rekening met alle verschillende waarden
GROEPSFUNCTIES EN NULL WAARDEN
------------------------------
alle negeren NULL waarden
je kan nvl gebruiken om NULL waarde een waarde te geven
avg(nvl(wedde, 0))
uitzondering:
count(*) telt alle rijen, ook die met NULL en dubbele waarden
count(expr) telt enkel indien expr niet NULL is
TUSSENTOTALEN
-------------
met group by krijg je geen tussentotalen
in ORACLE kun je tussentotalen krijgen met ROLLOp en CUBE
*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*
*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*-*
---------------------------------------------
HOE HAAL IK INFORMATIE UIT MEERDERE TABELLEN?
---------------------------------------------
SET-OPERATOREN
--------------
UNION
INTERSECT
MINUS
hiermee kun je resultaten van meerdere queries combineren tot 1 resultaat
query1 UNION [ALL] query2;
alle rijen uit q1 en q2 - dubbelen worden verwijderd behalve als je all gebruikt
distinct is niet mogelijk
alles NULL waarden zijn gelijk
Q1 INTERSECT [ALL] Q2;
geeft enkel de rijen die in q1 en q2 voorkomen
dubellen worden verwijderd, behalve met ALL
q1 MINUS [ALL] q2
geeft alle rijen die in q1 voorkomen, maar niet in q2
dubellen worden verwijderd, behalve met ALL
RESULTAAT van een van de drie is STEEDS een RESULTAATTABEL
=> elke query moet zelfde aantal kolommen selecteren
en elke kolom moet van hetzelfde type zijn (type-conversie functies zijn mogelijk)
slechts één ; gebruiken, helemaal op het einde
SORTERING is op HEEL RESULTAATTABEL
=> order by mag maar een keer, helemaal op einde
sortering enkel door kolomnummers
je kan MEERDERE set-operatoren gebruiken, worden uitgevoerd van LINKS NAAR RECHTS
maar HAAKJES
ELKE SAMENSTELLENDE query staat LOS van elkaar
==> SYNTACTISCH CORRECT en mogen NIET VERWIJZEN naar elkaar
samenstellende queries hebben niets met elkaar te maken,
je kan dus verwijzen naar VERSCHILLENDE tabellen
KOLOMALIASSEN enkel in EERSTE query
niet alle setr-operaturen worden altijd ondersteund
minus heet soms except
Beperking:
Elke rij uit de resultaattabel in steeds, in zijn geheel, terug te brengen tot één rij uit één basistabel.
Tips:
dummy-kolommen kun je gebruiken om te zorgen dat output gesorteerd is op query
union operator kan gebruikt worden om titels aan queries te geven
**********************************************************************************
JOINS
-----
ENIGE manier om van MEERDERE RIJEN uit VERSCHILLENDE KOLOMEN in 1 RIJ in de RESULTAATTABEL te krijgen
vertrek vanuit 1 tabel (DRIVING TABEL)
daarachter plaats je rij van andere tabel (JOINTABEL)
vb:
atabel INNER JOIN btabel ON atabel.x = btabel.x
TABELALIASSEN
--
bij joinen komt het vaak voor dat kolomnamen niet meer uniek zijn in resultaattabel
je moet ze qualifieren om ze uniek te maken
om dit makkelijker te maken kun je tabellen een aliasnaam geven:
atabel AS a INNER JOIN btabel AS b ON a.x = b.x
A JOIN B of B JOIN A
--
de volgorde maakt niet uit
meerdere rijen in de jointabel die voldoen, dan wordt de corresponderende rij in de
drijvende tabel herhaald in de resultaatatbel
SELECTEREN UIT EEN JOIN
--
resultaat van een join is een tussentijdse tabel
hieruit kun je gewenste informatie selecteren
ZONDER JOIN CONDITIE
--
Het gedeelte na ON
gebruik je dit niet dan krijg je een Cartesiaans product
alle rijen van de join-tabel komen in aanmarking
meestal niet gewenst, vaak niet mogelijk!
(je kan ev. cross join gebruiken)
JOINS MET MEER DAN TWEE TABELLEN
--
geen grens op aantal tabellen die je in FROM kunt joinen
uitgevoerd van links naar rechts.
COMPLEXERE JOIN-CONDITIES
--
join moet gelegd worden op meer dan een kolom ==>
vermeld dan meer dan een voorwaarde na on (gescheiden door vb AND)
INNER EN OUTER JOINS
--
inner join: als rij uit cartesiaans product niet voldoet aan de where-clause valt ze weg
OOK het stuk uit de drijvende tabel
outer join: alle rijen uit de drijvende tabel worden opgenomen
kolommen uit join-tabel die er niet zijn worden met NULL waarden opgenomen
LEFT OUTER JOIN
--
alle rijen uit de linker (eerste tabel) komen voort
zelfs als er geen overeenkomstige rijen in de andere tabel zijn
RIGHT OUTER JOIN
--
analoog aan left
FULL OUTETR JOIN
--
Alle rijen uit beide tabellen komen voor
zelf als er geen overeenkomstige rijen zijn
wordt niet door alle leveranciers ondersteund
SELF JOIN
--
join een tabel met zichzelf, gebruik tabelalias
**********************************************************************************
SUBQUERIES
----------
zijn (bijna) volledige select-statement in de where / having / from clause
SINGLE ROW SUBQUERIES
---------------------
geven 1 rij en meestal 1 kolom terug en kunnen gebruikt worden ipv. 1 waarde
* enkel waarde wordt vervangen, er moet steeds vergelijkingsoperator zijn
* staan tussen ronde haakjes
* mag ook een order by bevatten
* statement kan uit meerdere subqueries bestaan
* subqueries zijn onafhankelijk van de hoofdquery en kan dus uit andere tabellen selecteren
* eerst wordt de sub uitgevoerd, de verkregen waarde wordt in de hoofd ingevuld
je kan soms meer dan een kolom specifieren
MULTIPLE ROW SUBQUERIES
------------------------
geven meer dan 1 rij terug, je dient (NOT) IN te gebruiken
some of any: er is minstens een terugkeerwaarde vd subquery waarvoor de vergelijking klopt
all: de vergelijking moet opgaan voor alle terugkeerwaarde
exists: de subquery moet minstens 1 rij trggeven
not exists; de subquery mag geen enkele rij teruggeven
CORRELATED SUBQUERIES
---------------------
de subquery maakt gebruikt van ene kolom uit een tabel uit de from clause hoofdquery (alias)
subquery wordt uitgevoerd voor elke kandidaat rij
opgelet met not in: als subquery NULL geeft, is not in altijd false (gebruik not exist)
gebruik goede aliassen om het geheel leesbaar te houden!
**********************************************************************************
Checklist SQL
-------------
HOE WORDT EEN SELECT-STATEMENT UITGEVOERD?
------------------------------------------
1 bepaal de resultaattabel van de joins in de FROM-clause
2 verwijder de rijen die niet voldoen aan de WHERE-clause
3 maak groepen op basis van de expressies in de GROUP BY-clause
4 verwijder de rijen die niet voldoen aan de HABING-clause
5 voor elke rij: bereken de verschillende kolommen in de SELECT-clause
6 Herhaal 1-5 per elementaire SELECT, en pas de SET OPERATOREN toe
7 sorteer volgen de specificaties in de ORDER BY-clause
Hoe rekening met subqueries!
SYNTACTISCHE CORRECTHEID
------------------------
1. Als een statistische functie (count, avg, sum,…) gebruikt wordt, is er dan ook een GROUP BY?
Zo nee, is het dan juist dat het resultaat maar 1 rij bevat?
2. Als een statisische functie voorkomt in de SELECT-clause,
komen dan de elementen zonder statistische functie allemaal voor in de GROUP BY-clause?
3. De WHERE-clause kan geen statistische functies bevatten!
4. Staat er een operator vóór de subquery?
5. Als er na FROM meer dan 1 tabel staat, zijn er dan voldoende join-condities?
6. Komt SELECT voor?
7. Komt FROM voor?
8. DISTINCT en UNION gaan niet samen!
9. Bij set-operators (union, minus, intersect): heeft elk apart statement evenveel kolommen in de select?
Zijn alle kolommen van hetzelfde type?
10. Zijn alle tabellen die gebruikt worden ook opgesomd in de FROM-clause ?
M.a.w., worden er geen kolommen gebruikt uit tabellen die niet voorkomen in de FROM ?
INHOUDELIJKE CORRECTHEID
------------------------
Er is geen mogelijkheid om het resultaat te controleren!
je krijgt altijd wat jer gevraagd hebt, maar heb je wel gevraagd wat je nodig hebt?
Die kun je [[hier|https://sites.google.com/site/tvwriting/bibles]] vinden
https://www.youtube.com/watch?v=1W1_8IV8uhA&list=UUxRrQIpejUXUi8i4mAbzSlg
https://www.change.org/p/nerds-designate-kurtzman-trek-as-non-canon
Bij rekenen en in de wiskunde is het gemiddelde of de gemiddelde waarde een begrip dat veelvuldig voorkomt. Het bekendste is het rekenkundig gemiddelde: de som van een aantal getallen gedeeld door het aantal getallen.
$$\bar {x}$$
In een spreadsheet doen we dit met [[GEMIDDELDE]]
Statistiek is de wetenschap en de techniek van het verzamelen, bewerken, interpreteren en presenteren van gegevens. Statistische methoden worden gebruikt om grote hoeveelheden gegevens – zoals uit een geneeskundig, industrieel of sociaal onderzoek – om te zetten in bruikbare informatie. De principes van statistiek komen voort uit de regels van de [[Wiskunde]] en de wetten van de logica.
[[Zie ook hier|https://statistiekverhelderd.blogspot.com/2012/08/termen-voor-beginners.html]] en op de site ven de universiteit hasselt [[hier|https://www.uhasselt.be/lesmateriaal-statistiek-werkteksten]]
<<list-links [tag<currentTiddler>]>>
Om een goed indruk te krijgen van een serie waarnemingsgetallen zou je, naast het [[gemiddelde|Statisitiek - Gemiddelde]], ook een maat voor spreiding moet hebben, een spreidingsmaat.
De spreidingsmaat die het meest gebruikt wordt is de standaarddeviatie of in goed Nederlands standaardafwijking.
Deze neemt de som van het kwadraat van de verschillen tussen elke score en het berekende gemiddelde.<br>
Waarom het kwadraat? <br>
Omdat die verschillen zowel positief als negatief kunnen zijn. Door ze te kwadrateren, bekomen we enkel positieve afwijkingen. Want om die afwijking is het ons
uiteindelijk te doen.
Een standaardafwijking is een mate van de afwijking van elke score ten opzichte van het gemiddelde. <br>
De som van al die afwijkingen wordt door het aantal scores gedeeld, en dan wordt daar opnieuw de vierkantswortel uit getrokken (om van die kwadraten af te zijn).
De standaardafwijking wordt gebruikt om de spreiding - de mate waarin de waarden onderling verschillen - van een verdeling aan te geven.
Hoe groter de standaarddeviatie hoe groter de verschillen tussen de verschillende waarnemingen.
Dus:
* Bereken het gemiddelde
* Bereken van elk getal de afstand $$d$$ tot dat gemiddelde
* Neem het kwadraat $$d^2$$ van al die afstanden
* Bereken het gemiddelde van al die afstanden
* Neem de wortel van dat gemiddelde
$$\sigma$$
In een spreadsheet doen we dit met [[STDEV]]
[[Statistiek - Standaardafwijking]]
Online kan je strips [[hier|https://www.izneo.com/nl/]] kopen en lezen.
Een interessante vergelijking tussen de infrastructuur van wegen in Amerika/Canada en Europa/Nederland
<iframe width="560" height="315" src="https://www.youtube-nocookie.com/embed/ORzNZUeUHAM" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture" allowfullscreen></iframe>
Zou dit het volgende kunnen verklaren:
{{Verkeer - Doden per miljoen inwoners}}
Al is het verschil minder groot als je gaat kijken naar het aantal doden per kilometers gereden:
https://en.wikipedia.org/wiki/List_of_countries_by_traffic-related_death_rate
Gevonden [[hier|https://old.reddit.com/r/dataisbeautiful/comments/p8phly/yearly_road_deaths_per_million_people_across_the/]]
=SUBSTITUEREN(zoektekst; zoeken_naar; vervangen_door; optioneel instantienummer)
Ook te gebruiken om tekens te verwijderen: =SUBSTITUEREN(A1;"-";"") alle - worden weggedaan.
```python
tableData = [
['apples', 'oranges', 'cherries', 'banana'],
['Alice', 'Bob', 'Carol', 'David'],
['dogs', 'cats', 'moose', 'goose']
]
def printTable(lijst):
# Maak een lijst met nullen - komt uit het boek
colWidths = [0] * len(lijst)
# Geef de items van de lijst de waarde van de langste string
x = 0
while x < len(colWidths) :
for item in lijst[x]:
if len(item) > colWidths[x]:
colWidths[x] = len(item)
x += 1
# Print alles af
z = 0
while z < len(lijst):
y = 0
while y < (len(lijst) - 1):
print(lijst[y][z].rjust(colWidths[y]), end=" ")
y +=1
print(lijst[y][z].rjust(colWidths[y]))
z +=1
printTable(tableData)
```
https://makkelijkelinuxtips.blogspot.com/p/firefox.html#ID1
Veel spreadsheets bevatten cellen met tekst.
Deze worden vaak gebruikt om duiding te geven aan cellen met numerieke waarde in de buurt.
TEKST.COMBINEREN (scheidingsteken, lege_negeren, tekst1, [tekst2], …)
Engels: Textjoin()
scheidingsteken: staat tussen dubbele haakjes en wordt tussen de teksten gezet, kan leeg zijn " " <br>
lege_negeren: indien WAAR, worden lege cellen of textstrings genegeerd <br>
tekst1 en verdere, de tekst (kan verwezen worden naar cellen) die samengenomen moeten worden.
https://support.microsoft.com/nl-nl/office/tekst-combineren-functie-357b449a-ec91-49d0-80c3-0e8fc845691c
|!Schrijver|{{!!schrijver}}|
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Redelijke film, ontspanned, gewweldige pruiken en decors. Goed gefilmd (visbokaal). Scenario was misschien wat magertjes
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Beetje onnozele western over een vrouw die drie gek geworden vrouwen terug naar de "beschaafde" wereld moet brengen.
Niet vervelend, maar ook niet memorabel. Dit was al de tweede keer dat ik de film zag, maar had het pas halverwege de film door.
* Two ways to move a div around on the page
* Stick a div onto the bottom or top of the page
* Identify the background color of an existing webpage
* Grab the URL for an image from an existing webpage
* Center an element horizontally
* Identify three ways you can include your CSS styles in a page
* Understand how to use classes and ids to target CSS at specific elements on the page
* Build a very basic form (even if it doesn’t “go” anywhere)
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Een van de weinige films die ik ooit heb afgezet
Deze site is gemaakt met TiddlyWiki. <br>
TiddlyWiki is een wiki (denk [[Wikipedia|https://nl.wikipedia.org/wiki/Hoofdpagina]]) die bestaat uit één html-file (denk website).
---
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
---
[[Een website die interessante tiddlywiki's linken oplijst|https://links.tiddlywiki.com/]]
Iemand heeft een zeer uitgebreide tutorial gemaakt voor TiddlyWiki, deze kun je [[hier|https://groktiddlywiki.com/read/]] vinden. (Een mirror dd. 19/05/2021 [[hier|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/grok.html]]).
[[Een werkbestand hiervoor|https://pdhlh.github.io/grok_tiddlywiki/]]
TiddlyWiki's kun je als een website gebruiken door ze via [[Github Pages|https://pages.github.com/]] te hosten. <br>
Je kan zelfs je Tiddlywiki direct in je browser aanpassen en de wijzigingen worden direct opgeslagen.
Als ik het kon opzetten, kan iedereen dat :-)
De te volgen stappen, die ik vooral van [[hier|https://kookma.github.io/TW5-GitHub-Saver/#:~:text=TiddlyWiki%20can%20save%20changes%20directly,account%20used%20for%20saving%20changes]] heb:
!! Maak een account op Github
{{Maak een account op Github}}
!! Zet een Github repository op
{{Zet een Github repository op}}
!! Upload je eerste file
Download een lege TiddlyWiki van [[hier|https://tiddlywiki.com/]] en hernoem die naar index.html
En kies dan bij Quick Setup Upload an Existing File:
{{IMG-Git:Quick-setup}}
In het volgende schermpje kun je dan de file index.html opladen:
{{IMG:Git-choose your files}}
Vergeet na het opladen zeker niet op commit changes te drukken
{{IMG:Git-commit_changes}}
!! maak van je wiki een website
Ga in je repositorie op de Github website naar settings - options en scrol naar beneden.
[img[https://computers.heggenmus.eu/Tiddlywiki/Github/settings-options.png]]
Daar zie je ergens een optie voor Github pages en vul je in:
[img[https://computers.heggenmus.eu/Tiddlywiki/Github/2021-02-16%2009_42_56-Window.png]]
en een paar minuten later staat je website online
!! Zorg dat je Tiddlywiki kan saven naar Github
//Het is misschien handiger als je alles (behalve het wachtwoord) eerst invult voordat je een eerste keer gaat opladen//
Ga in je TiddlyWiki naar Control Panel
Typ bij username je github username
Target repository je username/net_gemaakte_repository
Target Branch for Saving: als je het zoals boven hebt gedaan: master
Path to Target File: /
Filename of targetfile: index.html
Server API URL: staat al ingevuld, maar is https://api.github.com
[img[https://computers.heggenmus.eu/Tiddlywiki/Github/Tiddly_save_control_panel.png]]
Dan blijft er nog alleen het wachtwoord over. <br> Dit moeten we eerst aanmaken in github - settings - developer settings - personal acces token (zie ook [[hier|https://docs.github.com/en/github/authenticating-to-github/creating-a-personal-access-token]])
Je moet een personal acces token maken met de opties gist en repo aangevinkt.
Dit personal accestoken moet je, nadat de file werd opgeladen (?) invullen bij wachtwoord. Deze token wordt in je browser opgeslagen, dus in de browser waaarin je aan het werken bent kan iedereen wijzigingen aanbrengen, in een andere browser niet.
Surf naar je pagina en druk af en toe op de opslaan knop van je TiddlyWiki.
=TRANSPONEREN(Matrix of bereik)
rijen worden kolommen, kolommen rijen
||A|B|C|D|E|F|G|H|I|J|
|1|1 |2 |3 | | | | | | | |
|2|4 |5 |6 | | | | | | | |
|3| | | | | | | | | | |
|4|1 |4 | | | | | | | | |
|5|2 |5 | | | | | | | | |
|6|3 |6 | | | | | | | | |
|7| | | | | | | | | | |
|8| | | | | | | | | | |
|9| | | | | | | | | | |
Formule in cel A4 is =TRANSFORMEREN(A1:E2) <br>
De andere cellen worden automatisch opgevult
Als je ik niet bent, heb je hier niet veel te zoeken :-)
Mensen die hun [[Tuin]] helemaal betegelen - bekiezelen - groen-vrij maken. Zie voor voorbeelden [[hier|https://twitter.com/onderhoudsarmoe/]]
Als je ik niet bent, heb je hier niet veel te zoeken :-)
Normaal als je een lijst met tiddlers wilt hebben die getagd zijn met iets doe je dat als volgt:
```
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```
Wil je nu een lijst met alle tiddlers die je kan verschuiven in je tiddler dan doe je dat als volgt:
```
<<list-links-draggable tiddler:"CSS">>
```
Alle tiddlers die in de lijst van de tiddler [[CSS]] staan kun je dan in die tiddler makkelijk verplaatsen.<br>
Standaard staan in die lijst de tiddlers getagd met de naam van de hooftiddler.
<$tiddler tiddler="TW - Een printknop toevoegen aan een tiddler">
<$button message="tm-open-window" class="tc-float-right">
{{$:/core/images/export-button}} open printable version
</$button>
</$tiddler>
```html
<$tiddler tiddler="naam van de tiddler">
<$button message="tm-open-window" class="tc-float-right">
{{$:/core/images/export-button}} open printable version
</$button>
</$tiddler>
```
De printknop kan dus verwijzen naar een andere tiddler, met bijvoorbeeld dezelfde inhoud als deze tiddler, dan heb je de printknop niet bij het printen
[[Voor een voorbeeld, zie hier|https://tritarget.org/#Resume]]
There are a lot of different operators available for use in filters, and many of them are very specific and rarely needed, but hard to do without in those rare circumstances. Thus, it is not worth trying to learn them all. Instead, anytime you can't remember the details of or don't know of an appropriate operator, you should review the comprehensive [[documentation|https://tiddlywiki.com/#Filter%20Operators]]. You might want to bookmark that page right now.
The list can be overwhelming at first, so let's take a look at the most common operators.
!! Links
* `backlinks[]`: Get all of the tiddlers that link to the input tiddler.
** //Example//: `[[JaneDoe]backlinks[]]` gets all tiddlers that link to Jane.
* `links[]`: Get all of the tiddlers that the input tiddler links to.
** //Example//: `[tag[Meeting]links[]]` finds all tiddlers that were mentioned in any meeting.
!! Tags
* `tag[X]`: Keep all of the input tiddlers that have the tag `X`.
** //Example//: `[tag[Application]]` finds all tiddlers tagged `Application`.
* `tagging[]`: Get all tiddlers in the wiki that are tagged with the input tiddler.
** //Example//: `[[Application]tagging[]]` is the same thing as `[tag[Application]]`.
** You need to use `tagging[]` instead of `tag[]` when you don't know what tag(s) you want to look for ahead of time. For instance, let's say we wanted to find all tiddlers that are part of any project. In our wiki, we decided to tag our projects `Project`, then tag the tiddlers that are part of that project with the project tiddler (e.g., the parts of the `OnboardingProject` are tagged `OnboardingProject`). So we could use the filter `[tag[Project]tagging[]]` -- the first filter step returns all project tiddlers, and the second step finds all the tiddlers that any of //those// tiddlers is tagging.
!! Fields
* `field:fieldname[value]`: Keep all of the input tiddlers that have a field called `fieldname` with a value of `value`.
** //Example//: `[field:phone[888-555-1234]]` returns `JaneDoe` because that's Jane's phone number.
** This usage is common enough that you can shorten it to `fieldname[value]`, provided that your field name doesn't conflict with another filter operator (for instance, if you had a field called `backlinks`, you would have to use `field:backlinks` to refer to that field, since there is a `backlinks` filter operator already).
* `contains:fieldname[value]`: Like `field:`, but treats the field as a [[list|Ordering Tiddlers]] containing multiple values, and only requires `value` to be one of the items in the list.
** //Example//: `[contains:family[JohnDoe]]` returns `JaneDoe` and `EmilyDoe` because both of them have John as a family member.
* `has[fieldname]`: Keep all of the input tiddlers that have a non-empty field called `fieldname`.
** //Example//: `[tag[Contact]!has[phone]]` finds any contact tiddlers we forgot to add phone numbers to.
** For filtering purposes, TiddlyWiki treats empty fields and nonexistent fields the same.
* `search:field1,field2[mysearch]`: Keep all of the input tiddlers whose `field1` or `field2` field matches the search query `mysearch`.
** Any number of fields can be listed in the suffix, separated by commas. The single suffix `*` searches all fields. If no suffix is provided, the `tags`, `title`, and `text` fields are searched.
** Multiple words in the search query are searched separately with all words required to be somewhere in the text, and case is ignored, so that `my search` will find the phrase “Search my wiki”, but not “Search here”. You can change this behavior by adding an additional suffix; see the [[documentation|https://tiddlywiki.com/#search%20Operator]] for details.
!! Miscellaneous
* `count[]`: Output a single value describing how many input tiddlers were passed to the operator.
** //Example//: `[tag[Meeting]count[]]` returns `3` if we have 3 tiddlers tagged `Meeting`.
* `sort[field]`: Sort the input tiddlers by `field`.
** //Example//: `[tag[Meeting]sort[at]]` shows all meetings from earliest to latest.
** The sort is not case-sensitive; uppercase and lowercase letters sort identically.
** Adding a `!` in front of `sort` reverses the order, showing all meetings from latest to earliest.
** Leaving out the field, writing just `sort[]`, sorts by the title.
* `get[field]`: Take all of the input tiddlers and output the //values// of the field `field` on those tiddlers.
** //Example//: `[[JaneDoe]get[email]]` yields Jane's email address.
I see that I can transclude tiddlers with a specific tag using
```HTML
<$list filter="[tag[TagNameHere]]">
! <$transclude field="title"/>
<$transclude mode="block"/>
```
I can also make lists of tiddlers tagged with the current title using <currentTiddler>
```HTML
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
```
En wat dan om het bovenstaande te bereiken? Dan doe je het volgende:
```HTML
<$list filter="[tag{!!title}]">
<h1><$link><$transclude field="title"/></$link></h1>
<$transclude mode="block"/>
</$list>
```
```
the <h1>...</h1> line includes the title of the transcluded tiddler as a linked header, which is nice.
```
Gevonden op [[Stackoverflow|https://stackoverflow.com/questions/43036377/how-to-transclude-all-tiddlers-tagged-with-current-title]] en [[Google Groups|https://groups.google.com/g/tiddlywiki/c/V-sEk0nULSI/m/KFbOQ3vmEwAJ]]
!Maak een template (begin met $:/)
Geef het een naam bijvoorbeeld:
```
$:/2/Template/XXX
```
Geef het inhoud, secties, fields en tags
!Maak een knop
kloon
```
$:/core/ui/Buttons/new-tiddler
```
Geef het een naam, bijvoorbeeld:
```
$:/2/Buttons/XXX
```
En dan iets dat ik niet helemaal begrijp:
```
set template
add param=$:/2/Template/XXX to the button widget
toolbar
keeping the tag $:/tags/PageControls, your button will be listed at:
Sidebar / (More /) Tools — even in the page toolbar, if enabled
```
Een knop:
```
<$set name="tv-config-toolbar-icons" value="yes">
<$set name="tv-config-toolbar-text" value="yes">
<$set name="tv-config-toolbar-class" value="">
{{$:/2/Buttons/XXX}}
</$set>
</$set>
</$set>
```
Een template knop:
```
<$button
param="$:/2/Template/xxx"
message="tm-new-tiddler"
tooltip={{$:/2/Buttons/XXX!!description}}
class=<<tv-config-toolbar-class>>>
<$list filter="[<tv-config-toolbar-icons>prefix[yes]]">
{{$:/core/images/new-button}}
</$list>
<$list filter="[<tv-config-toolbar-text>prefix[yes]]">
<span class="tc-btn-text"><$text text={{$:/2/Buttons/XXX!!caption}}/></span>
</$list>
</$button>
```
met dank aan:
https://tobibeer.github.io/tb5/#Create%20Tiddler%20From%20Template
Met CSS:
Maak een tiddler getagd met `$:/tags/Stylesheets` waarin (voor vier kolommen bijvoorbeeld) instaat:
```CSS
/* FOUR COLUMN MODE */
.fourcolumns {
display:block;
column-count:4;
column-gap:1em;
-moz-column-count:4;
-moz-column-gap:1em;
-webkit-column-count: 4;
-webkit-column-gap:1em;
}
```
en om je output te stylen:
```HTML
@@.fourcolumns
<$list filter="[tag[Filter Operators]]" variable="foo"><br>
<<foo>>
</$list>
@@
```
Maak een tiddler met alles erin wat je in je template wilt hebben
Een knop kun je dan als volgt ergens maken:
`<$button message="tm-new-tiddler" param="StandardNoteTemplate">New standard note</$button>`
Waar param= verwijst naar de tiddler die je juist gemaakt hebt (hier dus ~StandardNotetemplate)
Deze knop kun je ergens droppen
---
Je kan ook een knop maken die in de toolbar getoond wordt
Je maakt een tiddler met de tag `$:/tags/PageControls`
en iets ala onderstaande code erin:
```
\define newHereButtonTags()
[[$(WELKE TAGS WIL JE JE TIDLLER MEEGEVEN)$]]
\end
\define newHereButton()
<$button class=<<tv-config-toolbar-class>> tooltip="WAT WIL JE ZIEN ALS JE EROVER HOVERT">
<$action-sendmessage
$message="tm-new-tiddler"
$param="WELKE TIDDLER GEBRUIKEN WE ALS TEMPLATE"
title="WELKE TITEL GEVEN WE DE TIDDLER MEE"
tags=<<newHereButtonTags>> />
<$list filter="[<tv-config-toolbar-icons>match[yes]]">
{{WELK ICOONTJE}}
</$list>
<$list filter="[<tv-config-toolbar-text>match[yes]]">
<span class="tc-btn-text"><$text text="GEEN IDEE"/></span>
</$list>
</$button>
\end
<<newHereButton>>
```
Icoontjes kun je bijvoorbeeld halen uit tiddlers die als volgt beginnen: `$:/core/images/`
Of [[TW - Hoe maak ik nieuwe template wiki met knop]]
We kunnen in [[TiddlyWiki]] macro's gebruiken:
```
\define wikipediaLink(articleName)
https://en.wikipedia.org/wiki/$articleName$
\end
<<wikipediaLink Aardvark>>
```
* je kan meer dan een variabele in je macro gebruiken
```
\define wikipediaLink(articleName, linkText)
[[$linkText$|https://en.wikipedia.org/wiki/$articleName$]]
\end
<<wikipediaLink Aardvark "Wikipedia on Aardvarks">>
```
let op! bij `define` gebruik je komma's, in de `<<>>` spaties - `"` zijn nodig als er spaties in de tekst komen te staan
staan er `"` in je tekst dan moet je driedubbele `"` gebruiken:
```
\define wikipediaLink(articleName, linkText)
[[$linkText$|https://en.wikipedia.org/wiki/$articleName$]]
\end
<<wikipediaLink Aardvark """What is an "aardvark"?""">>
```
* macro's zonder parameters kunnen ook - dit is eigenlijk hetzelfde als een [[variabele |TW - Variabelen]] (maar met eventueel een grotere scope)
```
\define disclaimer()
(This paragraph does not represent the formal opinion of my company.)
\end
You need to use the Really Annoying Five-Factor Authentication Process to get into the Employee Information System if it is a Tuesday, unless you have also purchased coffee (tea or pastries do not count) in the company cafeteria earlier in the day. <<disclaimer>>
```
* je kan de parameters ook in je macro benoemen, dan is de volgorde niet van belag:
```
\define wikipediaLink(articleName, linkText)
[[$linkText$|https://en.wikipedia.org/wiki/$articleName$]]
\end
<<wikipediaLink linkText:"Wikipedia on Aardvarks" articleName:Aardvark>>
```
* je kan default waarden meegeven:
```
\define wikipediaLink(articleName, linkText:"Wikipedia Link")
[[$linkText$|https://en.wikipedia.org/wiki/$articleName$]]
\end
<<wikipediaLink Aardvark>>
<<wikipediaLink Aardvark "Wikipedia on aardvarks">>
```
* je kan macro's oproepen met `$macrocall` [[TW- Widget]]
```
\define wikipediaLink(articleName, linkText)
[[$linkText$|https://en.wikipedia.org/wiki/$articleName$]]
\end
<$macrocall $name="wikipediaLink" linkText="Wikipedia on Aardvarks" articleName=Aardvark />
```
Waarom zou je dat doen? als je variabelen of macro's in je macro wilt gebruiken:
```
\define wikipediaLink(articleName, linkText)
[[$linkText$|https://en.wikipedia.org/wiki/$articleName$]]
\end
<$set name="linktext" value="An animal">
* <$macrocall $name="wikipediaLink" linkText=<<linktext>> articleName="Aardvark" />
* <$macrocall $name="wikipediaLink" linkText=<<linktext>> articleName="Bee" />
* <$macrocall $name="wikipediaLink" linkText=<<linktext>> articleName="Cow" />
</$set>
```
* je moet deze als eerste in je tiddler zetten, anders werkt het niet
* Je kan ook macro's zetten die in heel je [[TiddlyWiki]] werken: tag ze met `$:/tags/Macro` dan werken ze overal!
* Je kan ook macro gebruiken in sommige tiddlers wel en andere niet:
```
\import [tag[MyAwesomePrivateMacros]]
```
dit importeert alle macro's die getagd zijn met `MyAwesomePrivateMacros ` in de huidige tiddler (je kan andere [[TW - Filters]] gebruiken ook natuurlijk.
Gebruik [[TW - Transclusie]] in een macro:
```
\define getPhone(person)
{{$person$!!phone}}
\end
Jane's manager's phone number is: <$macrocall $name="getPhone" person={{!!manager}} />
```
* `[[double square brackets]]` – to link to content
* `<<double angle brackets>>` – to get content from a variable or macro
* `{{double curly braces}}` – to get content from a tiddler field
TiddlyWiki kun je uitbreiden met plugins en thema's
Uit de officiële store zijn volgende mijn meest gebruikte:
* Highlight
* Codemirror
* Comments
Andere plugins die ik graag gebruik zijn
* Refnotes [[Officiële website|https://kookma.github.io/TW-Refnotes/]] - [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/refnotes.html]]
* Relink [[Officiële website|https://flibbles.github.io/tw5-relink/]] - [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/relink.html]]
* Tamasha [[Officiële website|https://kookma.github.io/TW-Tamasha/]] - [[Mirror dd 2021-03-15|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tamasha.html]]
*Reveal js [[Officiële website|https://sukima.github.io/tiddlywiki-reveal-js/]] - [[Mirror dd 2021-03-15|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/revealjs.html]]
* Encrypt Single Tiddler: [[Officiële website|https://danielorodriguez.com/TW5-EncryptTiddlerPlugin/]] - [[Mirror dd. 2021-07-08|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/encrypt.html]]
* Commander: [[Officiële website|https://kookma.github.io/TW-Commander/]] - [[Mirror dd. 2021-07-08|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/commander.html]]
* Shiraz: [[Officiële website|https://kookma.github.io/TW-Shiraz/#]] - [[Mirror dd 2021-09-01|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/shiraz.html]]
* ~ToDoList: [[Officiële website|https://kookma.github.io/TW-Todolist/#]] - [[Mirror dd. 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/todo.html]]
* Tiddlytables: [[Officiële website|https://tiddlytables.tiddlyspot.com/]] - [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tiddlytable.html]]
Veruit het beste thema voor TiddlyWiki is het Notebook thema [[Officiële website|https://nicolas.petton.fr/tw/notebook.html]] - [[Mirror dd 2021-03-15|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/notebook.html]]<br>
Voor een redelijk aangenaam donker kleurenpalet kun je [[Dracula|https://draculatheme.com/tiddlywiki]] gebruiken of kloon volgende tiddler in je Tiddlywiki (versie dd 2021-07-19): [[$:/themes/palettes/Dracula]] - je kan dit ook alleen gebruiken in code-blokken, kopieer daarvoor: [[$:/dracula/highlightjs]]<br>
WIl je een licht/blauw kleurenpallet dan kun je [[Bluish|http://tongerner.tiddlyspot.com/#Themes]] gebruiken. (versie dd. 2021-07-23 [[$:/palettes/Bluish]])
Iemand heeft ook iets redelijk aangenaam aan de ogen van tiddlywiki kunnen maken : [[tw-n0d1|https://silvyn.github.io/tw-n0d1/]] - [[mirror dd 2021-09-16|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tw-n0d1.html]]
En wil je een full blown taken opvolgingssyteem dan kun je projectify gebruiken [[Officiële website|https://projectify.wiki/]] - [[mirror van een leeg bestand dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/projectify.html]]
[[Een hoop losse tips en trucs|https://giffmex.org/gifts/documenting.tw.html]] - [[Mirror dd 04/06/2021|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/documenting.tw.html]] - Hier kun je via [[Stroll|https://giffmex.org/stroll/stroll.html]] - [[Mirror dd. 2021-06-04|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/stroll.html]] een hoop handige zaken in trekken - zoals alle linkfunctionaliteit die in deze TiddlyWiki gebruikt wordt.
Website van [[Tobias Beer|https://tobibeer.github.io/tw5-plugins/#Welcome]] met een hoop plugins. [[Mirror dd. 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tobibeer.html]]
Website van [[TonGerner|https://tongerner.tiddlyspot.com/]] met zijn plugins. [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tongerner.html]]
Wil je Fontawsome (een oude versie in je tiddlywiki kijk dan [[hier|https://tobibeer.github.io/tw/fa/#GettingStarted]] - [[Mirror dd. 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/fontawsome.html]]
[[Tiddlymap|http://tiddlymap.org/#]] - [[Mirror dd 2021-07-23|http://tiddlymap.org/#]]
[[Tidgraph|https://ihm4u.github.io/tw5plugs/]] - [[Mirror dd 2021 - 07 -27|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tidgraph.html]]
Enkele speciale gevallen om een onderzoek te starten, of iets te leren (voor wat het waard is):
* [[Tiddlyroam|https://tiddlyroam.org/]] - [[Mirror dd. 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tiddlyroam.html]]
* [[Tiddlyresaerch|https://kebifurai.github.io/TiddlyResearch/]] - [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tiddlyresearch.html]] - [[Lege versie dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tiddlyresearch-empty.html]]
* [[TiddlyStudy|https://kebifurai.github.io/tiddlystudy/]] - [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/tiddlystudy.html]]
Een wiki die in je browser alles opslaat is [[Noteself|https://noteself.org/]] - deze kun je ook met een externe databank verbinden. [[Mirror dd 2021-07-23|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/noteself.html]] - [[Een aangepaste versie|https://nota.pdhlh.eu/index.html]]
Een gemakkelijke manier om Tiddly op te slaan via een chromium-browser kun je [[hier|https://slaymaker1907.github.io/tiddlywiki/plugin-library.html]] ([[mirror dd 2022-03-04|https://computers.heggenmus.eu/Tiddlywiki/Handige%20TiddlyWikies%20en%20plugins/TW5-browser-nativesaver.html]] vinden:.
Dit kan [[online (zoals deze site) via Github|Tiddlywiki en Github - saven]]
Cell Merging in [[TiddlyWiki]]
To merge a table cell with the one above, use the special cell text `~`. To merge a cell with the one to its left use the text `<`. To merge one to its right use `>`. For example:
```
|Cell1 |Cell2 |Cell3 |Cell4 |
|Cell5 |Cell6 |Cell7 |<|
|Cell5 |~|Cell7 |Cell8 |
|>|Cell9 |Cell10 |Cell11 |
```
|Cell1 |Cell2 |Cell3 |Cell4 |
|Cell5 |Cell6 |Cell7 |<|
|Cell5 |~|Cell7 |Cell8 |
|>|Cell9 |Cell10 |Cell11 |
geeft de inhoud van een particulier veld mee
`{{JohnDoe!!phone}}`
geeft de inhoud van het veldje `phone` mee vanuit de tiddler `JohnDoe`
je kan het laatste argument weglaten, dan krijg je de inhoud uit de text-veld : `{{JohnDoe}}`
Je kan het eerste argument weglaten, dan krijg je de inhoud van een veldje uit de huidige tiddler: `{!!phone}`
met macrocall kun je transclussie gebruiken in een [[TW - Macro's]]:
`<$macrocall $name="wikipediaLink" article={{!!wikipedia-reference}} />`
[[TiddlyWiki]] upgaden naar een nieuwe versie doe je [[hier|https://tiddlywiki.com/upgrade.html]]
We kunnen in [[TiddlyWiki]] variabelen maken met de `$set` widget zoals:
```
<$set name="disclaimer" value="(This paragraph does not represent the formal opinion of my company.)">
…insert the text of your tiddler here
<<disclaimer>>
</$set>
```
Doen we via [[$:/PDHLH/TiddlerLinkSumary]]
https://files.fosswire.com/2007/08/fwunixref.pdf
{{https://files.fosswire.com/2007/08/fwunixref.pdf}}
`=VANDAAG()` <br>
`=TODAY()`
geeft als waarde de huidige dag in een [[Spreadsheet]]
zie ook [[NU]]
`=VERGELIJKEN(te zoeken; bereik; type)`
* te zoeken: wat moeten we zoeken
* bereik: het bereik waarin we willen zoeken, moet 1 rij of kolom zijn
* type: ONWAAR voor exacte overeenkomst; 1 = standaarwaarde (bereik moet oplopend gesorteerd zijn, we geven de grootste waarde die kleiner of gelijk is aan de zoeksleutel); -1 (bereik aflopend gesorteerd, we geven de kleinste waarde die groter of gelijk is aan te zoeken)
handig om iets op te zoeken tezamen met [[INDEX]] als de te zoeken waarde niet in de meest linkse kolom zit.
Zie ook [[Spreadsheet - Formule - Zoeken met INDEX en VERGELIJKEN]]
* [[Verkeer - Vliegtuigen]]
* [[Verkeer - Auto]]
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
[[Verkeer]] laag op de grond.
De verkeerssituatie van het verkeerscentrum zit [[hier|https://www.verkeerscentrum.be/]]
---
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
[[Verkeer]] hoog in de lucht
Wil je zien welke vliegtuigen er boven je hoofd vliegen kijk dan op een van onderstaande websites:
* [[Radarbox|https://www.radarbox.com/]]
* [[Flightradar24|https://www.flightradar24.com/]]
* [[Adsbexchange|https://globe.adsbexchange.com/]]
`VERT.ZOEKEN (sleutel; bereik; index; is het gesorteerd)`
* `sleutel`= naar wat moet gezocht worden
* `bereik`= waar we zoeken, in de meest linkse kolom zoeken we naar de sleutel
* `index`= de kolom-index van de waarde die wordt geretouneerd, de linkse kolom heeft waarde 1
* `is het gesorteerd`= indien ONWAAR alleen exacte resultaten worden weergegeven, indien WAAR (of weggelaten) wordt de beste waarde (kleiner dan of gelijk aan) gegeven (linkse kolom moet van klein naar hoog gesorteerd worden)
is een [[Spreadsheet - Functie]]
Zie ook [[HORIZ.ZOEKEN]]
<iframe width="560" height="315" src="https://www.youtube-nocookie.com/embed/7iA6hmc829I" title="YouTube video player" frameborder="0" allow="accelerometer; autoplay; clipboard-write; encrypted-media; gyroscope; picture-in-picture" allowfullscreen></iframe>
<<list-links filter:"[tag<currentTiddler>]">>
https://danielmiessler.com/study/vim/
In Vim maken we zinnen met ''werkwoorden'', de acties die we willen ondernemen
|''d''|delete|
|''c''|change|
|''y''|yank (copy)|
|''v''|visually select (V for lines ipv karakters|
en ''modifiers'' die we gebruiken, voor de nouns:
|''i''|inside|
|''a''|around|
|''NUM''|number|
|''t''|zoek naar iets en stop ervoor|
|''f''|zoek naar iets en land erop|
|''/''|find een string (letterlijk of regex|
''nouns'' zijn de objecten waar we iets op doen
|''w''|word|
|''s''|sentence|
|'')''|sentence|
|''p''|paragraph|
|''}''|paragraph|
|''t''|tag|
|''b''|block|
de nouns kan je ook als beweging gebruiken.
Voorbeelden van ''zinnen'' die we met deze buildingsblocks maken:
* `d2w` delete two words
* `cis` change inside sentenc
* `ct<` change to open bracket
|i, I|change to insert mode|
|h, j, k, l|move left, down, up, right|
|w, b, e, ge|move word at a time<br>w gaat naar het begin van volgend woord<br>e naar het einde<br>b naar het begin van het woord|
|[n][action/movement]|do n times, e.g. 3w|
|x, X|remove a character|
|a, A|append|
|f[char]|move to next given char in line|
|F[char]|move to previous char in line|
|; and ,|repeat last f or F|
|/yourtext and then: n, N|Search text|
|d[movement]|delete by giving movement|
|r[char]|replaces character below cursor|
|0, $|move to start/end of line|
|o, O|add new line|
|%|Goto corresponding parentheses|
|ci[movement]|change inside of given movement|
|D|delete to end of line|
|S|clear current line; to insert mode|
|gg / G|move to start / end of buffer|
|yy|copy current line|
|p|Paste copied text after cursor.|
https://www.linux.com/training-tutorials/using-spell-checking-vim/
in `.vim/spell`
van http://ftp.vim.org/pub/vim/runtime/spell/
`set spell spelllang=nl_nl`
`set nospell`
`]s` en `]s` om te navigeren
`zg` om een woord aan woordenlijst toe te voegen
`z=` om een woord aan te passen
VIND.ALLES(te zoeken tekst; in welke tekstte zoeken; vanaf welke positie)
https://support.google.com/docs/answer/3094126?hl=nl <br>
https://support.microsoft.com/nl-nl/office/vind-alles-vind-alles-b-functie-c7912941-af2a-4bdf-a553-d0d89b0a0628
Die kun je [[hier|https://computers.heggenmus.eu/Slides/reveal.js-master/examples/]] vinden.
|!Schrijver|{{!!schrijver}}|
Zeer interessant boek - dat ConnerRousseau en zijn "socialistisch" project genadeloos fileert. Tegelijkertijd krijg je ook inzicht in hoe de influencer-cultuur een grote maatschappelijke en politieke invloed heeft.
|!Class|!Uitleg|
|w3-border|Voeg boven, onder, links en rechts een border toe|
|w3-border-top|Een lijn boven een element|
|w3-border-right|Adds a right border to an element|
|w3-border-bottom|Adds a bottom border to an element|
|w3-border-right|Adds a left border to an element|
|w3-border-0|Removes all borders|
|w3-border-//color//|Displays the border in a specified color (like red, blue, etc)|
|w3-hover-border-//color//|Adds a hoverable border color|
|w3-bottombar|Adds a thick bottom border to an element|
|w3-leftbar|Adds a thick left border to an element|
|w3-rightbar|Adds a thick right border to an element|
|w3-topbar|Adds a thick top border to an element|
zie [[hier|https://www.w3schools.com/w3css/w3css_borders.asp]]
''w3-container'' voegt rechts en links van een HTML element 16 px toe
[[Zie hier|https://www.w3schools.com/w3css/w3css_containers.asp]]
Je hebt volgende kleurklassen:
* ''w3-color'': dit zet de achtergrondkleur van een HTML-element (w3-//kleurcode// vb. w3-red)
* ''w3-text-color'': zet de tekstkleur van een HTML-element (w3-text-//kleurcode// vb. w3-aqua)
* ''w3-hover-color'': zet de achtergrond kleur van een html (w3-hover-//kleurcode// vb. w3-hover-yellow)
Volgende standaardkleuren zijn gedefinieerd:
* red
* pink
* purple
* deep-purple
* indigo
* blue
* light-blue
* cyan
* aqua
* teal
* green
* light-green
* lime
* sand
* khaki
* yellow
* amber
* orange
* deep-ornage
* blue-gray
* brown
* light-gray
* gray
* dark-gray
* pale-red
* pale-yellow
* pale-green
* pale-blue
[[Voor voorbeelden en uitleg zie hier|https://www.w3schools.com/w3css/w3css_colors.asp]]
''w3-panel '' class voegt links, rechts, boven en onder 16px toe.
Sluit een panel met een X
```HTML
<div class="w3-panel w3-display-container">
<span onclick="this.parentElement.style.display='none'"
class="w3-button w3-display-topright">X</span>
<p>Click on the X to close this panel.</p>
<p>Click on the X to close this panel.</p>
</div>
```
Open een panel
```HTML
<button class="w3-btn" onclick="document.getElementById('id01').style.display='block'">Show panel</button>
<div id="id01" class="w3-panel w3-green w3-display-container" style="display:none">
<span onclick="this.parentElement.style.display='none'"
class="w3-button w3-display-topright">X</span>
<p>Click on the X to close this panel.</p>
<p>Click on the X to close this panel.</p>
</div>
```
ZIe [[hier|https://www.w3schools.com/w3css/w3css_panels.asp]]
Een framework dat enkele simpele dingen kan doen die net genoeg zijn voor de websites die ik zelf maak.
Voor nu goed genoeg, maar ooit zal ik me toch eens dieper in javascript moeten verdiepen.
Downloaden kan je via de [[officiële website|https://www.w3schools.com/w3js/w3js_downloads.asp]] of via [[deze mirror dd 2021-03-16|https://computers.heggenmus.eu/websites/javascript/w3.js]]
Onder een samenvatting van de referenties voor mezelf
<<list-links filter:"[tag<currentTiddler>] +[sort[title]]">>
|!Functie|!Beschrijving|
|`w3.hide`|Hide HTML elementen|
|`w3.show`|Shows HTML elementen|
|`w3.toggleShow`|Toggles between hiding and showing HTML elementen|
|`w3.toggleClass`|toggles the class of HTML elementen|
|`w3.addClass`|Adds one or multiple classes html elementen|
|`w3.addStyle`|adds style to HTML elementen|
|`w3.removeClass`|removes one or multiplle classes from HTML elementen|
|`w3.filterHTML`|filters the display of HTML elementen|
|`w3.sortHTML`|Sort the display of HTML elementen|
|`w3.slideshow`|Make HTML elementen display as slideshows|
|`w3.displayObject`|Displays javascript objects in HTML|
|`w3.includeHTML`|Displays HTML in HTML|
|`w3.getHttpObject`|Reads JSON data from server|
|`w3.getHttpData`|Reads data from server|
|!Attribute|!Description|
|`{{}}`|Defines where to display data|
|`w3-repeat`|defines where to repeat data|
|`w3-include-html`|Defines where to include HTML|
Voeg een class toe
```JS
w3.addClass(selector,'class')
```
Voeg meerdere classen toe
```JS
w3.addClass(selector,'class1 class2 class3...')
```
Remove een class:
```JS
w3.removeClass(selector,'class')
```
Remove meerdere classen
```JS
w3.removeClass(selector,'class1 class2 class3...')
```
Toggle a class (on/off):
```JS
w3.toggleClass(selector,'class')
```
Toggle between two classes:
```JS
w3.toggleClass(selector,'property','class','class')
```
Voorbeelden:
```HTML
<button onclick="w3.addClass('#London','marked')">Add Class</button>
<button onclick="w3.addClass('h2','marked')">Add Class</button>
<button onclick="w3.addClass('.city','marked')">Add Class</button>
<button onclick="w3.addClass('#London','class1 class2')">Add Classes</button>
<button onclick="w3.removeClass('#London','marked')">Remove Class</button>
<button onclick="w3.removeClass('h2','marked')">Remove Class</button>
<button onclick="w3.removeClass('.city','marked')">Remove Class</button>
<button onclick="w3.removeClass('#London','class1 class2')">Remove Classes</button>
<button onclick="w3.toggleClass('#London','marked')">Toggle</button>
<button onclick="w3.toggleClass('h2','marked')">Toggle</button>
<button onclick="w3.toggleClass('.city','marked')">Toggle</button>
<button onclick="w3.toggleClass('#London','class1','class2')">Toggle</button>
```
Zie ook [[hier|https://www.w3schools.com/w3js/w3js_add_class.asp]]
```js
w3.hide(selector)
```
```js
w3.show(selector)
```
```js
w3.toggleShow(selector)
```
vb:
```HTML
<button onclick="w3.hide('#London')">Hide</button>
```
```HTML
<button onclick="w3.show('h2')">Show</button>
```
```HTML
<button onclick="w3.toggleShow('.city')">Toggle Hide/Show</button>
```
Vb
```HTML
<!DOCTYPE html>
<html>
<title>W3.JS</title>
<link rel="stylesheet" href="https://www.w3schools.com/w3css/4/w3.css">
<script src="https://www.w3schools.com/lib/w3.js"></script>
<body class="w3-container">
<h2>Testing W3.JS with CSS</h2>
<p>Click the button to toggle the element with id="London".</p>
<p>
<button class="w3-btn w3-red" onclick="w3.toggleShow('#London')">Toggle Hide/Show</button>
</p>
<div id="London" class="w3-panel w3-red city">
<h2>London</h2>
<p>London is the capital city of England.</p>
</div>
<div id="Paris" class="w3-panel w3-red city">
<h2>Paris</h2>
<p>Paris is the capital of France.</p>
</div>
<div id="Tokyo" class="w3-panel w3-red city">
<h2>Tokyo</h2>
<p>Tokyo is the capital of Japan.</p>
</div>
</body>
</html>
```
ZIe ook [[hier|https://www.w3schools.com/w3js/w3js_hide.asp]]
We selecteren HTML elementen en voeren daar een actie op uit
```js
w3.action(selector)
```
action() wordt uitgevoerd op de geselcteerde lelement
de (selector) zijn de geselecteerde elementen
Om de selectoren te kiezen gebruiken we [[CSS]]-syntax
vb:
```JS
w3.hide('h2')
w3.hide('#London')
w3.hide('.city')
```
Andere mogelijke selectoren
`("*")` - alle elementen
`(this)` - current element
`("p.intro")` - alle p elementen met de class intro
`("div p")` - alle p elementen in een div element
`("div p:first-child")` - alle eerste p elementen binnen een div element
`("[href]")` alle elementen met een href atribuur
`("a[target=_blank]")` alle a elementen met een target atribuut blank
`("p:nth-child(even)")` alle even p elementen
Zie voor meer info [[hier|https://www.w3schools.com/w3js/w3js_selectors.asp]]
```js
w3.addStyle(selector,'property','value')
```
vb
```HTML
<button onclick="w3.addStyle('.city','background-color','red')">Add Style</button>
```
zie ook [[hier|https://www.w3schools.com/w3js/w3js_add_style.asp]]
`=WAARDE()`
Kunnen we in een [[Spreadsheet]] gebruiken om tekst naar getal te converteren.
Inversie van [[Tekst]]?
Wandelen is een van mijn hobby's.<br>
Gedane wandelingen sinds begin 2018 kun je [[hier|https://wandelingen.pdhlh.eu/]] vinden.
Gelukkig zijn er [[trage wegen|Wandelen - Trage Wegen]]
[[Atlas der Buurtwegen|https://www.geopunt.be/kaart?viewer_url=https%3A%2F%2Fmaps.geopunt.be%2Fresources%2Fapps%2FGeopunt-kaart_app%2Findex.html%3Fid%3D8ab268e1766385db017701e3325b02bc]]
Een ode aan de Trage Wegen activist:
https://www.bondbeterleefmilieu.be/artikel/hulde-aan-de-trage-wegen-activist
Websites met webcams:
* [[Explore.org|https://explore.org/livecams]]
* [[Skyline|https://www.skylinewebcams.com/]]
* [[Valken voor iedereen|http://www.valkenvooriedereen.be/?lang=nl]]
* [[EarthCal|https://www.earthcam.com/]]
* [[Loch Ness|https://www.lochness.co.uk/livecam.html]]
* [[Watching Grass grow|https://www.watching-grass-grow.com/]]
* [[Bekijk Het Nu|https://bekijkhet.nu/index.html]]
Soms is het handig om een simpele website te kunnen maken.
Soms maak ik ze met [[TiddlyWiki]], soms met [[Wordpress]] en soms probeer ik ze helemaal zelf in elkaar te steken met behulp van zeer simpele [[HTML]], [[CSS]] en [[JavaScript]]
---
<<list-links filter:"[tag<currentTiddler>]">>
---
* https://overapi.com/css
* https://overapi.com/html
* https://cssreference.io/
* https://htmlreference.io/
* https://learnlayout.com/
* https://flexbox.io/
* https://cssgrid.io/
* https://css-tricks.com/centering-css-complete-guide/
* https://www.w3schools.com/css/
* https://cssgridgarden.com/#nl
* https://flexboxfroggy.com/#nl
* https://www.youtube.com/watch?v=EFafSYg-PkI
* https://www.youtube.com/watch?v=FTlczfR82mQ
* https://css-tricks.com/snippets/css/complete-guide-grid/
* https://css-tricks.com/snippets/css/a-guide-to-flexbox/
* https://www.vliz.be/nl/de-grote-rede
* https://www.eso.org/sci/publications/messenger/
* https://www.esa.int/About_Us/ESA_Publications
* https://www.vmm.be/publicaties
* https://worldview.earthdata.nasa.gov/
* https://www.belspo.be/belspo/organisation/publ_science_nl.stm
* https://michaelbach.de/ot/index.html
---
https://joop.bnnvara.nl/opinies/bart-de-wever-verkoopt-lulkoek-over-een-vlaams-nederlands-samengaan
|!Titel |{{!!title}}|
|!Regisseur |{{!!regisseur}}|
|!Hoofdrollen |{{!!acteur}}|
|!Scenario |{{!!scenarist}}|
|!Verschenen |{{!!premiere_jaar}}|
|!Gezien op |{{!!gezien}}|
|!Rotten Tomatoes Critics |{{!!rottentomatoes_score_critics}} %|
|!Rotten Tomatoes publiek |{{!!rottentomatoes_score_public}} %|
---
Een verfilming van een YoungAdult verhaal en dat was er aan te merken. Bijvoorbeeld over de totaal onrealistische manier dat er een relatie onststaat tussen het hoofdpersonage en een oudere flik.
Ook het einde is redelijk ridicuul.
Geen aanrader, hoe kwamen we erbij om dit op te nemen?
Om in [[Windows]] een link van een [[Website]] altijd in [[Micosoft Edge]] te openen gebruik je volgende schrijfwijze:
`microsoft-edge:http://www.google.com`
Aangezien ik DePuber soms moet helpen met wiskunde - en dat ondertussen zeer ver weg verdrongen is in mijn hersenen - hang ik hier onder mijn eigen nota's.
Soms helpt het om in WolframAlpha snel je opgave te tikken
De Universiteit Hasselt heeft ook een goede site: [[hier|https://sites.google.com/uhasselt.be/platformwiskunde/]]
<$tiddler tiddler="Wiskunde - Algebra - Omvormen van formules - Oefeningen 1">
<$button message="tm-open-window" class="tc-float-right">
{{$:/core/images/export-button}} open printable version
</$button>
</$tiddler>
{{Wiskunde - Algebra - Omvormen van formules - Oefeningen 1}}
https://www.bookwidgets.com/play/t:9CrqYKHRpsQeCAdFU2wa3REUiAf2B7kqk3hyccBvnZpYQjhWNlhI
Vraag 1: 6
Vraag 2: 1
Vraag 3: 2
Vraag 4: 1
Vraag 5: 7
Vraag 6: 8
Vraag7: 6
https://www.bookwidgets.com/play/t:63w4FzTLEcQtG5k7YCFwWT9c1u7IegFqe6yzcbUh95lMQk5DREwz
Vraag 8: $$ z = \frac{p}{4}$$
Vraag 9 : $$ b= \frac{A}{l} $$
Vraag 10: $$b = \frac{p}{2}-2$$ of $$ \frac{p-2l}{2}$$
Vraag 11: $$ h = \frac{2A}{b} $$
Vraag 12: $$ \frac{p-B-b}{2}=s $$
Vraag 13: $$ \frac{2A}{B+b} = h $$
Vraag 14: $$ \frac{2A}{h} - B = b $$
Vraag 15: $$\frac{p}{2\pi} = r $$
Vraag 16: $$ h = \frac{\frac{A}{2}-lb}{l+b}$$
Vraag17: $$ \frac{100\cdot l}{k \cdot n} = p$$
Vraag18: $$ \sqrt[3]{\frac{3V}{4\pi}} = r $$
Vraag 19 $$ k= \frac{K}{1+ \frac{p \cdot n}{100}} $$
[[Wiskunde - Algebra - Omvormen van formules - Oefeningen - Oplossingen]]
<<tc "Werk de oefeningen uit op een apart blad en schrijf (gestructureerd en geordend!) alle tussenstappen op" DarkOrange>>
!! Vraag 1:
<<tc "Gegeven $$ a + 2b = 2 $$<br>Bepaal $$a$$" Crimson>>
<<multicol "
# $$ a = 2 + 2b $$<br><br>
# $$ a = 2 - b $$<br><br>
# Juiste antwoord niet gegeven<br><br>
# $$ a = 1 - 2b $$<br><br>
# $$a = 2b $$<br><br>
# $$ a = 2 - 2b $$<br><br>
# $$ a = 2 $$<br><br>
# $$ a = 2 - 2b^2 $$
" 2>>
!! Vraag 2:
<<tc "Gegeven $$ 3( a + c ) = b ( c + 1 ) $$<br>Bepaal $$b$$" Crimson>>
<<multicol "
# $$ b = \frac{3(a+c)}{(c+1)} $$<br><br>
# Juiste antwoord niet gegeven<br><br>
# $$ b = \frac{c+1}{3(a+c)} $$<br><br>
# $$ b = 3(a+c)\cdot(c+1) $$<br><br>
# $$ b = \frac{3(a+c)}{7} $$<br><br>
# $$ b = \frac{3a+c}{(c+1)} $$<br><br>
# $$ b = \frac{3(a+c)}{(c)} $$<br><br>
# $$ b = \frac{(a+c)}{3(c+1)} $$<br><br>
# $$ b = \frac{3}{(c+1)} $$
" 2>>
!! Vraag 3
<<tc "gegeven $$ 3(a+c) = b(c+1) $$<br>Bepaal $$ a $$" Crimson>>
<<multicol "
# $$ a = bc + b - 3c $$<br><br>
# $$ a = \frac{bc+b-3c}{3} $$<br><br>
# $$ a = \frac{bc-b-3c}{3} $$<br><br>
# $$ a = \frac{2bc+b-3c}{3} $$<br><br>
# $$ a = \frac{bc+3b-3c}{3} $$<br><br>
# juiste antwoord niet gegeven<br><br>
# $$ a = \frac{bc+3c}{3} $$<br><br>
# $$ a = \frac{bc+b-3c}{4} $$<br><br>
# $$ a = \frac{bc+b}{3} $$<br><br>
# $$ a = \frac{bc+b-13c}{3} $$
" 2>>
!! Vraag 4
<<tc "Gegeven $$ 4(2a-3b) = 3(a+2b-c) $$ <br> bepaal $$ b $$" Crimson>>
<<multicol "
# $$ b = \frac{5a+3c}{18} $$<br><br>
# $$ b = \frac{5a+3c}{6} $$<br><br>
# $$ b = \frac{5a-3c}{18} $$<br><br>
# $$ b = \frac{3a+5c}{18} $$<br><br>
# $$ b = 15a+3c $$<br><br>
# $$ b = -5a+3c $$<br><br>
# $$ b = \frac{5a+3c}{9} $$<br><br>
# $$ b = 5a + 3c $$<br><br>
# $$ b = \frac{a+c}{10} $$<br><br>
# Juiste antwoord niet gegeven
" 2>>
!! Vraag 5
<<tc "Gegeven $$4(2a-3b)=3(a+2b-c)$$<br>bepaal $$a$$" Crimson>>
<<multicol "
# $$ a = \frac{18c-3b}{6} $$<br><br>
# $$ a = \frac{18b-3c}{6} $$<br><br>
# Juiste antwoord niet gegeven<br><br>
# $$ a = {24b-3c}{9} $$<br><br>
# $$ a = 12-3c $$<br><br>
# $$ a = \frac{18b-3c}{5} $$<br><br>
# $$ a=18b-3c$$<br><br>
# $$ a=18b+3c $$
" 2>>
!! Vraag6
<<tc "Gegeven $$ 2a=3c-4b $$<br>Bepaal $$c$$" Crimson>>
<<multicol "
# $$ c= \frac{2a+5b}{7} $$<br><br>
# $$ c = {a+4b}{3} $$<br><br>
# Juiste antwoord niet gegeven<br><br>
# $$ c = {2a-4b}{3} $$<br><br>
# $$ c = 12a+54b $$<br><br>
# $$ c = -2a+4b $$<br><br>
# $$c= \frac{2a+4b}{5} $$<br><br>
# $$ c = \frac{2a+4b}{3} $$<br><br>
# $$ c=2a+4b $$<br><br>
# $$ c= \frac{2a+7b}{2} $$<br><br>
# $$ c = \frac{12a+34b}{3} $$
" 2>>
!! Vraag 7
<<tc "gegeven $$ 2a=3c-4b $$<br>bepaal $$b$$" Crimson>>
<<multicol "
# $$ b=\frac{2c-5a}{4} $$<br><br>
# $$ b=\frac{3c-2a}{3} $$<br><br>
# $$ b=\frac{3c-12a}{5} $$<br><br>
# $$b=3c+2a $$<br><br>
# Juiste antwoord niet gegeven<br><br>
# $$ b=\frac{3c-2a}{4} $$<br><br>
# $$ b=\frac{-3c+2a}{4} $$<br><br>
# $$ b=3c-2a $$<br><br>
# $$ b = \frac{3c+2a}{4} $$<br><br>
# $$ b=13c-2a $$
" 2>>
!! Vraag 8
<<tc "De omtrek van een vierkant bereken je met $$p=4z$$<br>Vorm om naa $$z$$" Crimson>>
!! Vraag 9
<<tc "De oppervlakte van een rechthoek bereken je door $$ A= l\cdot b $$<br>Vorm om naar $$ b $$" Crimson>>
!! Vraag 10
<<tc "De omtrek van een rechthoek bereken je door $$p=2\cdot(l+b)$$<br>$$b=$$" Crimson>>
!! vraag 11
<<tc "De oppervlakte van een driehoek bereken he drr $$ A=\frac{b\cdot h}{2}$$<br>Vorm om naar $$ h $$" Crimson>>
!! Vraag 12
<<tc "De omtrek van een gelijkbenig trapezium bereken je door $$ p=B+b+2s $$<br>Vorm om naar $$s$$" Crimson>>
!! Vraag 13
<<tc "De oppervlakte van een traperzium bereken je door $$A=\frac{(B+b)\cdot h}{2}$$<br>Vorm om naar $$ h $$." Crimson>>
!! Vraag 14
<<tc "De oppervlakte van een traperzium bereken je door $$A=\frac{(B+b)\cdot h}{2}$$<br>Vorm om naar $$ b $$." Crimson>>
!! Vraag 15
<<tc "De omtrek van een cirkle bereken he door $$ p = 2\cdot\pi\cdot r $$<br>Vorm om naar $$ r $$" Crimson>>
!! Vraag 16
<<tc "De zijdelingse oppervlakte van een balk bereken je met $$ A = 2\cdot(lb+lh+bh)$$<br>Vorm om naar de hoogte $$h$$" Crimson>>
!! Vraag 17
<<tc "De intrest die je verwerft op een kapitaal bereken je dmet $$l=k\cdot\frac{p}{100}\cdot n$$<br>Vorm om naar de jaarlijkse rentevoet $$p$$" Crimson>>
!! Vraag 18
<<tc "$$V=\frac{4}{3}\cdot\pi\cdot{r^3}$$<br>Vorm om naar $$r$$" Crimson>>
!! Vraag 19
<<tc "De eindwaarde die een bepaald belegd kapitaal oplevert, bereken je met de formule $$ K=k+k \cdot \frac{p}{100} \cdot n $$<br> Vorm om naar het belegd kapitaal $$ k $$" Crimson>>
wissen.control(teskt)
engels clean()
gebruiken we om vreemde karakters uit een tekst te halen, vooral nuttig als we data uit een externe bron moeten halen.
Is een website en app waarmee je dingen kunt uitrekenen of zaken aan vragen.
De website kun je [[hier|https://www.wolframalpha.com/]] vinden.
Een beetje uitleg hoe je het voor [[Wiskunde]] kunt gebruiken staat [[hier|https://staff.fnwi.uva.nl/j.vandecraats/WolframAlphaVoorbeelden.pdf]]
Om [[Google - Maps]] in [[Wordpress]] te krijgen kun je [[hier|https://wordpress.com/support/google-maps/]] kijken.
Meestal verschrikkelijke boeken voor tieners die soms aanleiding geven tot een film zoals het verschrikkelijke [[White Bird in a Blizzard]]
Dat is ook redelijk simpel.
Ga via het icoontje van je account naar Your repositories en druk daar op new:
{{IMG-Git-New_repo}}
{{IMG-Git-New_repo2}}
En daarna kun je een naam geven en wat optie aan of afvinken:
{{IMG-Git:create_a_new_repo}}
En dat is het wel ongeveer
https://zettelkasten.de/posts/backlinks-are-bad-links/
https://notes.andymatuschak.org/About_these_notes
https://www.reddit.com/r/Zettelkasten/comments/kvroh2/how_different_is_zettelkasten_from_a_modern_wiki/
https://zettelkasten.de/introduction/
https://zk.zettel.page/